; -------------------------------------------------------------------------------- ; @Title: LX2160 On-Chip Peripherals ; @Props: Released ; @Author: PCC, MSU, KOP, PAK, BAN, CEZ, RAJ, KOF, JUS, DAS, PID, TRJ ; @Changelog: 2017-09-01 MSU ; 2019-09-26 KOP ; 2021-04-21 TRJ ; @Manufacturer: NXP - NXP Semiconductors ; @Doc: LX2160ARM_Rev0.pdf (Rev. 0, 2020-07) ; LX2162ARM.pdf (Rev. 0, 2021-02) ; LX2160ASECRM.pdf (Rev. 0, 2020-07) ; LX2160ADPAA2RM_Rev0.pdf (Rev. 0, 2020-06) ; @Core: Cortex-A72 ; @Chip: LX2160A, LX2120A, LX2080A, LX2162A, LX2122A, LX2082A ; @Copyright: (C) 1989-2021 Lauterbach GmbH, licensed for use with TRACE32(R) only ; -------------------------------------------------------------------------------- ; $Id: perlx2160.per 13499 2021-07-29 11:23:12Z kwitkowski $ ; Known Problems: ; MODULE REGISTER DESCRIPTION ; CCI No documentation ; PMU No documentation ; PCIe MBAR[2:6]T0 PAB_INTP_AXI_FLR_STATa field description ; doesn't match with register description, ; registers overlap ; SerDes 25G_PCS Registers No valid base address, registers overlap, ; Ambiguous bit access type ; 25_RS_FEC Registers No valid base address, registers overlap ; 100G_PCS Registers No valid base address, registers overlap, ; Ambiguous bit access type ; 100_RS_FEC Registers No valid base address, registers overlap ; 40G_PCS Registers No valid base address ; 50G_PCS Registers No valid base address, registers overlap, ; Ambiguous bit access type ; 50_RS_FEC Registers No valid base address, registers overlap ; USXGMII Registers No valid base address, registers overlap ; 10G_PCS Registers No valid base address, registers overlap ; SGMII Registers No valid base address, registers overlap, ; Ambiguous bit access type ; BP AN Registers No valid base address, registers overlap, ; Vendor Registers No valid base address, registers overlap, ; No clarification what to do with them ; Auto-Negotiation and Link Training Core No valid base address, registers overlap ; DPAA2 No valid base addresses for ; SGE, FLE, QMAN_SWP ; Software Portal Memory Backed, ; Interface Profile Record, ; Interface Profile Special Functions, ; QOSMAP, ; and registers overlap config 16. 8. tree "Core Registers (Cortex-A72)" AUTOINDENT.ON center tree tree.open "AArch64" tree "ID Registers" rgroup.quad spr:0x30000++0x0 line.quad 0x00 "MIDR_EL1,Main ID Register" hexmask.quad.byte 0x00 24.--31. 1. "IMPL,Implementer code" bitfld.quad 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 16.--19. "ARCH, Architecture" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,ARMv8" newline hexmask.quad.word 0x00 4.--15. 1. "PART,Primary Part Number" bitfld.quad 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.quad spr:0x33001++0x0 line.quad 0x00 "CTR_EL0,Cache Type Register" bitfld.quad 0x00 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.quad 0x00 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.quad 0x00 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.quad 0x00 14.--15. "L1POLICY,L1 Instruction cache policy" "Reserved,Reserved,Reserved,PIPT" bitfld.quad 0x00 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." rgroup.quad spr:0x30005++0x00 line.quad 0x00 "MPIDR_EL1,Multiprocessor Affinity Register" bitfld.quad 0x00 30. "U,Processor is part of a multiprocessor or uniprocessor system" "Multiprocessor,Uniprocessor" newline bitfld.quad 0x00 24. "MT,Lowest level of affinity consist of logical processors" "Not implemented,Implemented" hexmask.quad.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Second highest level affinity field" hexmask.quad.byte 0x00 8.--15. 1. "AFF1,Affinity level 1. Third highest level affinity field" newline bitfld.quad 0x00 0.--1. "CPUID,CPU ID" "1,2,3,4" rgroup.quad spr:0x30006++0x0 line.quad 0x00 "REVIDR_EL1,Revision ID Register" rgroup.quad spr:0x30014++0x00 line.quad 0x00 "ID_MMFR0_EL1,Memory Model Feature Register 0" bitfld.quad 0x00 28.--31. "IS,Indicates innermost shareability domain implemented" "Reserved,Implemented,?..." bitfld.quad 0x00 24.--27. "FCSE,Fast Context Switch Memory Mappings Support" "Not supported,?..." bitfld.quad 0x00 20.--23. "AR,Auxiliary Register Support" "Reserved,Reserved,ACTLR/AIFSR/ADFSR,?..." newline bitfld.quad 0x00 16.--19. "TCM,TCM and Associated DMA Support" "Not supported,?..." bitfld.quad 0x00 12.--15. "SL,Shareability levels" "Reserved,2 levels,?..." bitfld.quad 0x00 8.--11. "OSS,Outer Shareable Support" "Reserved,Implemented,?..." newline bitfld.quad 0x00 4.--7. "PMSA,Physical Memory System Architecture (PMSA) Support" "Not supported,?..." bitfld.quad 0x00 0.--3. "VMSA,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." rgroup.quad spr:0x30015++0x00 line.quad 0x00 "ID_MMFR1_EL1,Memory Model Feature Register 1" bitfld.quad 0x00 28.--31. "BTB,Branch Predictor" "Reserved,Reserved,Reserved,Reserved,Not required,?..." bitfld.quad 0x00 24.--27. "L1TCO,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..." bitfld.quad 0x00 20.--23. "L1UCMO,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..." newline bitfld.quad 0x00 16.--19. "L1HCMO,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.quad 0x00 12.--15. "L1UCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..." bitfld.quad 0x00 8.--11. "L1HCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "L1UCLMOMVA,L1 Cache Line Maintenance Operations by VA/Unified Architecture Support" "Not supported,?..." bitfld.quad 0x00 0.--3. "L1HCLMOMVA,L1 Cache Line Maintenance Operations by VA/Harvard Architecture" "Not supported,?..." rgroup.quad spr:0x30016++0x00 line.quad 0x00 "ID_MMFR2_EL1,Memory Model Feature Register 2" bitfld.quad 0x00 28.--31. "HAF,Hardware Access Flag Support" "Not supported,?..." bitfld.quad 0x00 24.--27. "WFI,Wait for Interrupt Stalling Support" "Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "MBF,Memory Barrier Operations Support" "Reserved,Reserved,Supported,?..." newline bitfld.quad 0x00 16.--19. "UTLBMO,TLB Maintenance Operations/Unified Architecture Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "HTLBMO,TLB Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.quad 0x00 8.--11. "HL1CMRO,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "HL1BPCRO,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." bitfld.quad 0x00 0.--3. "HL1FPCRO,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." rgroup.quad spr:0x30017++0x00 line.quad 0x00 "ID_MMFR3_EL1,Memory Model Feature Register 3" bitfld.quad 0x00 28.--31. "SS,Supersection support" "Supported,?..." bitfld.quad 0x00 24.--27. "CMEMSZ,Cache memory size" "Reserved,Reserved,1TByte,?..." bitfld.quad 0x00 20.--23. "CW,Coherent walk" "Reserved,Supported,?..." newline bitfld.quad 0x00 12.--15. "MB,Maintenance broadcast Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "BPM,Invalidate Branch predictor Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "HCMOSW,Invalidate Cache by Set and Way/Clean by Set and Way/Invalidate and Clean by Set and Way Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 0.--3. "HCMOMVA,Invalidate Cache MVA Support" "Reserved,Supported,?..." rgroup.quad spr:0x30026++0x00 line.quad 0x00 "ID_MMFR4_EL1,ID_MMFR4_EL1" bitfld.quad 0x00 4.--7. "AC2,Extension of ACTLR and HACTLR by ACTLR2 and HACTLR2" "Not implemented,Implemented,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved" rgroup.quad spr:0x30070++0x00 line.quad 0x00 "ID_AA64MMFR0_EL1,AArch64 Memory Model Feature Register 0" bitfld.quad 0x00 28.--31. "4KB,4KB granule supported" "Supported,?..." bitfld.quad 0x00 24.--27. "64KB,64KB granule supported" "Supported,?..." bitfld.quad 0x00 20.--23. "16KB,16KB granule supported" "Not supported,?..." newline bitfld.quad 0x00 12.--15. "SNSMEM,Secure versus Non-secure Memory distinction" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "BIGEND,Mixed-endian configuration support" "Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "ASIDBITS,Number of ASID bits" "Reserved,Reserved,16 bits,?..." newline bitfld.quad 0x00 0.--3. "PARANGE,Physical address range supported" "Reserved,Reserved,Reserved,Reserved,44 bits/16 TB,?..." rgroup.quad spr:0x30020++0x00 line.quad 0x00 "ID_ISAR0_EL1,Instruction Set Attribute Register 0" bitfld.quad 0x00 24.--27. "DIVI,Divide Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "DEBI,Debug Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 16.--19. "CI,Coprocessor Instructions Support" "Not supported,?..." newline bitfld.quad 0x00 12.--15. "CBI,Combined Compare and Branch Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "BI,Bitfield Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "BCI,Bit Counting Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 0.--3. "SI,Swap Instructions Support" "Not supported,?..." rgroup.quad spr:0x30021++0x00 line.quad 0x00 "ID_ISAR1_EL1,Instruction Set Attribute Register 1" bitfld.quad 0x00 28.--31. "JI,Jazelle Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 24.--27. "INTI,Interwork Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "IMMI,Immediate Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 16.--19. "ITEI,If Then Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "EXTI,Extend Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "EARI,Exception A and R Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 4.--7. "EXIN,Exception in ARM Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "ENDI,Endian Instructions Support" "Reserved,Supported,?..." rgroup.quad spr:0x30022++0x00 line.quad 0x00 "ID_ISAR2_EL1,Instruction Set Attribute Register 2" bitfld.quad 0x00 28.--31. "RI,Reversal Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 24.--27. "PSRI,PSR Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "UMI,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,Supported,?..." newline bitfld.quad 0x00 16.--19. "SMI,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "MI,Multiply Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "II,Multi-Access Interruptible Instructions Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "MHI,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "LSI,Load and Store Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.quad spr:0x30023++0x00 line.quad 0x00 "ID_ISAR3_EL1,Instruction Set Attribute Register 3" bitfld.quad 0x00 28.--31. "TEEEI,Thumb-EE Extensions Support" "Not supported,?..." bitfld.quad 0x00 24.--27. "NOPI,True NOP Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 20.--23. "TCI,Thumb Copy Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 16.--19. "TBI,Table Branch Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "SPI,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "SVCI,SVC Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 4.--7. "SIMDI,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "SI,Saturate Instructions Support" "Reserved,Supported,?..." rgroup.quad spr:0x30024++0x00 line.quad 0x00 "ID_ISAR4_EL1,Instruction Set Attribute Register 4" bitfld.quad 0x00 28.--31. "SWP_FRAC,Memory System Locking Support" "Not supported,?..." bitfld.quad 0x00 24.--27. "PSR_M_I,PSR_M Instructions Support" "Not supported,?..." bitfld.quad 0x00 20.--23. "SPRI,Synchronization Primitive instructions" "Supported,?..." newline bitfld.quad 0x00 16.--19. "BI,Barrier Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "SMCI,SMC Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "WBI,Write-Back Instructions Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 4.--7. "WSI,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "UI,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.quad spr:0x30025++0x00 line.quad 0x00 "ID_ISAR5_EL1,Instruction Set Attribute Register 5" bitfld.quad 0x00 16.--19. "CRC32,CRC32 Instructions Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "SHA2,SHA2 Instructions Support" "Not supported,Supported,?..." bitfld.quad 0x00 8.--11. "SHA1,SHA1 Instructions Support" "Not supported,Supported,?..." newline bitfld.quad 0x00 4.--7. "AES,AES Instructions Support" "Not supported,Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "SEVL,SEVL Instructions Support" "Reserved,Supported,?..." rgroup.quad spr:0x30060++0x00 line.quad 0x00 "ID_AA64ISAR0_EL1,AArch64 Instruction Set Attribute Register 0" bitfld.quad 0x00 16.--19. "CRC32,CRC32" "Reserved,Implemented,?..." bitfld.quad 0x00 12.--15. "SHA2, SHA2 instructions are implemented" "Not implemented,Implemented,?..." bitfld.quad 0x00 8.--11. "SHA1, SHA1 instructions are implemented" "Not implemented,Implemented,?..." newline bitfld.quad 0x00 4.--7. "AES,AES instructions are implemented" "Not implemented,Reserved,Implemented,?..." rgroup.quad spr:0x30010++0x00 line.quad 0x00 "ID_PFR0_EL1,Processor Feature Register 0" bitfld.quad 0x00 12.--15. "STATE3,Thumb Execution Environment (Thumb-EE) Support" "Not supported,?..." bitfld.quad 0x00 8.--11. "STATE2,Support for Jazelle extension" "Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "STATE1,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,Supported,?..." newline bitfld.quad 0x00 0.--3. "STATE0,ARM Instruction Set Support" "Reserved,Supported,?..." rgroup.quad spr:0x30011++0x00 line.quad 0x00 "ID_PFR1_EL1,Processor Feature Register 1" bitfld.quad 0x00 28.--31. "GIC_CPU,GIC CPU Support" "Disabled,Enabled,?..." newline bitfld.quad 0x00 16.--19. "GT,Generic Timer Support" "Reserved,Supported,?..." bitfld.quad 0x00 12.--15. "VE,Virtualization Extensions Support" "Reserved,Supported,?..." bitfld.quad 0x00 8.--11. "MPM,Microcontroller Programmer's Model Support" "Not supported,?..." newline bitfld.quad 0x00 4.--7. "SE,Security Extensions Architecture v1 Support" "Reserved,Supported,?..." bitfld.quad 0x00 0.--3. "PM,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..." rgroup.quad spr:0x30040++0x00 line.quad 0x00 "ID_AA64PFR0_EL1,AArch64 Processor Feature Register 0" bitfld.quad 0x00 24.--27. "GIC,Support for the GIC System register interface" "Not supported,GICv3 supported,?..." bitfld.quad 0x00 20.--23. "ADVSIMD,Advanced SIMD" "Implemented,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented" bitfld.quad 0x00 16.--19. "FP,Floating-point" "Implemented,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented" newline bitfld.quad 0x00 12.--15. "EL3H,EL3 exception handling" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 8.--11. "EL2H,EL2 exception handling" "Reserved,Reserved,Implemented,?..." bitfld.quad 0x00 4.--7. "EL1H,EL1 exception handling" "Reserved,Reserved,Implemented,?..." newline bitfld.quad 0x00 0.--3. "EL0H,EL0 exception handling" "Reserved,Reserved,Implemented,?..." rgroup.quad spr:0x30012++0x00 line.quad 0x00 "ID_DFR0_EL1,Debug Feature Register 0" bitfld.quad 0x00 24.--27. "PMM,Performance Monitor Model Support" "Reserved,Reserved,Reserved,PMUv3,?..." bitfld.quad 0x00 20.--23. "MDM_MM,Memory-mapped Debug Model for M profile processors Support" "Not supported,?..." bitfld.quad 0x00 16.--19. "TM_MM,Trace Model (Memory-Mapped) Support" "Reserved,Supported,?..." newline bitfld.quad 0x00 12.--15. "CTM_CB,Coprocessor-Based Trace Debug Model Support" "Not supported,?..." bitfld.quad 0x00 8.--11. "CDM_MM,Memory-Mapped Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.quad 0x00 4.--7. "SDM_CB,Secure Debug Model (Coprocessor) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." newline bitfld.quad 0x00 0.--3. "CDM_CB,Coprocessor Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." rgroup.quad spr:0x30050++0x00 line.quad 0x00 "ID_AA64DFR0_EL1,AArch64 Debug Feature Register 0" bitfld.quad 0x00 28.--31. "CTX_CMPS,Number of breakpoints that are context-aware minus 1" "Reserved,2,?..." bitfld.quad 0x00 20.--23. "WRPS,The number of watchpoints minus 1" "Reserved,Reserved,Reserved,4,?..." bitfld.quad 0x00 12.--15. "BRPS,The number of breakpoints minus 1" "Reserved,Reserved,Reserved,Reserved,Reserved,6,?..." newline bitfld.quad 0x00 8.--11. "PMUVER,Performance Monitors extension version" "Reserved,PMUv3,?..." bitfld.quad 0x00 4.--7. "TRACEVER,Trace extension" "Not implemented,?..." bitfld.quad 0x00 0.--3. "DEBUGGER,Debug architecture version" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Debug v8-A,?..." rgroup.quad spr:0x339C6++0x0 line.quad 0x00 "PMCEID0_EL0,Common Event Identification Register 0" bitfld.quad 0x00 30. "CH,Chain" "Not implemented,Implemented" bitfld.quad 0x00 29. "BC,Bus cycle" "Not implemented,Implemented" bitfld.quad 0x00 28. "TW,Instruction architecturally executed condition check pass" "Not implemented,Implemented" newline bitfld.quad 0x00 27. "IS,Instruction speculatively executed" "Not implemented,Implemented" bitfld.quad 0x00 26. "ME,Local memory error" "Not implemented,Implemented" bitfld.quad 0x00 25. "DA,Bus access" "Not implemented,Implemented" newline bitfld.quad 0x00 24. "DC2W,Level 2 data cache write-back" "Not implemented,Implemented" bitfld.quad 0x00 23. "DC2R,Level 2 data cache refill" "Not implemented,Implemented" bitfld.quad 0x00 22. "DC2A,Level 2 data cache access" "Not implemented,Implemented" newline bitfld.quad 0x00 21. "DC1W,Level 1 data cache write-back" "Not implemented,Implemented" bitfld.quad 0x00 20. "IC1A,Level 1 instruction cache access" "Not implemented,Implemented" bitfld.quad 0x00 19. "MA,Data memory access" "Not implemented,Implemented" newline bitfld.quad 0x00 18. "BP,Predictable branch speculatively executed" "Not implemented,Implemented" bitfld.quad 0x00 17. "CC,Cycle" "Not implemented,Implemented" bitfld.quad 0x00 16. "BM,Mispredicted or not predicted branch speculatively executed" "Not implemented,Implemented" newline bitfld.quad 0x00 15. "UL,Instruction architecturally executed condition check pass unaligned load or store" "Not implemented,Implemented" bitfld.quad 0x00 14. "BR,Instruction architecturally executed condition check pass procedure return" "Not implemented,Implemented" bitfld.quad 0x00 13. "BI,Instruction architecturally executed immediate branch" "Not implemented,Implemented" newline bitfld.quad 0x00 12. "PW,Instruction architecturally executed condition check pass software change of the PC" "Not implemented,Implemented" bitfld.quad 0x00 11. "CW,Instruction architecturally executed condition check pass write to CONTEXTIDR" "Not implemented,Implemented" bitfld.quad 0x00 10. "ER,Instruction architecturally executed condition check pass exception return" "Not implemented,Implemented" newline bitfld.quad 0x00 9. "ET,Exception taken" "Not implemented,Implemented" bitfld.quad 0x00 8. "IA,Instruction architecturally executed" "Not implemented,Implemented" bitfld.quad 0x00 7. "ST,Instruction architecturally executed condition check pass store" "Not implemented,Implemented" newline bitfld.quad 0x00 6. "LD,Instruction architecturally executed condition check pass load" "Not implemented,Implemented" bitfld.quad 0x00 5. "DT1R,Level 1 data TLB refill" "Not implemented,Implemented" bitfld.quad 0x00 4. "DC1A,Level 1 data cache access" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "DC1R,Level 1 data cache refill" "Not implemented,Implemented" bitfld.quad 0x00 2. "IT1R,Level 1 instruction TLB refill" "Not implemented,Implemented" bitfld.quad 0x00 1. "IC1R,Level 1 instruction cache refill" "Not implemented,Implemented" newline bitfld.quad 0x00 0. "SI,Instruction architecturally executed condition check pass software increment" "Not implemented,Implemented" rgroup.quad spr:0x33007++0x00 line.quad 0x00 "DCZID_EL0,Data Cache Zero ID" bitfld.quad 0x00 4. "DZP,Prohibit the DC ZVA instruction" "Not prohibited,Prohibited" bitfld.quad 0x00 0.--3. "BS,Block Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." group.quad spr:0x33D02++0x00 line.quad 0x00 "TPIDR_EL0,Thread Pointer/ID Register" group.quad spr:0x30D04++0x00 line.quad 0x00 "TPIDR_EL1,Thread Pointer/ID Register" group.quad spr:0x34D02++0x00 line.quad 0x00 "TPIDR_EL2,Thread Pointer/ID Register" group.quad spr:0x36D02++0x00 line.quad 0x00 "TPIDR_EL3,Thread Pointer/ID Register" group.quad spr:0x33D03++0x00 line.quad 0x00 "TPIDRRO_EL0,Thread Pointer/ID Register" tree.end tree "System Control and Configuration" group.quad spr:0x36111++0x00 line.quad 0x00 "SDER32_EL3,Secure Debug Enable Register" bitfld.quad 0x00 1. "SUNIDEN,Enable non-invasive debug features in Secure User mode" "Disabled,Enabled" bitfld.quad 0x00 0. "SUIDEN,Enable debug exceptions in Secure User mode" "Disabled,Enabled" group.quad spr:0x30100++0x0 line.quad 0x00 "SCTLR_EL1,Control Register (EL1)" bitfld.quad 0x00 26. "UCI,EL0 access enable (DC CVAU|DC CIVAC|DC CVAC|IC IVAU)" "Disabled,Enabled" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.quad 0x00 24. "E0E,Endianness of explicit data access at EL0" "Little,Big" bitfld.quad 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.quad 0x00 18. "NTWE,Not trap WFE" "No,Yes" bitfld.quad 0x00 16. "NTWI,Not trap WFI" "No,Yes" newline bitfld.quad 0x00 15. "UCT,EL0 access enable (CTR_EL0)" "Disabled,Enabled" bitfld.quad 0x00 14. "DZE,EL0 access enable (DC ZVA)" "Disabled,Enabled" newline bitfld.quad 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" bitfld.quad 0x00 9. "UMA,User Mask Access" "Disabled,Enabled" newline bitfld.quad 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.quad 0x00 7. "ITD,IT instruction disable" "No,Yes" newline bitfld.quad 0x00 6. "THEE,Thumb EE enable" "Disabled,Enabled" bitfld.quad 0x00 5. "CP15BEN,CP15 barrier enable" "Disabled,Enabled" newline bitfld.quad 0x00 4. "SA0,EL0 stack alignment check enable" "Disabled,Enabled" bitfld.quad 0x00 3. "SA,SP stack alignment check enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.quad 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.quad 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" group.quad spr:0x34100++0x0 line.quad 0x00 "SCTLR_EL2,Control Register (EL2)" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" bitfld.quad 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.quad 0x00 12. "I,Instruction cache enable" "Disabled,Enabled" bitfld.quad 0x00 3. "SA,SP stack alignment check enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.quad 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.quad 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" group.quad spr:0x36100++0x0 line.quad 0x00 "SCTLR_EL3,Control Register (EL3)" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" bitfld.quad 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.quad 0x00 12. "I,Instruction cache enable" "Disabled,Enabled" bitfld.quad 0x00 3. "SA,SP stack alignment check enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.quad 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.quad 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" group.quad spr:0x31F20++0x00 line.quad 0x00 "CPUACTLR_EL1,CPU Auxiliary Control Register" bitfld.quad 0x00 63. "FPMRCGEA,Force processor RCG enables active" "Not forced,Forced" bitfld.quad 0x00 62. "FPNMRCGEA,Force processor non-memory-system RCG enables active" "Not forced,Forced" newline bitfld.quad 0x00 61. "FPDIERCGEA,Force processor Decode and Integer Execute idle RCG enables active" "Not forced,Forced" bitfld.quad 0x00 60. "FPDRCGEA,Force processor Dispatch idle RCG enables active" "Not forced,Forced" newline bitfld.quad 0x00 59. "DLPDMB,Disable load pass DMB" "No,Yes" bitfld.quad 0x00 58. "DDMBN,Disable DMB nullification" "No,Yes" newline bitfld.quad 0x00 57. "TA,Treat DMB st/st and DMB ld/allas DMB all/all" "Disabled,Enabled" bitfld.quad 0x00 56. "DL1DCHP,Disable L1 Data Cache hardware prefetcher" "No,Yes" newline bitfld.quad 0x00 55. "DLPS,Disable load pass store" "No,Yes" bitfld.quad 0x00 54. "TGRE,Treat GRE/nGRE as nGnRE" "Disabled,Enabled" newline bitfld.quad 0x00 53. "TDMBADSB,Treat DMBand DSBas if their domain field is SY" "Disabled,Enabled" bitfld.quad 0x00 52. "DORFLDNPI,Disable over-read from LDNP instruction" "No,Yes" newline bitfld.quad 0x00 51. "ECDAFEMP,Enable contention detection and fast exclusive monitor path" "Disabled,Enabled" bitfld.quad 0x00 50. "DSSONNCGREEMT,Disable store streaming on NC/GRE memory type" "No,Yes" newline bitfld.quad 0x00 49. "DNHOWBNAMT,Disable non-allocate hint of Write-Back No-Allocate (WBNA) memory type" "No,Yes" bitfld.quad 0x00 48. "DESRAFLSTL2,Disable early speculative read access from LS to L2" "No,Yes" newline bitfld.quad 0x00 47. "DL1L2HP,Disable L1/L2 hardware prefetch across 4KB page boundary even if page is 64KB or larger" "No,Yes" bitfld.quad 0x00 46. "DML1DTLBM,Disable multiple outstanding L1 Data TLB misses and L2 TLB hit under miss" "No,Yes" newline bitfld.quad 0x00 45. "Dl1DCWT,Disable L1-DCache way tracker" "No,Yes" bitfld.quad 0x00 44. "EDCCADCCI,Enable data cache clean as data cache clean/invalidate" "Disabled,Enabled" newline bitfld.quad 0x00 43. "DVABHWPREF,Disable the Load/Store hardware prefetcher from using VA to cross page boundaries" "No,Yes" bitfld.quad 0x00 42. "DPREFREQRUT,Disable prefetch requests from ReadUnique transactions" "No,Yes" newline bitfld.quad 0x00 41. "ESHWSHAEP,Enables snoop hazard while waiting for second half of atomic exclusive pair" "Disabled,Enabled" bitfld.quad 0x00 39. "DIM,Disable instruction merging" "No,Yes" newline bitfld.quad 0x00 38. "FFPSCRWF,Force FPSCR write flush" "Not forced,Forced" bitfld.quad 0x00 37. "DIGS,Disable instruction group split" "No,Yes" newline bitfld.quad 0x00 36. "FIDSBONASBE,Force implicit DSB on an ISB event" "Not forced,Forced" bitfld.quad 0x00 34. "DSBP,Disable Static Branch Predictor" "No,Yes" newline bitfld.quad 0x00 33. "DL1ICWPIMBTB,Disable L1 Instruction Cache way prediction in micro-BTB" "No,Yes" bitfld.quad 0x00 32. "DL1ICP,Disable L1 Instruction Cache prefetch" "No,Yes" newline bitfld.quad 0x00 31. "SDEH,Snoop-delayed exclusive handling" "Disabled,Enabled" bitfld.quad 0x00 30. "FMCEA,Force main clock enable active" "Not forced,Forced" newline bitfld.quad 0x00 29. "FASIMDFPCEA,Force Advanced SIMD and floating-point clock enable active" "Disabled,Enabled" bitfld.quad 0x00 27.--28. "WSNAT,Write streaming no-allocate threshold" "12th,128th,512th,Disabled" newline bitfld.quad 0x00 25.--26. "WSNL1AT,Write streaming no-L1-allocate threshold" "4th,64th,128th,Disabled" bitfld.quad 0x00 24. "NCSE,Non-cacheable streaming enhancement" "Disabled,Enabled" newline bitfld.quad 0x00 23. "FIORTTSSAW,Force in-order requests to the same set and way" "Not forced,Forced" bitfld.quad 0x00 22. "FIOLI,Force in-order load issue" "Not forced,Forced" newline bitfld.quad 0x00 21. "DL2TLBP,Disable L2 TLB prefetching" "No,Yes" bitfld.quad 0x00 20. "DL2TTWIPAPAC,Disable L2 translation table walk IPA PA cache" "No,Yes" newline bitfld.quad 0x00 19. "DL2S1TTWC,Disable L2 stage 1 translation table walk cache" "No,Yes" bitfld.quad 0x00 18. "DL2S1TTWL2PAC,Disable L2 stage 1 translation table walk L2 PA cache" "No,Yes" newline bitfld.quad 0x00 17. "DL2TLBPO,Disable L2 TLB performance optimization" "No,Yes" bitfld.quad 0x00 16. "EFSOADLR,Enable full Strongly-ordered and Device load replay" "Disabled,Enabled" newline bitfld.quad 0x00 15. "FIOIIBEU,Force in-order issue in branch execute unit" "Not forced,Forced" bitfld.quad 0x00 14. "FLOFOIGCDAPC,Force limit of one instruction group commit/de-allocate per cycle" "Not forced,Forced" newline bitfld.quad 0x00 13. "FASPRW,Flush after Special Purpose Register (SPR) writes" "Disabled,Enabled" bitfld.quad 0x00 12. "FPOSPRS,Force push of SPRs" "Disabled,Enabled" newline bitfld.quad 0x00 11. "LTOIPIG,Limit to one instruction per instruction group" "Disabled,Enabled" bitfld.quad 0x00 10. "FSAEIG,Force serialization after each instruction group" "Not forced,Forced" newline bitfld.quad 0x00 9. "DFRO,Disable flag renaming optimization" "No,Yes" bitfld.quad 0x00 8. "EWFIIAANOPI,Execute WFI instruction as a NOP instruction" "Disabled,Enabled" newline bitfld.quad 0x00 7. "EWFEIAANOPI,Execute WFE instruction as a NOP instruction" "Disabled,Enabled" bitfld.quad 0x00 5. "EPLDPLDWIASNOP,Execute PLDand PLDWinstructions as a NOP" "Disabled,Enabled" newline bitfld.quad 0x00 4. "DIP,Disable indirect predictor" "No,Yes" bitfld.quad 0x00 3. "DMBTB,Disable micro-BTB" "No,Yes" newline bitfld.quad 0x00 1. "DICMS,Disable Instruction Cache miss streaming" "No,Yes" bitfld.quad 0x00 0. "EIOBTB,Enable invalidates of BTB" "Disabled,Enabled" group.quad spr:0x31F21++0x00 line.quad 0x00 "CPUECTLR_EL1,CPU Extended Control Register" bitfld.quad 0x00 38. "DTWDAP,Disable table walk descriptor access prefetch" "No,Yes" bitfld.quad 0x00 35.--36. "L2IFPD,L2 instruction fetch prefetch distance" "0 requests,1 request,2 requests,3 requests" newline bitfld.quad 0x00 32.--33. "L2LSDPD,L2 load/store data prefetch distance" "16 requests,18 requests,20 requests,22 requests" bitfld.quad 0x00 6. "SMPEN,Enables the processor to receive instruction cache and TLB maintenance operations broadcast from other processors in the cluster" "Disabled,Enabled" newline bitfld.quad 0x00 0.--2. "CPURETCTL,CPU retention control" "Disabled,2 ticks,8 ticks,32 ticks,64 ticks,128 ticks,256 ticks,512 ticks" group.quad spr:0x31F22++0x00 line.quad 0x00 "CPUMERRSR_EL1,CPU Memory Error Syndrome Register" bitfld.quad 0x00 63. "FATAL,Fatal bit" "0,1" hexmask.quad.byte 0x00 40.--47. 1. "OEC,Other error count" newline hexmask.quad.byte 0x00 32.--39. 1. "REC,Repeat error count" bitfld.quad 0x00 31. "VALID,Valid bit" "Not valid,Valid" newline hexmask.quad.byte 0x00 24.--30. 1. "RAMID,RAM Identifier" bitfld.quad 0x00 18.--22. "B/W,Bank/Way" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.tbyte 0x00 0.--17. 1. "INDEX,Indicates the index address of the first memory error" group.quad spr:0x34101++0x0 line.quad 0x00 "ACTLR_EL2,Auxiliary Control Register" bitfld.quad 0x00 6. "L2ACTLRAC,L2ACTLR write access control" "Disabled,Enabled" bitfld.quad 0x00 5. "L2ECTLRAC,L2ECTLR write access control" "Disabled,Enabled" newline bitfld.quad 0x00 4. "L2CTLRAC,L2CTLR write access control" "Disabled,Enabled" bitfld.quad 0x00 1. "CPUECTLRAC,CPUECTLR write access control" "Disabled,Enabled" newline bitfld.quad 0x00 0. "CPUACTLRAC,CPUACTLR write access control" "Disabled,Enabled" group.quad spr:0x36101++0x0 line.quad 0x00 "ACTLR_EL3,Auxiliary Control Register" bitfld.quad 0x00 6. "L2ACTLRAC,L2ACTLR write access control" "Disabled,Enabled" bitfld.quad 0x00 5. "L2ECTLRAC,L2ECTLR write access control" "Disabled,Enabled" newline bitfld.quad 0x00 4. "L2CTLRAC,L2CTLR write access control" "Disabled,Enabled" bitfld.quad 0x00 1. "CPUECTLRAC,CPUECTLR write access control" "Disabled,Enabled" newline bitfld.quad 0x00 0. "CPUACTLRAC,CPUACTLR write access control" "Disabled,Enabled" group.quad spr:0x30102++0x00 line.quad 0x00 "CPACR_EL1,Architectural Feature Access Control Register" bitfld.quad 0x00 20.--21. "FPEN,Traps instructions that access registers associated with Advanced SIMD and Floating-point execution to trap to EL1 when executed from EL0 or EL1" "Trap EL0/EL1,Trap EL0,Trap EL0/EL1,No trap" group.quad spr:0x36110++0x0 line.quad 0x00 "SCR_EL3,Secure Configuration Register" bitfld.quad 0x00 13. "TWE,Trap WFE Instructions" "Not trapped,Trapped" bitfld.quad 0x00 12. "TWI,Trap WFI Instructions" "Not trapped,Trapped" newline bitfld.quad 0x00 11. "ST,Enable secure EL1 access" "Disabled,Enabled" bitfld.quad 0x00 10. "RW,Register width control for lower exception levels" "AArch32,AArch64" newline bitfld.quad 0x00 9. "SIF,Secure Instruction Fetch" "Permitted,Not permitted" bitfld.quad 0x00 8. "HCE,Hypervisor Call enable" "Disabled,Enabled" newline bitfld.quad 0x00 7. "SMD,Secure Monitor Call disable" "No,Yes" bitfld.quad 0x00 3. "EA,External Abort and SError Interrupt Routing" "Not to EL3,To EL3" newline bitfld.quad 0x00 2. "FIQ,Physical FIQ Routing" "Not to EL3,To EL3" bitfld.quad 0x00 1. "IRQ,Physical IRQ Routing" "Not to EL3,To EL3" newline bitfld.quad 0x00 0. "NS,Secure mode " "Secure,Non-secure" group.quad spr:0x34110++0x00 line.quad 0x00 "HCR_EL2,Hypervisor Configuration Register" bitfld.quad 0x00 33. "ID,Stage 2 Instruction cache disable" "No,Yes" bitfld.quad 0x00 32. "CD,Stage 2 Data cache disable" "No,Yes" newline bitfld.quad 0x00 31. "RW,Register width control for lower exception levels" "AArch32,EL1 is 64-bit" bitfld.quad 0x00 30. "TRVM,Trap Read of Virtual Memory controls" "Disabled,Enabled" newline bitfld.quad 0x00 29. "HCD,Hypervisor Call Disable" "No,Yes" bitfld.quad 0x00 28. "TDZ,Trap DC ZVA instruction" "Disabled,Enabled" newline bitfld.quad 0x00 27. "TGE,Trap General Exceptions has an enhanced role when EL2 is using AArch64" "Disabled,Enabled" bitfld.quad 0x00 26. "TVM,Trap Virtual Memory controls to EL2" "Disabled,Enabled" newline bitfld.quad 0x00 25. "TTLB,Trap TLB maintenance instructions to EL2" "Disabled,Enabled" bitfld.quad 0x00 24. "TPU,Trap Cache maintenance instructions to Point of Unificiation to EL2" "Disabled,Enabled" newline bitfld.quad 0x00 23. "TPC,Trap Data/Unified Cache maintenance instructions to Point of Coherency tp EL2" "Disabled,Enabled" bitfld.quad 0x00 22. "TSW,Trap Data/Unified Cache maintenance instructions by Set/Way to EL2" "Disabled,Enabled" newline bitfld.quad 0x00 21. "TACR,Trap Auxiliary Control Register" "Disabled,Enabled" bitfld.quad 0x00 20. "TIDCP,Trap Implementation Dependent functionality" "Disabled,Enabled" newline bitfld.quad 0x00 19. "TSC,Trap SMC" "Disabled,Enabled" bitfld.quad 0x00 18. "TID3,Trap ID Group 3" "Disabled,Enabled" newline bitfld.quad 0x00 17. "TID2,Trap ID Group 2" "Disabled,Enabled" bitfld.quad 0x00 16. "TID1,Trap ID Group 1" "Disabled,Enabled" newline bitfld.quad 0x00 15. "TID0,Trap ID Group 0" "Disabled,Enabled" bitfld.quad 0x00 14. "TWE,Trap WFE" "Disabled,Enabled" newline bitfld.quad 0x00 13. "TWI,Trap WFI" "Disabled,Enabled" bitfld.quad 0x00 12. "DC,Default Cacheable" "Disabled,Enabled" newline bitfld.quad 0x00 10.--11. "BSU,Barrier Shareability upgrade. determines the minimum shareability domain that is applied to any barrier executed from EL1 or EL0" "No effect,Inner Shareable,Outer Shareable,Full System" bitfld.quad 0x00 9. "FB,Force broadcast" "Not forced,Forced" newline bitfld.quad 0x00 8. "VSE,Virtual System Error/Asynchronous Abort:" "No pending,Pending" bitfld.quad 0x00 7. "VI,Virtual IRQ Interrupt" "Not pending,Pending" newline bitfld.quad 0x00 6. "VF,Virtual FIQ Interrupt" "Not pending,Pending" bitfld.quad 0x00 5. "AMO,Asynchronous abort and error interrupt routing" "Disabled,Enabled" newline bitfld.quad 0x00 4. "IMO,Physical IRQ Routing" "Disabled,Enabled" bitfld.quad 0x00 3. "FMO,Physical FIQ Routing" "Disabled,Enabled" newline bitfld.quad 0x00 2. "PTW,Protected Table Walk" "Disabled,Enabled" bitfld.quad 0x00 1. "SWIO,Set/Way Invalidation Override" "Disabled,Enabled" newline bitfld.quad 0x00 0. "VM,Second stage of Translation enable" "Disabled,Enabled" group.quad spr:0x30510++0x00 line.quad 0x00 "AFSR0_EL1,Auxiliary Fault Status Registers 0 (EL1)" group.quad spr:0x30511++0x00 line.quad 0x00 "AFSR1_EL1,Auxiliary Fault Status Registers 1 (EL1)" group.quad spr:0x34510++0x00 line.quad 0x00 "AFSR0_EL2,Auxiliary Fault Status Registers 0 (EL2)" group.quad spr:0x34511++0x00 line.quad 0x00 "AFSR1_EL2,Auxiliary Fault Status Registers 1 (EL2)" group.quad spr:0x36510++0x00 line.quad 0x00 "AFSR0_EL3,Auxiliary Fault Status Registers 0 (EL3)" group.quad spr:0x36511++0x00 line.quad 0x00 "AFSR1_EL3,Auxiliary Fault Status Registers 1 (EL3)" tree.open "Exception Syndrome Registers" if (((per.q(spr:0x30520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" elif (((per.q(spr:0x30520))&0xFC000000)==0x04000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" elif (((per.q(spr:0x30520))&0xFC000000)==(0x0C000000||0x14000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x30520))&0xFC000000)==(0x10000000||0x30000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x30520))&0xFC000000)==0x18000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x30520))&0xFC000000)==0x1C000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" elif (((per.q(spr:0x30520))&0xFC000000)==(0x44000000||0x54000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif (((per.q(spr:0x30520))&0xFC000000)==0x60000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3" newline bitfld.quad 0x00 17.--19. "OP2,Op2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OP1,Op1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x30520))&0xFC000000)==(0x80000000||0x84000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 9. "EA,External abort type" "DECERR,SLVERR" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/TTBR[0/1],Reserved,Reserved,Reserved,Reserved,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort,Reserved,Reserved,Reserved,Reserved,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity,Reserved,Reserved,Reserved,Reserved,Sync. parity/1st level,Sync. parity/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Debug,?..." elif (((per.q(spr:0x30520))&0xFD000000)==(0x91000000||0x95000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" elif (((per.q(spr:0x30520))&0xFD000000)==(0x90000000||0x94000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" elif (((per.q(spr:0x30520))&0xFC800000)==(0xA0800000||0xB0800000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred" elif (((per.q(spr:0x30520))&0xFC800000)==(0xA0000000||0xB0000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" elif (((per.q(spr:0x30520))&0xFD000000)==0xBD000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 15. "UNASE,Unattributable System Error" "Attributable,Unattributable" newline bitfld.quad 0x00 14. "UNCSE,Uncontainable System Error" "Containable,Uncontainable" bitfld.quad 0x00 0.--1. "SES,System Error Source" "Decode,ECC,Slave," elif (((per.q(spr:0x30520))&0xFD000000)==0xBC000000) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" elif (((per.q(spr:0x30520))&0xFC000000)==(0xC0000000||0xC4000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.q(spr:0x30520))&0xFC000000)==(0xC8000000||0xCC000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,EX bit valid" "Not valid,Valid" bitfld.quad 0x00 6. "EX,Exclusive operation" "No,Yes" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.q(spr:0x30520))&0xFC000000)==(0xD0000000||0xD4000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.q(spr:0x30520))&0xFC000000)==(0xE0000000||0xF0000000)) group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value" else group.quad spr:0x30520++0x00 line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" endif if (((per.q(spr:0x34520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000||0x4C000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" elif (((per.q(spr:0x34520))&0xFC000000)==0x04000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" elif (((per.q(spr:0x34520))&0xFC000000)==(0x0C000000||0x14000000||0x20000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x34520))&0xFC000000)==(0x10000000||0x30000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x34520))&0xFC000000)==0x18000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x34520))&0xFC000000)==0x1C000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" elif (((per.q(spr:0x34520))&0xFC000000)==(0x44000000||0x48000000||0x54000000||0x58000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif (((per.q(spr:0x34520))&0xFC000000)==0x5C000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the issued SMC instruction" elif (((per.q(spr:0x34520))&0xFC000000)==0x60000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3" newline bitfld.quad 0x00 17.--19. "OP2,Op2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OP1,Op1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x34520))&0xFC000000)==(0x80000000||0x84000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 9. "EA,External abort type" "DECERR,SLVERR" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..." elif (((per.q(spr:0x34520))&0xFD000000)==(0x91000000||0x95000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" elif (((per.q(spr:0x34520))&0xFD000000)==(0x90000000||0x94000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" elif (((per.q(spr:0x34520))&0xFC800000)==(0xA0800000||0xB0800000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred" elif (((per.q(spr:0x34520))&0xFC800000)==(0xA0000000||0xB0000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" elif (((per.q(spr:0x34520))&0xFD000000)==0xBD000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" hexmask.quad.tbyte 0x00 0.--23. 1. "IS,Additional information about the SError interrupt" elif (((per.q(spr:0x34520))&0xFD000000)==0xBC000000) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" elif (((per.q(spr:0x34520))&0xFC000000)==(0xC0000000||0xC4000000||0xE8000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.q(spr:0x34520))&0xFC000000)==(0xC8000000||0xCC000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,EX bit valid" "Not valid,Valid" bitfld.quad 0x00 6. "EX,Exclusive operation" "No,Yes" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.q(spr:0x34520))&0xFC000000)==(0xD0000000||0xD4000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.q(spr:0x34520))&0xFC000000)==(0xE0000000||0xF0000000)) group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value" else group.quad spr:0x34520++0x00 line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" endif if (((per.q(spr:0x36520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000||0x4C000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" elif (((per.q(spr:0x36520))&0xFC000000)==0x04000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE" elif (((per.q(spr:0x36520))&0xFC000000)==(0x0C000000||0x14000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x36520))&0xFC000000)==(0x10000000||0x30000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x36520))&0xFC000000)==0x18000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x36520))&0xFC000000)==0x1C000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" elif (((per.q(spr:0x36520))&0xFC000000)==(0x54000000||0x58000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif (((per.q(spr:0x36520))&0xFC000000)==0x5C000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the issued SMC instruction" elif (((per.q(spr:0x36520))&0xFC000000)==0x60000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3" newline bitfld.quad 0x00 17.--19. "OP2,Op2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 14.--16. "OP1,Op1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.q(spr:0x36520))&0xFC000000)==0x7C000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.long 0x00 0.--24. 1. "IMPL_DEF,Implementation defined" elif (((per.q(spr:0x36520))&0xFC000000)==(0x80000000||0x84000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 9. "EA,External abort type" "DECERR,SLVERR" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/TTBR[0/1],Reserved,Reserved,Reserved,Reserved,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort,Reserved,Reserved,Reserved,Reserved,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity,Reserved,Reserved,Reserved,Reserved,Sync. parity/1st level,Sync. parity/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Debug,?..." elif (((per.q(spr:0x36520))&0xFD000000)==(0x91000000||0x95000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" elif (((per.q(spr:0x36520))&0xFD000000)==(0x90000000||0x94000000)) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.quad 0x00 9. "EA,External abort type" "Not external,External" newline bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" elif (((per.q(spr:0x36520))&0xFC800000)==0xB0800000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred" newline bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred" bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred" elif (((per.q(spr:0x36520))&0xFC800000)==0xB0000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" elif (((per.q(spr:0x36520))&0xFD000000)==0xBD000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" hexmask.quad.tbyte 0x00 0.--23. 1. "IS,Additional information about the SError interrupt" elif (((per.q(spr:0x36520))&0xFD000000)==0xBC000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" elif (((per.q(spr:0x36520))&0xFC000000)==0xF0000000) group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value" else group.quad spr:0x36520++0x00 line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)" bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,IMPLEMENTATION DEFINED,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" endif tree.end newline if (((per.q(spr:0x34501))&0x200)==0x200) group.quad spr:0x34501++0x00 line.quad 0x00 "IFSR32_EL2,Instruction Fault Status Register" bitfld.quad 0x00 12. "EXT,External abort type" "DECERR,SLVERR" bitfld.quad 0x00 9. "LPAE,Large physical address extension" "Short,Long" newline bitfld.quad 0x00 0.--5. "STATUS,Fault Status bits" "Address size/0th level,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Access flag/0th level,Access flag/1st level,Access flag/2nd level,Access flag/3rd level,Permission/0th level,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external,Reserved,Reserved,Reserved,Sync. external/on TTW/0th level,Sync. external/on TTW/1st level,Sync. external/on TTW/2nd level,Sync. external/on TTW/3rd level,Sync. parity,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/0th level,Sync. parity/on memory access/on TTW/1st level,Sync. parity/on memory access/on TTW/2nd level,Sync. parity/on memory access/on TTW/3rd level,Reserved,Alignment,Debug event,?..." else group.quad spr:0x34501++0x00 line.quad 0x00 "IFSR32_EL2,Instruction Fault Status Register" bitfld.quad 0x00 12. "EXT,External abort type" "DECERR,SLVERR" bitfld.quad 0x00 9. "LPAE,Large physical address extension" "Short,Long" newline bitfld.quad 0x00 0.--3. 10. "FS[3:0],Fault Status bits" "Reserved,Alignment,Debug event,Access flag/1st level,Reserved,Translation/1st level,Access flag/2nd level,Translation/2nd level,Sync. external/non-translation,Domain/1st level,Reserved,Domain/2nd level,Sync. external/on TTW/1st level,Permission/1st level,Sync. external/on TTW/2nd level,Permission/2nd level,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. external,Reserved,Async. parity/on memory access,Sync. parity/on memory access,Reserved,Reserved,Sync. parity/on TTW/1st level,Reserved,Sync. parity/on TTW/2nd level,?..." endif group.quad spr:0x30600++0x00 line.quad 0x00 "FAR_EL1,Fault Address Register (EL1)" group.quad spr:0x34600++0x00 line.quad 0x00 "FAR_EL2,Fault Address Register (EL2)" group.quad spr:0x36600++0x00 line.quad 0x00 "FAR_EL3,Fault Address Register (EL3)" group.quad spr:0x34604++0x00 line.quad 0x00 "HPFAR_EL2,Hypervisor IPA Fault Address Register" hexmask.quad 0x00 4.--39. 0x10 "FIPA,Faulting intermediate physical address" group.quad spr:0x30C00++0x00 line.quad 0x00 "VBAR_EL1,Vector Base Address Register" hexmask.quad 0x00 11.--63. 0x8 "VBA,Base address of the exception vectors for exceptions taken in this exception level" group.quad spr:0x34C00++0x00 line.quad 0x00 "VBAR_EL2,Vector Base Address Register" hexmask.quad 0x00 11.--63. 0x8 "VBA,Base address of the exception vectors for exceptions taken in this exception level" group.quad spr:0x36C00++0x00 line.quad 0x00 "VBAR_EL3,Vector Base Address Register" hexmask.quad 0x00 11.--63. 0x8 "VBA,Base address of the exception vectors for exceptions taken in this exception level" rgroup.quad spr:0x30C10++0x00 line.quad 0x00 "ISR_EL1,Interrupt Status Register" bitfld.quad 0x00 8. "A,External abort pending flag" "Not pending,Pending" bitfld.quad 0x00 7. "I,Interrupt pending flag" "Not pending,Pending" bitfld.quad 0x00 6. "F,Fast interrupt pending flag" "Not pending,Pending" group.quad spr:0x36C02++0x00 line.quad 0x00 "RMR_EL3,Reset Management Register" bitfld.quad 0x00 1. "RR,Reset Request" "Not requested,Requested" bitfld.quad 0x00 0. "AA64,Determines which execution state the processor boots into after a warmreset" "AArch32,AArch64" rgroup.quad spr:0x36C01++0x00 line.quad 0x00 "RVBAR_EL3,Reset Vector Base Address Register" hexmask.quad 0x00 2.--43. 0x4 "RVBA,Reset Vector Base Address" rgroup.quad spr:0x31F30++0x00 line.quad 0x00 "CBAR_EL1,Configuration Base Address Register" hexmask.quad.long 0x00 18.--43. 1. "PERIPHBASE[43:18],Periphbase[43:18]" group.quad spr:0x30D01++0x00 line.quad 0x00 "CONTEXTIDR_EL1,Context ID Register" group.quad spr:0x33D02++0x00 line.quad 0x00 "TPIDR_EL0,Software Thread ID registers" group.quad spr:0x33D03++0x00 line.quad 0x00 "TPIDRRO_EL0,Software Thread ID registers" group.quad spr:0x30D04++0x00 line.quad 0x00 "TPIDR_EL1,Software Thread ID registers" group.quad spr:0x34D02++0x00 line.quad 0x00 "TPIDR_EL2,Software Thread ID registers" group.quad spr:0x36D02++0x00 line.quad 0x00 "TPIDR_EL3,Software Thread ID registers" tree.end tree "Memory Management Unit" group.quad spr:0x30100++0x0 line.quad 0x00 "SCTLR_EL1,Control Register (EL1)" bitfld.quad 0x00 26. "UCI,EL0 access enable (DC CVAU|DC CIVAC|DC CVAC|IC IVAU)" "Disabled,Enabled" bitfld.quad 0x00 25. "EE,Exception endianess" "Little,Big" newline bitfld.quad 0x00 24. "E0E,Endianness of explicit data access at EL0" "Little,Big" bitfld.quad 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.quad 0x00 18. "NTWE,Not trap WFE" "No,Yes" bitfld.quad 0x00 16. "NTWI,Not trap WFI" "No,Yes" newline bitfld.quad 0x00 15. "UCT,EL0 access enable (CTR_EL0)" "Disabled,Enabled" bitfld.quad 0x00 14. "DZE,EL0 access enable (DC ZVA)" "Disabled,Enabled" newline bitfld.quad 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" bitfld.quad 0x00 9. "UMA,User Mask Access" "Disabled,Enabled" newline bitfld.quad 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.quad 0x00 7. "ITD,IT instruction disable" "No,Yes" newline bitfld.quad 0x00 6. "THEE,Thumb EE enable" "Disabled,Enabled" bitfld.quad 0x00 5. "CP15BEN,CP15 barrier enable" "Disabled,Enabled" newline bitfld.quad 0x00 4. "SA0,EL0 stack alignment check enable" "Disabled,Enabled" bitfld.quad 0x00 3. "SA,SP stack alignment check enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.quad 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.quad 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" group.quad spr:0x34100++0x0 line.quad 0x00 "SCTLR_EL2,Control Register (EL2)" bitfld.quad 0x00 25. "EE,Exception endianess" "Little,Big" bitfld.quad 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.quad 0x00 12. "I,Instruction cache enable" "Disabled,Enabled" bitfld.quad 0x00 3. "SA,SP stack alignment check enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.quad 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.quad 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" group.quad spr:0x36100++0x0 line.quad 0x00 "SCTLR_EL3,Control Register (EL3)" bitfld.quad 0x00 25. "EE,Exception endianess" "Little,Big" bitfld.quad 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.quad 0x00 12. "I,Instruction cache enable" "Disabled,Enabled" bitfld.quad 0x00 3. "SA,SP stack alignment check enable" "Disabled,Enabled" newline bitfld.quad 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.quad 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.quad 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" group.quad spr:0x34110++0x00 line.quad 0x00 "HCR_EL2,Hypervisor Configuration Register" bitfld.quad 0x00 33. "ID,Stage 2 Instruction cache disable" "No,Yes" bitfld.quad 0x00 32. "CD,Stage 2 Data cache disable" "No,Yes" newline bitfld.quad 0x00 31. "RW,Register width control for lower exception levels" "AArch32,EL1 is 64-bit" bitfld.quad 0x00 30. "TRVM,Trap Read of Virtual Memory controls" "Disabled,Enabled" newline bitfld.quad 0x00 29. "HCD,Hypervisor Call Disable" "No,Yes" bitfld.quad 0x00 28. "TDZ,Trap DC ZVA instruction" "Disabled,Enabled" newline bitfld.quad 0x00 27. "TGE,Trap General Exceptions has an enhanced role when EL2 is using AArch64" "Disabled,Enabled" bitfld.quad 0x00 26. "TVM,Trap Virtual Memory controls to EL2" "Disabled,Enabled" newline bitfld.quad 0x00 25. "TTLB,Trap TLB maintenance instructions to EL2" "Disabled,Enabled" bitfld.quad 0x00 24. "TPU,Trap Cache maintenance instructions to Point of Unificiation to EL2" "Disabled,Enabled" newline bitfld.quad 0x00 23. "TPC,Trap Data/Unified Cache maintenance instructions to Point of Coherency tp EL2" "Disabled,Enabled" bitfld.quad 0x00 22. "TSW,Trap Data/Unified Cache maintenance instructions by Set/Way to EL2" "Disabled,Enabled" newline bitfld.quad 0x00 21. "TACR,Trap Auxiliary Control Register" "Disabled,Enabled" bitfld.quad 0x00 20. "TIDCP,Trap Implementation Dependent functionality" "Disabled,Enabled" newline bitfld.quad 0x00 19. "TSC,Trap SMC" "Disabled,Enabled" bitfld.quad 0x00 18. "TID3,Trap ID Group 3" "Disabled,Enabled" newline bitfld.quad 0x00 17. "TID2,Trap ID Group 2" "Disabled,Enabled" bitfld.quad 0x00 16. "TID1,Trap ID Group 1" "Disabled,Enabled" newline bitfld.quad 0x00 15. "TID0,Trap ID Group 0" "Disabled,Enabled" bitfld.quad 0x00 14. "TWE,Trap WFE" "Disabled,Enabled" newline bitfld.quad 0x00 13. "TWI,Trap WFI" "Disabled,Enabled" bitfld.quad 0x00 12. "DC,Default Cacheable" "Disabled,Enabled" newline bitfld.quad 0x00 10.--11. "BSU,Barrier Shareability upgrade - determines the minimum shareability domain that is applied to any barrier executed from EL1 or EL0" "No effect,Inner Shareable,Outer Shareable,Full System" bitfld.quad 0x00 9. "FB,Force broadcast" "Not forced,Forced" newline bitfld.quad 0x00 8. "VSE,Virtual System Error/Asynchronous Abort" "No pending,Pending" bitfld.quad 0x00 7. "VI,Virtual IRQ Interrupt" "Not pending,Pending" newline bitfld.quad 0x00 6. "VF,Virtual FIQ Interrupt" "Not pending,Pending" bitfld.quad 0x00 5. "AMO,Asynchronous abort and error interrupt routing" "Disabled,Enabled" newline bitfld.quad 0x00 4. "IMO,Physical IRQ Routing" "Disabled,Enabled" bitfld.quad 0x00 3. "FMO,Physical FIQ Routing" "Disabled,Enabled" newline bitfld.quad 0x00 2. "PTW,Protected Table Walk" "Disabled,Enabled" bitfld.quad 0x00 1. "SWIO,Set/Way Invalidation Override" "Disabled,Enabled" newline bitfld.quad 0x00 0. "VM,Second stage of Translation enable" "Disabled,Enabled" group.quad spr:0x30200++0x00 line.quad 0x00 "TTBR0_EL1,Translation Table Base Register 0 (EL1)" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 0.--47. 1. "BADDR,Translation table base address" group.quad spr:0x30201++0x00 line.quad 0x00 "TTBR1_EL1,Translation Table Base Register 1 (EL1)" hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address" hexmask.quad 0x00 0.--47. 1. "BADDR,Translation table base address" group.quad spr:0x30202++0x00 line.quad 0x00 "TCR_EL1,Translation Control Register (EL1)" bitfld.quad 0x00 38. "TBI1,Top Byte Ignored 1" "Not ignored,Ignored" bitfld.quad 0x00 37. "TBI0,Top Byte Ignored 0" "Not ignored,Ignored" newline bitfld.quad 0x00 36. "AS,ASID size" "8-bit,16-bit" bitfld.quad 0x00 32.--34. "IPS,Intermediate Physical Address Size" "32 bits/4 GB,36 bits/64 GB,40 bits/1 TB,42 bits/4 TB,44 bits/16 TB,48 bits/256 TB,Reserved,Reserved" newline bitfld.quad 0x00 30. "TG1,TTBR1_EL1 granule size" "4 KB,64 KB" bitfld.quad 0x00 28.--29. "SH1,Shareability attribute for memory associated with translation table walks using TTBR1_EL1" "Non-shareable,Reserved,Outer shareable,Inner shareable" newline bitfld.quad 0x00 26.--27. "ORGN1,Outer cacheability attribute for memory associated with translation table walks using TTBR1_EL1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.quad 0x00 24.--25. "IRGN1,Inner cacheability attribute for memory associated with translation table walks using TTBR1_EL1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.quad 0x00 23. "EPD1,Translation table walk disable for translations using TTBR1_EL1" "Enabled,Disabled" bitfld.quad 0x00 22. "A1,Selects whether TTBR0_EL1 or TTBR1_EL1 defines the ASID" "TTBR0_EL1,TTBR1_EL1" newline bitfld.quad 0x00 16.--21. "T1SZ,Size offset of the memory region addressed by TTBR1_EL1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x00 14. "TG0,TTBR0_EL1 granule size" "4 KB,64 KB" newline bitfld.quad 0x00 12.--13. "SH0,Shareability attribute for memory associated with translation table walks using TTBR0_EL1" "Non-shareable,Reserved,Outer shareable,Inner shareable" bitfld.quad 0x00 10.--11. "ORGN0,Outer cacheability attribute for memory associated with translation table walks using TTBR0_EL1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.quad 0x00 8.--9. "IRGN0,Inner cacheability attribute for memory associated with translation table walks using TTBR0_EL1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memory region addressed by TTBR0_EL1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.quad spr:0x34200++0x00 line.quad 0x00 "TTBR0_EL2,Translation Table Base Register 0 (EL2)" hexmask.quad 0x00 0.--47. 1. "BADDR,Translation table base address" group.quad spr:0x34202++0x00 line.quad 0x00 "TCR_EL2,Translation Control Register (EL2)" bitfld.quad 0x00 20. "TBI,Top Byte Ignored" "Not ignored,Ignored" bitfld.quad 0x00 16.--18. "PS,Intermediate Physical Address Size" "32 bits/4 GB,36 bits/64 GB,40 bits/1 TB,Reserved,Reserved,Reserved,Reserved,Reserved" newline bitfld.quad 0x00 14.--15. "TG0,TTBR0_EL2 granule size" "4 KB,64 KB,16 KB,Reserved" bitfld.quad 0x00 12.--13. "SH0,Shareability attribute for memory associated with translation table walks using TTBR0_EL2" "Non-shareable,Reserved,Outer shareable,Inner shareable" newline bitfld.quad 0x00 10.--11. "ORGN0,Outer cacheability attribute for memory associatedwith translation table walks using TTBR0_EL2" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.quad 0x00 8.--9. "IRGN0,Inner cacheability attribute for memory associated with translation table walks using TTBR0_EL2" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memoryregion addressed by TTBR0_EL2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.quad spr:0x36200++0x00 line.quad 0x00 "TTBR0_EL3,Translation Table Base Register 0 (EL3)" hexmask.quad 0x00 0.--47. 1. "BADDR,Translation table base address" group.quad spr:0x36202++0x00 line.quad 0x00 "TCR_EL3,Translation Control Register (EL3)" bitfld.quad 0x00 20. "TBI,Top Byte Ignored" "Not ignored,Ignored" bitfld.quad 0x00 16.--18. "PS,Intermediate Physical Address Size" "32 bits/4 GB,36 bits/64 GB,40 bits/1 TB,Reserved,Reserved,Reserved,Reserved,Reserved" newline bitfld.quad 0x00 14.--15. "TG0,TTBR0_EL3 granule size" "4 KB,64 KB,16 KB,Reserved" bitfld.quad 0x00 12.--13. "SH0,Shareability attribute for memory associated with translation table walks using TTBR0_EL3" "Non-shareable,Reserved,Outer shareable,Inner shareable" newline bitfld.quad 0x00 10.--11. "ORGN0,Outer cacheability attribute for memory associatedwith translation table walks using TTBR0_EL3" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.quad 0x00 8.--9. "IRGN0,Inner cacheability attribute for memory associated with translation table walks using TTBR0_EL3" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" newline bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memoryregion addressed by TTBR0_EL3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.quad spr:0x34300++0x00 line.quad 0x00 "DACR32_EL2,Domain Access Control Register" bitfld.quad 0x00 30.--31. "D15,Domain Access 15" "Denied,Client,Reserved,Manager" bitfld.quad 0x00 28.--29. "D14,Domain Access 14" "Denied,Client,Reserved,Manager" newline bitfld.quad 0x00 26.--27. "D13,Domain Access 13" "Denied,Client,Reserved,Manager" bitfld.quad 0x00 24.--25. "D12,Domain Access 12" "Denied,Client,Reserved,Manager" newline bitfld.quad 0x00 22.--23. "D11,Domain Access 11" "Denied,Client,Reserved,Manager" bitfld.quad 0x00 20.--21. "D10,Domain Access 10" "Denied,Client,Reserved,Manager" newline bitfld.quad 0x00 18.--19. "D9,Domain Access 9" "Denied,Client,Reserved,Manager" bitfld.quad 0x00 16.--17. "D8,Domain Access 8" "Denied,Client,Reserved,Manager" newline bitfld.quad 0x00 14.--15. "D7,Domain Access 7" "Denied,Client,Reserved,Manager" bitfld.quad 0x00 12.--13. "D6,Domain Access 6" "Denied,Client,Reserved,Manager" newline bitfld.quad 0x00 10.--11. "D5,Domain Access 5" "Denied,Client,Reserved,Manager" bitfld.quad 0x00 8.--9. "D4,Domain Access 4" "Denied,Client,Reserved,Manager" newline bitfld.quad 0x00 6.--7. "D3,Domain Access 3" "Denied,Client,Reserved,Manager" bitfld.quad 0x00 4.--5. "D2,Domain Access 2" "Denied,Client,Reserved,Manager" newline bitfld.quad 0x00 2.--3. "D1,Domain Access 1" "Denied,Client,Reserved,Manager" bitfld.quad 0x00 0.--1. "D0,Domain Access 0" "Denied,Client,Reserved,Manager" if (((per.q(spr:0x30740))&0xF000000000000001)==0x0000000000000000) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device,Reserved,Reserved,Reserved,Normal/Non-cacheable,Reserved,Reserved,Reserved,Normal/Write-Through,Normal/Write-Through/Write,Normal/Write-Through/Read,Normal/Write-Through/Write|Read,Normal/Write-Back,Normal/Write-Back/Write,Normal/Write-Back/Read,Normal/Write-Back/Write|Read" bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "Device-nGnRnE,Reserved,Reserved,Reserved,Device,?..." newline hexmask.quad 0x00 12.--43. 0x1000 "PA[43:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif ((((per.q(spr:0x30740))&0x01)==0x00)&&(((per.q(spr:0x30740))&0xF000000000000000)==(0x1000000000000000||0x2000000000000000||0x3000000000000000||0x5000000000000000||0x6000000000000000||0x7000000000000000))) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device,Reserved,Reserved,Reserved,Normal/Non-cacheable,Reserved,Reserved,Reserved,Normal/Write-Through,Normal/Write-Through/Write,Normal/Write-Through/Read,Normal/Write-Through/Write|Read,Normal/Write-Back,Normal/Write-Back/Write,Normal/Write-Back/Read,Normal/Write-Back/Write|Read" newline hexmask.quad 0x00 12.--43. 0x1000 "PA[43:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif (((per.q(spr:0x30740))&0x01)==0x00) group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device,Reserved,Reserved,Reserved,Normal/Non-cacheable,Reserved,Reserved,Reserved,Normal/Write-Through,Normal/Write-Through/Write,Normal/Write-Through/Read,Normal/Write-Through/Write|Read,Normal/Write-Back,Normal/Write-Back/Write,Normal/Write-Back/Read,Normal/Write-Back/Write|Read" bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "Reserved,Reserved,Reserved,Reserved,Normal/Non-cacheable,Reserved,Reserved,Reserved,Normal/Write-Through,Normal/Write-Through/Write,Normal/Write-Through/Read,Normal/Write-Through/Write|Read,Normal/Write-Back,Normal/Write-Back/Write,Normal/Write-Back/Read,Normal/Write-Back/Write|Read" newline hexmask.quad 0x00 12.--47. 0x1000 "PA[47:12],Physical Address" bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes" newline bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" else group.quad spr:0x30740++0x00 line.quad 0x00 "PAR_EL1,Physical Address Register" newline bitfld.quad 0x00 9. "S,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2" bitfld.quad 0x00 8. "PTW,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes" newline bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "TTBR0/TTBR1,Reserved,Reserved,Reserved,Reserved,Translation fault/1st level,Translation fault/2nd level,Translation fault/3rd level,Reserved,Access flag fault/1st level,Access flag fault/2nd level,Access flag fault/3rd level,Reserved,Permission fault/1st level,Permission fault/2nd level,Permission fault/3rd level,Synchronous external abort,Reserved,Reserved,Reserved,Reserved,Synchronous external abort on translation table walk/1st level,Synchronous external abort on translation table walk/2nd level,Synchronous external abort on translation table walk/3rd level,Synchronous parity error on memory access,Reserved,Reserved,Reserved,Reserved,Synchronous parity error on memory access on translation table walk/1st level,Synchronous parity error on memory access on translation table walk/2nd level,Synchronous parity error on memory access on translation table walk/3rd level,Reserved,Alignment fault,Debug event,?..." newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" endif tree.open "Memory Attribute Indirection Registers" group.quad spr:0x30A20++0x00 line.quad 0x00 "MAIR_EL1,Memory Attribute Indirection Register (EL1)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.quad spr:0x34A20++0x00 line.quad 0x00 "MAIR_EL2,Memory Attribute Indirection Register (EL2)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" group.quad spr:0x36A20++0x00 line.quad 0x00 "MAIR_EL3,Memory Attribute Indirection Register (EL3)" bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" newline bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient" bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient" tree.end newline group.quad spr:0x30D01++0x00 line.quad 0x00 "CONTEXTIDR_EL1,Context ID Register" tree.end tree "Virtualization Extensions" group.quad spr:0x34000++0x0 line.quad 0x00 "VPIDR_EL2,Virtualization Processor ID Register" group.quad spr:0x34005++0x00 line.quad 0x00 "VMPIDR_EL2,Virtualization Multiprocessor ID Register" hexmask.quad.long 0x00 0.--31. 1. "VMPIDR_EL2,MPIDR value returned by Non-secure EL1 reads of the MPIDR_EL1" group.quad spr:0x34100++0x0 line.quad 0x00 "SCTLR_EL2,Control Register (EL2)" bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big" bitfld.quad 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.quad 0x00 12. "I,Instruction cache enable" "Disabled,Enabled" newline bitfld.quad 0x00 3. "SA,SP stack alignment check enable" "Disabled,Enabled" bitfld.quad 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.quad 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.quad 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" group.quad spr:0x34110++0x00 line.quad 0x00 "HCR_EL2,Hypervisor Configuration Register" bitfld.quad 0x00 33. "ID,Stage 2 instruction cache disable" "No,Yes" bitfld.quad 0x00 32. "CD,Stage 2 data cache disable" "No,Yes" bitfld.quad 0x00 31. "RW,Register width control for lower exception levels" "AArch32,AArch64" newline bitfld.quad 0x00 30. "TRVM,Trap Read of Virtual Memory controls" "Disabled,Enabled" bitfld.quad 0x00 28. "TDZ,Traps DC ZVA instruction" "Disabled,Enabled" bitfld.quad 0x00 27. "TGE,Trap General Exceptions" "Disabled,Enabled" newline bitfld.quad 0x00 26. "TVM,Trap Virtual Memory Controls" "Disabled,Enabled" bitfld.quad 0x00 25. "TTLB,Trap TLB maintenance instructions" "Disabled,Enabled" bitfld.quad 0x00 24. "TPU,Trap Cache maintenance instructions to point of unification" "Disabled,Enabled" newline bitfld.quad 0x00 23. "TPC,Trap Data/Unified cache maintenance instructions to point of coherency" "Disabled,Enabled" bitfld.quad 0x00 22. "TSW,Trap Data/Unified cache Set/Way instructions" "Disabled,Enabled" bitfld.quad 0x00 21. "TAC,Trap Auxiliary Control Register Accesses" "Disabled,Enabled" newline bitfld.quad 0x00 20. "TIDCP,Trap Lockdown" "Disabled,Enabled" bitfld.quad 0x00 19. "TSC,Trap SMC" "Disabled,Enabled" bitfld.quad 0x00 18. "TID3,Trap ID Group 3" "Disabled,Enabled" newline bitfld.quad 0x00 17. "TID2,Trap ID Group 2" "Disabled,Enabled" bitfld.quad 0x00 16. "TID1,Trap ID Group 1" "Disabled,Enabled" bitfld.quad 0x00 15. "TID0,Trap ID Group 0" "Disabled,Enabled" newline bitfld.quad 0x00 14. "TWE,Trap WFE" "Disabled,Enabled" bitfld.quad 0x00 13. "TWI,Trap WFI" "Disabled,Enabled" bitfld.quad 0x00 12. "DC,Default Cacheable" "Disabled,Enabled" newline bitfld.quad 0x00 10.--11. "BSU,Barrier Shareability Upgrade" "0,1,2,3" bitfld.quad 0x00 9. "FB,Force Broadcast of TLB maintenance BPIALL and ICIALLU instructions" "Disabled,Enabled" bitfld.quad 0x00 8. "VSE,Virtual System Error/Asynchronous Abort" "Not aborted,Aborted" newline bitfld.quad 0x00 7. "VI,Virtual IRQ interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 6. "VF,Virtual FIQ interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 5. "AMO,A-bit Mask Override" "Not routed,Routed" newline bitfld.quad 0x00 4. "IMO,I-bit Mask Override" "Not routed,Routed" bitfld.quad 0x00 3. "FMO,F-bit Mask Override" "Not routed,Routed" bitfld.quad 0x00 2. "PTW,Protected Table Walk" "Disabled,Enabled" newline bitfld.quad 0x00 1. "SWIO,Set/Way Invalidation Override" "No override,Override" bitfld.quad 0x00 0. "VM,Second Stage of Translation Enable" "Disabled,Enabled" group.quad spr:0x34111++0x00 line.quad 0x00 "MDCR_EL2,Hypervisor Debug Control Register (EL2)" bitfld.quad 0x00 11. "TDRA,Trap Debug ROM Access" "No effect,Valid" bitfld.quad 0x00 10. "TDOSA,Trap Debug OS-related register Access" "No effect,Valid" bitfld.quad 0x00 9. "TDA,Trap Debug Access" "No effect,Valid" newline bitfld.quad 0x00 8. "TDE,Trap Debug Exceptions" "No effect,Valid" bitfld.quad 0x00 7. "HPME,Hypervisor Performance Monitors Enable" "Disabled,Enabled" bitfld.quad 0x00 6. "TPM,Trap Performance Monitors accesses" "No effect,Valid" newline bitfld.quad 0x00 5. "TPMCR,Trap Performance Monitor Control Register accesses" "No effect,Valid" bitfld.quad 0x00 0.--4. "HPMN,Defines the number of Performance Monitors counters" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.quad spr:0x36131++0x00 line.quad 0x00 "MDCR_EL3,Hypervisor Debug Control Register (EL3)" bitfld.quad 0x00 21. "EPMAD,External debugger access to Performance Monitors registers disabled" "No,Yes" bitfld.quad 0x00 20. "EDAD,External debugger access to breakpoint and watchpointregisters disabled" "No,Yes" bitfld.quad 0x00 17. "SPME,Secure performance monitors enable" "Disabled,Enabled" newline bitfld.quad 0x00 16. "SDD,AArch64 secure debug disable" "No,Yes" bitfld.quad 0x00 14.--15. "SPD32,AArch32 secure privileged debug" "Legacy,Reserved,Disabled,Enabled" bitfld.quad 0x00 10. "TDOSA,Trap Debug OS-related register Access" "No effect,Valid" newline bitfld.quad 0x00 9. "TDA,Trap Debug Access" "No effect,Valid" bitfld.quad 0x00 6. "TPM,Trap Performance Monitors accesses" "No effect,Valid" group.quad spr:0x34112++0x00 line.quad 0x00 "CPTR_EL2,Architectural Feature Trap Register (EL2)" bitfld.quad 0x00 31. "TCPAC,Trap Coprocessor Access Control" "Not trapped,Trapped" bitfld.quad 0x00 10. "TFP,Traps instructions that access registers associated with Advanced SIMD and Floating-point execution from a lower exception level to EL2" "Not trapped,Trapped" group.quad spr:0x36112++0x00 line.quad 0x00 "CPTR_EL3,Architectural Feature Trap Register (EL3)" bitfld.quad 0x00 31. "TCPAC,Trap Coprocessor Access Control" "Not trapped,Trapped" bitfld.quad 0x00 10. "TFP,Traps instructions that access registers associated with Advanced SIMD and Floating-point execution from a lower exception level to EL2" "Not trapped,Trapped" group.quad spr:0x34113++0x00 line.quad 0x00 "HSTR_EL2,Hypervisor System Trap Register" bitfld.quad 0x00 16. "TTEE,Trap T32EE" "Disabled,Enabled" bitfld.quad 0x00 15. "T15,Trap to Hypervisor mode Non-secure priv 15" "No effect,Trap" bitfld.quad 0x00 13. "T13,Trap to Hypervisor mode Non-secure priv 13" "No effect,Trap" newline bitfld.quad 0x00 12. "T12,Trap to Hypervisor mode Non-secure priv 12" "No effect,Trap" bitfld.quad 0x00 11. "T11,Trap to Hypervisor mode Non-secure priv 11" "No effect,Trap" bitfld.quad 0x00 10. "T10,Trap to Hypervisor mode Non-secure priv 10" "No effect,Trap" newline bitfld.quad 0x00 9. "T9,Trap to Hypervisor mode Non-secure priv 9" "No effect,Trap" bitfld.quad 0x00 8. "T8,Trap to Hypervisor mode Non-secure priv 8" "No effect,Trap" bitfld.quad 0x00 7. "T7,Trap to Hypervisor mode Non-secure priv 7" "No effect,Trap" newline bitfld.quad 0x00 6. "T6,Trap to Hypervisor mode Non-secure priv 6" "No effect,Trap" bitfld.quad 0x00 5. "T5,Trap to Hypervisor mode Non-secure priv 5" "No effect,Trap" bitfld.quad 0x00 4. "T4,Trap to Hypervisor mode Non-secure priv 4" "No effect,Trap" newline bitfld.quad 0x00 3. "T3,Trap to Hypervisor mode Non-secure priv 3" "No effect,Trap" bitfld.quad 0x00 2. "T2,Trap to Hypervisor mode Non-secure priv 2" "No effect,Trap" bitfld.quad 0x00 1. "T1,Trap to Hypervisor mode Non-secure priv 1" "No effect,Trap" newline bitfld.quad 0x00 0. "T0,Trap to Hypervisor mode Non-secure priv 0" "No effect,Trap" group.quad spr:0x34210++0x00 line.quad 0x00 "VTTBR_EL2,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,VMID for the translation table" hexmask.quad 0x00 0.--47. 1. "BADDR,Translation table base address" if (((per.q(spr:0x34212))&0xC000)==0x0000) group.quad spr:0x34212++0x00 line.quad 0x00 "VTCR_EL2,Virtualization Translation Control Register" bitfld.quad 0x00 16.--18. "PS,Physical Address Size" "32 bits/4GB,36 bits/64GB,40 bits/1TB,42 bits/4TB,44 bits/16TB,48 bits/256TB,?..." bitfld.quad 0x00 14.--15. "TG0,Granule size for the corresponding translation table base address register" "4 KB,64 KB,16 KB,?..." bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for the memory associated with the translation table walks using VTTBR" "Non-shareable,Outer Shareable,Inner Shareable,?..." newline bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for the memory associated with the translation table walks using VTTBR" "Normal/Non-cacheable,Normal/Write-Back Write-Allocate,Normal/Write-Through,Normal/Write-Back no Write-Allocate" bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for the memory associated with the translation table walks VTTBR" "Normal/Non-cacheable,Normal/Write-Back Write-Allocate,Normal/Write-Through,Normal/Write-Back no Write-Allocate" bitfld.quad 0x00 6.--7. "SL0,Starting Level for VTCR addressed region" "Level 2,Level 1,Level 0,?..." newline bitfld.quad 0x00 0.--5. "T0SZ,The Size offset of the VTCR addressed region" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.quad spr:0x34212++0x00 line.quad 0x00 "VTCR_EL2,Virtualization Translation Control Register" bitfld.quad 0x00 16.--18. "PS,Physical Address Size" "32 bits/4GB,36 bits/64GB,40 bits/1TB,42 bits/4TB,44 bits/16TB,48 bits/256TB,?..." bitfld.quad 0x00 14.--15. "TG0,Granule size for the corresponding translation table base address register" "4 KB,64 KB,16 KB,?..." bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for the memory associated with the translation table walks using VTTBR" "Non-shareable,Outer Shareable,Inner Shareable,?..." newline bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for the memory associated with the translation table walks using VTTBR" "Normal/Non-cacheable,Normal/Write-Back Write-Allocate,Normal/Write-Through,Normal/Write-Back no Write-Allocate" bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for the memory associated with the translation table walks VTTBR" "Normal/Non-cacheable,Normal/Write-Back Write-Allocate,Normal/Write-Through,Normal/Write-Back no Write-Allocate" bitfld.quad 0x00 6.--7. "SL0,Starting Level for VTCR addressed region" "Level 3,Level 2,Level 1,?..." newline bitfld.quad 0x00 0.--5. "T0SZ,The Size offset of the VTCR addressed region" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.quad spr:0x30600++0x00 line.quad 0x00 "FAR_EL1,Fault Address Register (EL1)" group.quad spr:0x34600++0x00 line.quad 0x00 "FAR_EL2,Fault Address Register (EL2)" group.quad spr:0x36600++0x00 line.quad 0x00 "FAR_EL3,Fault Address Register (EL3)" group.quad spr:0x34604++0x00 line.quad 0x00 "HPFAR_EL2,Hypervisor IPA Fault Address Register" hexmask.quad 0x00 4.--39. 0x10 "FIPA,Faulting intermediate physical address" tree.end tree "Cache Control and Configuration" rgroup.quad spr:0x33001++0x00 line.quad 0x00 "CTR_EL0,CTR_EL0" bitfld.quad 0x0 29.--31. "FORMAT,Format" "Reserved,Reserved,Reserved,Reserved,ARMv7,?..." bitfld.quad 0x0 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.quad 0x0 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.quad 0x0 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.quad 0x0 14.--15. "L1POLICY,L1 Instruction cache policy" "Reserved,Reserved,Reserved,Physical" bitfld.quad 0x0 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,8 words,16 words,?..." group.quad spr:0x32000++0x0 line.quad 0x00 "CSSELR_EL1,Cache Size Selection Register" bitfld.quad 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,?..." bitfld.quad 0x00 0. "IND,Instruction/Not Data" "Data/Unified,Instruction" rgroup.quad spr:0x31001++0x0 line.quad 0x00 "CLIDR_EL1,Cache Level ID Register" bitfld.quad 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Reserved,Level 1,?..." bitfld.quad 0x00 24.--26. "LOC,Level of Coherency" "Reserved,Reserved,Level 3,?..." bitfld.quad 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "Reserved,Level 2,?..." newline bitfld.quad 0x00 18.--20. "CTYPE7,Cache type for levels 7" "No cache,?..." bitfld.quad 0x00 15.--17. "CTYPE6,Cache type for levels 6" "No cache,?..." bitfld.quad 0x00 12.--14. "CTYPE5,Cache type for levels 5" "No cache,?..." newline bitfld.quad 0x00 9.--11. "CTYPE4,Cache type for levels 4" "No cache,?..." bitfld.quad 0x00 6.--8. "CTYPE3,Cache type for levels 3" "No cache,?..." bitfld.quad 0x00 3.--5. "CTYPE2,Cache type for levels 2" "Reserved,Reserved,Reserved,Reserved,Unified,?..." newline bitfld.quad 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate,?..." rgroup.quad spr:0x31000++0x0 line.quad 0x00 "CCSIDR_EL1,Current Cache Size ID Register" bitfld.quad 0x00 31. "WT,Write-Through" "Not Supported,Supported" bitfld.quad 0x00 30. "WB,Write-Back" "Not Supported,Supported" bitfld.quad 0x00 29. "RA,Read-Allocate" "Not Supported,Supported" newline bitfld.quad 0x00 28. "WA,Write-Allocate" "Not Supported,Supported" hexmask.quad.word 0x00 13.--27. 1. 1. "SETS,Number of Sets" hexmask.quad.word 0x00 3.--12. 1. 1. "ASSOC,Associativity" newline bitfld.quad 0x00 0.--2. "LSIZE,Line Size" "16 bytes,32bytes,64 bytes,128 bytes,?..." tree "Level 1 memory system" group.quad spr:0x30F10++0x00 line.quad 0x00 "DL1DATA0_EL1,Data L1 Data 0 Register" group.quad spr:0x30F11++0x00 line.quad 0x00 "DL1DATA1_EL1,Data L1 Data 1 Register" group.quad spr:0x30F12++0x00 line.quad 0x00 "DL1DATA2_EL1,Data L1 Data 2 Register" group.quad spr:0x30F13++0x00 line.quad 0x00 "DL1DATA3_EL1,Data L1 Data 3 Register" group.quad spr:0x30F14++0x00 line.quad 0x00 "DL1DATA4_EL1,Data L1 Data 3 Register" group.quad spr:0x30F00++0x00 line.quad 0x00 "IL1DATA0_EL1,Instruction L1 Data 0 Register" group.quad spr:0x30F01++0x00 line.quad 0x00 "IL1DATA1_EL1,Instruction L1 Data 1 Register" group.quad spr:0x30F02++0x00 line.quad 0x00 "IL1DATA2_EL1,Instruction L1 Data 2 Register" group.quad spr:0x30F03++0x00 line.quad 0x00 "IL1DATA3_EL1,Instruction L1 Data 3 Register" tree.end tree "Level 2 memory system" group.quad spr:0x31B02++0x0 line.quad 0x00 "L2CTLR_EL1,L2 Control Register" bitfld.quad 0x00 31. "L2RSTDM,L2RSTDISABLE monitor" "Reset,No reset" bitfld.quad 0x00 24.--25. "NCPU,Number of CPU" "1,2,3,4" rbitfld.quad 0x00 23. "L2CP,L2 cache ECC protection" "Not supported,Supported" newline rbitfld.quad 0x00 22. "L1CECCPP,L1 Cache ECC and Parity protection" "Not supported,Supported" bitfld.quad 0x00 21. "ECCPPEN,ECC and parity enable" "Disabled,Enabled" bitfld.quad 0x00 20. "DIECCE,Data inline ECC enable" "Disabled,Enabled" newline rbitfld.quad 0x00 13. "L2AS,L2 arbitration slice" "Not present,Present" rbitfld.quad 0x00 12. "L2TRAMS,L2 Tag RAM slice" "Not present,Present" rbitfld.quad 0x00 10.--11. "L2DRAMS,L2 Data RAM slice" "Not present,1 present,2 present,?..." newline bitfld.quad 0x00 9. "L2TRAMS,L2 Tag RAM setup" "0 cycle,1 cycle" bitfld.quad 0x00 6.--8. "L2TRAML,L2 Tag RAM latency" "2 cycles,2 cycles,3 cycles,4 cycles,5 cycles,5 cycles,5 cycles,5 cycles" rbitfld.quad 0x00 5. "DRAMIL,L2 data RAM input latency" "0 cycle,1 cycle" newline bitfld.quad 0x00 0.--2. "DRAML,L2 data RAM latency" "2 cycles,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,6 cycles,6 cycles" group.quad spr:0x31B03++0x0 line.quad 0x00 "L2ECTLR_EL1,L2 Extended Control Register" bitfld.quad 0x00 30. "L2INTASYNCERR,L2 internal asynchronous error" "No error,Error" bitfld.quad 0x00 29. "AXIASYNCERR,AXI asynchronous error" "No error,Error" bitfld.quad 0x00 0.--2. "L2DRC,L2 dynamic retention control" "Disabled,2 ticks,8 ticks,32 ticks,64 ticks,128 ticks,256 ticks,512 ticks" group.quad spr:0x31F00++0x00 line.quad 0x00 "L2ACTLR_EL1,L2 Auxiliary Control Register" bitfld.quad 0x00 30.--31. "L2PLRUIP,Select the L2 PLRU insertion point" "MRU/LRU,MRU,3/4 LRU,LRU" bitfld.quad 0x00 29. "L2RPLCPOL,Select the L2 cache replacement policy" "PLRU,Pseudo random" bitfld.quad 0x00 28. "FL2TBCEA,Force L2 tag bank clock enable active" "Disabled,Enabled" newline bitfld.quad 0x00 27. "FL2LCEA,Force L2 logic clock enable active" "Disabled,Enabled" bitfld.quad 0x00 26. "FL2GICRCGEA,Force L2, GIC CPU interface, and Timer Regional Clock Gate(RCG) enables active" "Not forced,Forced" bitfld.quad 0x00 25. "ESIAA,Enable single issue across all tag banks when the L2 arbitration replay threshold is reached" "Disabled,Enabled" newline bitfld.quad 0x00 24. "L2PLRUMD,Disable PLRU dynamic insertion and update policy" "No,Yes" bitfld.quad 0x00 23. "DACPMUWLUT,Disable ACP MakeUnique and WriteLineUnique transactions" "No,Yes" bitfld.quad 0x00 22. "DDTLSPR,Disable dynamic throttling of load/store prefetch requests" "No,Yes" newline bitfld.quad 0x00 18.--19. "DLASQ,Disable limit on NC/SO/Dev stores in Address Sequence Queue" "12 entries,10 entries,8 entries,No limit" bitfld.quad 0x00 17. "DL2RRA,Disable L2 round-robin arbitration that only clocks through paths with an active requestor waiting to be arbitrated" "No,Yes" bitfld.quad 0x00 16. "ERTSI,Enable replay threshold single issue" "Disabled,Enabled" newline bitfld.quad 0x00 15. "DFFD,Disable fast forwarding of data from ACE or CHI to LS and IF" "No,Yes" bitfld.quad 0x00 14. "EUCE,Enable UniqueClean evictions with data" "Disabled,Enabled" bitfld.quad 0x00 13. "DCEO,Disable clean evict optimization" "No,Yes" newline bitfld.quad 0x00 12. "DPSHO,Disable set hazard optimization against prefetch entries" "No,Yes" bitfld.quad 0x00 11. "DDSB,Disable DSB with no DVM synchronization" "No,Yes" bitfld.quad 0x00 10. "DNSDAR,Disable Non-secure debug array read" "No,Yes" newline bitfld.quad 0x00 9. "DWHOBBRRQ,Disable set/way hazard optimization on back to back reads from the same CPU targeting the same set" "No,Yes" bitfld.quad 0x00 8. "DDVMCMOMB,Disable DVM and cache maintenance operation message broadcast" "No,Yes" bitfld.quad 0x00 7. "EHDT,Enable hazard detect timeout" "Disabled,Enabled" newline bitfld.quad 0x00 6. "DACESCHIST,Disable ACE shareable or CHI snoopable transactions from master" "No,Yes" bitfld.quad 0x00 5. "DSWHOWWM,Disables set/way hazard optimization for WBNA/WT memory" "No,Yes" bitfld.quad 0x00 4. "DWUWLUTFM,Disable WriteUnique and WriteLineUnique transactions from master" "Disabled,Enabled" newline bitfld.quad 0x00 3. "DCEPTE,Disable clean/evict push to external" "No,Yes" bitfld.quad 0x00 2. "LTORPTB,Limit to one request per tag bank" "Normal,Limited" bitfld.quad 0x00 1. "EARTT,Enable arbitration replay threshold timeout" "Disabled,Enabled" newline bitfld.quad 0x00 0. "DHPF,Disable hardware prefetch forwarding" "No,Yes" group.quad spr:0x31F23++0x00 line.quad 0x00 "L2MERRSR_EL1,L2 Memory Error Syndrome Register" bitfld.quad 0x00 63. "FATAL,Fatal bit" "0,1" hexmask.quad.byte 0x00 40.--47. 1. "OEC,Other error count" hexmask.quad.byte 0x00 32.--39. 1. "REC,Repeat error count" newline bitfld.quad 0x00 31. "VALID,Valid bit" "Not valid,Valid" hexmask.quad.byte 0x00 24.--30. 1. "RAMID,RAM Identifier" bitfld.quad 0x00 18.--21. "C/W,CPUID/Way" "CPU0 tag way 0,CPU0 tag way 1,CPU1 tag way 0,CPU1 tag way 1,CPU2 tag way 0,CPU2 tag way 1,CPU3 tag way 0,CPU3 tag way 1,?..." newline hexmask.quad.tbyte 0x00 0.--17. 1. "IND,Index" tree.end tree.end tree "System Performance Monitor" group.quad spr:0x339C0++0x00 line.quad 0x00 "PMCR_EL0,Performance Monitor Control Register" hexmask.quad.byte 0x00 24.--31. 1. "IMP,Implementer code" hexmask.quad.byte 0x00 16.--23. 1. "IDCODE,Identification code" rbitfld.quad 0x00 11.--15. "N,Number of counters implemented" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,6,?..." bitfld.quad 0x00 6. "LC,Long cycle count enable" "Disabled,Enabled" newline bitfld.quad 0x00 5. "DP,Disable CCNT when prohibited" "No,Yes" bitfld.quad 0x00 4. "X,Export Enable" "Disabled,Enabled" bitfld.quad 0x00 3. "D,Clock Divider" "Every cycle,64th cycle" bitfld.quad 0x00 2. "C,Clock Counter Reset" "No reset,Reset" newline bitfld.quad 0x00 1. "P,Performance Counter Reset" "No reset,Reset" bitfld.quad 0x00 0. "E,All Counters Enable" "Disabled,Enabled" group.quad spr:0x339C1++0x00 line.quad 0x00 "PMCNTENSET_EL0,Count Enable Set Register " bitfld.quad 0x00 31. "C,PMCCNTR enable" "Disabled,Enabled" newline bitfld.quad 0x00 5. "P5,Event Counter 5 enable bit" "Disabled,Enabled" bitfld.quad 0x00 4. "P4,Event Counter 4 enable bit" "Disabled,Enabled" bitfld.quad 0x00 3. "P3,Event Counter 3 enable bit" "Disabled,Enabled" newline bitfld.quad 0x00 2. "P2,Event Counter 2 enable bit" "Disabled,Enabled" bitfld.quad 0x00 1. "P1,Event Counter 1 enable bit" "Disabled,Enabled" bitfld.quad 0x00 0. "P0,Event Counter 0 enable bit" "Disabled,Enabled" group.quad spr:0x339C2++0x00 line.quad 0x00 "PMCNTENCLR_EL0,Count Enable Clear Register" bitfld.quad 0x00 31. "C,PMCCNTR enable" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 5. "P5,Event Counter 5 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 4. "P4,Event Counter 4 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 3. "P3,Event Counter 3 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 2. "P2,Event Counter 2 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 1. "P1,Event Counter 1 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 0. "P0,Event Counter 0 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" group.quad spr:0x339C3++0x00 line.quad 0x00 "PMOVSCLR_EL0,Performance Monitors Overflow Flag Status Clear Register" bitfld.quad 0x00 31. "C,PMCCNTR overflow [Read/Write]" "No overflow/No effect,Overflow/Clear" newline eventfld.long 0x00 5. "P5,Event Counter 5 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 4. "P4,Event Counter 4 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 3. "P3,Event Counter 3 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" newline eventfld.long 0x00 2. "P2,Event Counter 2 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 1. "P1,Event Counter 1 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 0. "P0,Event Counter 0 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear" wgroup.quad spr:0x339C4++0x00 line.quad 0x00 "PMSWINC_EL0,Performance Monitors Software Increment Register" bitfld.quad 0x00 5. "P5,Increment PMN5" "No action,Increment" bitfld.quad 0x00 4. "P4,Increment PMN4" "No action,Increment" bitfld.quad 0x00 3. "P3,Increment PMN3" "No action,Increment" newline bitfld.quad 0x00 2. "P2,Increment PMN2" "No action,Increment" bitfld.quad 0x00 1. "P1,Increment PMN1" "No action,Increment" bitfld.quad 0x00 0. "P0,Increment PMN0" "No action,Increment" group.quad spr:0x339C5++0x00 line.quad 0x00 "PMSELR_EL0,Performance Monitor Select Register" bitfld.quad 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,%d..." tree.open "Common Event Identification Registers" group.quad spr:0x339c6++0x00 line.quad 0x00 "PMCEID0_EL0,Common Event Identification Register 0" bitfld.quad 0x00 30. "CH,Chain" "Not implemented,Implemented" newline bitfld.quad 0x00 29. "BC,Bus cycle" "Not implemented,Implemented" bitfld.quad 0x00 28. "TW,Instruction architecturally executed condition check pass" "Not implemented,Implemented" bitfld.quad 0x00 27. "IS,Instruction speculatively executed" "Not implemented,Implemented" newline bitfld.quad 0x00 26. "ME,Local memory error" "Not implemented,Implemented" bitfld.quad 0x00 25. "BA,Bus access" "Not implemented,Implemented" bitfld.quad 0x00 24. "DC2W,Level 2 data cache write-back" "Not implemented,Implemented" newline bitfld.quad 0x00 23. "DC2R,Level 2 data cache refill" "Not implemented,Implemented" bitfld.quad 0x00 22. "DC2A,Level 2 data cache access" "Not implemented,Implemented" bitfld.quad 0x00 21. "DC1W,Level 1 data cache write-back" "Not implemented,Implemented" newline bitfld.quad 0x00 20. "IC1A,Level 1 instruction cache access" "Not implemented,Implemented" bitfld.quad 0x00 19. "MA,Data memory access" "Not implemented,Implemented" bitfld.quad 0x00 18. "BP,Predictable branch speculatively executed" "Not implemented,Implemented" newline bitfld.quad 0x00 17. "CC,Cycle" "Not implemented,Implemented" bitfld.quad 0x00 16. "BM,Mispredicted or not predicted branch speculatively executed" "Not implemented,Implemented" bitfld.quad 0x00 15. "UL,Instruction architecturally executed condition check pass unaligned load or store" "Not implemented,Implemented" newline bitfld.quad 0x00 14. "BR,Instruction architecturally executed condition check pass procedure return" "Not implemented,Implemented" bitfld.quad 0x00 13. "BI,Instruction architecturally executed immediate branch" "Not implemented,Implemented" bitfld.quad 0x00 12. "PW,Instruction architecturally executed condition check pass software change of the PC" "Not implemented,Implemented" newline bitfld.quad 0x00 11. "CW,Instruction architecturally executed condition check pass write to CONTEXTIDR" "Not implemented,Implemented" bitfld.quad 0x00 10. "ER,Instruction architecturally executed condition check pass exception return" "Not implemented,Implemented" bitfld.quad 0x00 9. "ET,Exception taken" "Not implemented,Implemented" newline bitfld.quad 0x00 8. "IA,Instruction architecturally executed" "Not implemented,Implemented" bitfld.quad 0x00 7. "ST,Instruction architecturally executed condition check pass store" "Not implemented,Implemented" bitfld.quad 0x00 6. "LD,Instruction architecturally executed condition check pass load" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "DT1R,Level 1 data TLB refill" "Not implemented,Implemented" bitfld.quad 0x00 4. "DC1A,Level 1 data cache access" "Not implemented,Implemented" bitfld.quad 0x00 3. "DC1R,Level 1 data cache refill" "Not implemented,Implemented" newline bitfld.quad 0x00 2. "IT1R,Level 1 instruction TLB refill" "Not implemented,Implemented" bitfld.quad 0x00 1. "IC1R,Level 1 instruction cache refill" "Not implemented,Implemented" bitfld.quad 0x00 0. "SI,Instruction architecturally executed condition check pass software increment" "Not implemented,Implemented" tree.end newline group.quad spr:0x339D0++0x00 line.quad 0x00 "PMCCNTR_EL0,Performance Monitor Cycle Count Register" group.quad spr:0x339D1++0x00 line.quad 0x00 "PMXEVTYPER_EL0,Performance Monitor Event Type Register" group.quad spr:0x339D2++0x00 line.quad 0x00 "PMXEVCNTR_EL0,Performance Monitor Event Count Register" group.quad spr:0x339E0++0x00 line.quad 0x00 "PMUSERENR_EL0,Performance Monitor User Enable Register" bitfld.quad 0x00 3. "ER,Event counter read enable" "Disabled,Enabled" bitfld.quad 0x00 2. "EC,Cycle counter read enable" "Disabled,Enabled" bitfld.quad 0x00 1. "SW,Software Increment write enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. "EN,User mode access enable" "Disabled,Enabled" group.quad spr:0x309E1++0x00 line.quad 0x00 "PMINTENSET_EL1,Performance Monitor Interrupt Enable Set" bitfld.quad 0x00 31. "C,Cycle counter Overflow Interrupt clear" "Disabled,Enabled" newline bitfld.quad 0x00 6. "P6,PMCNT6 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.quad 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled" group.quad spr:0x309E2++0x00 line.quad 0x00 "PMINTENCLR_EL1,Performance Monitor Interrupt Enable Clear" eventfld.long 0x00 31. "C,PMCCNTR enable [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 5. "P5,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 4. "P4,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 3. "P3,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 2. "P2,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 1. "P1,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 0. "P0,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" group.quad spr:0x339E3++0x00 line.quad 0x00 "PMOVSSET_EL0,Performance Monitor Overflow Flag Status Set Register" group.quad spr:(0x33E80+0x0)++0x00 line.quad 0x00 "PMEVCNTR0_EL0,Performance Monitors Event Count Register 0" group.quad spr:(0x33EC0+0x0)++0x00 line.quad 0x00 "PMEVTYPER0_EL0,Performance Monitors Selected Event Type Register 0" bitfld.quad 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.quad 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.quad 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Secure EL3 filtering bit" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.quad spr:(0x33E80+0x1)++0x00 line.quad 0x00 "PMEVCNTR1_EL0,Performance Monitors Event Count Register 1" group.quad spr:(0x33EC0+0x1)++0x00 line.quad 0x00 "PMEVTYPER1_EL0,Performance Monitors Selected Event Type Register 1" bitfld.quad 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.quad 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.quad 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Secure EL3 filtering bit" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.quad spr:(0x33E80+0x2)++0x00 line.quad 0x00 "PMEVCNTR2_EL0,Performance Monitors Event Count Register 2" group.quad spr:(0x33EC0+0x2)++0x00 line.quad 0x00 "PMEVTYPER2_EL0,Performance Monitors Selected Event Type Register 2" bitfld.quad 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.quad 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.quad 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Secure EL3 filtering bit" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.quad spr:(0x33E80+0x3)++0x00 line.quad 0x00 "PMEVCNTR3_EL0,Performance Monitors Event Count Register 3" group.quad spr:(0x33EC0+0x3)++0x00 line.quad 0x00 "PMEVTYPER3_EL0,Performance Monitors Selected Event Type Register 3" bitfld.quad 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.quad 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.quad 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Secure EL3 filtering bit" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.quad spr:(0x33E80+0x4)++0x00 line.quad 0x00 "PMEVCNTR4_EL0,Performance Monitors Event Count Register 4" group.quad spr:(0x33EC0+0x4)++0x00 line.quad 0x00 "PMEVTYPER4_EL0,Performance Monitors Selected Event Type Register 4" bitfld.quad 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.quad 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.quad 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Secure EL3 filtering bit" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.quad spr:(0x33E80+0x5)++0x00 line.quad 0x00 "PMEVCNTR5_EL0,Performance Monitors Event Count Register 5" group.quad spr:(0x33EC0+0x5)++0x00 line.quad 0x00 "PMEVTYPER5_EL0,Performance Monitors Selected Event Type Register 5" bitfld.quad 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.quad 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.quad 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Secure EL3 filtering bit" "Disabled,Enabled" newline hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.quad spr:0x33EF7++0x00 line.quad 0x00 "PMCCFILTR_EL0,Performance Monitors Cycle Count Filter Register" bitfld.quad 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.quad 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.quad 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.quad 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" bitfld.quad 0x00 26. "M,Secure EL3 filtering bit" "Disabled,Enabled" tree.end tree "System Timer Registers" group.quad spr:0x33E00++0x00 line.quad 0x00 "CNTFRQ_EL0,Counter Frequency Register" rgroup.quad spr:0x33E01++0x00 line.quad 0x00 "CNTPCT_EL0,Counter Physical Count Register" group.quad spr:0x30E10++0x00 line.quad 0x00 "CNTKCTL_EL1,Timer PL1 Control Register" bitfld.quad 0x00 9. "EL0PTEN,Controls whether the physical timer registers are accessible from EL0 modes" "Not accessible,Accessible" bitfld.quad 0x00 8. "EL0VTEN,Controls whether the virtual timer registers are accessible from EL0 modes" "Not accessible,Accessible" bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from the virtual counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit" "0 to 1,1 to 0" newline bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the virtual counter" "Disabled,Enabled" bitfld.quad 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from EL0 modes" "Not accessible,Accessible" bitfld.quad 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from EL0 modes" "Not accessible,Accessible" group.quad spr:0x33E20++0x00 line.quad 0x00 "CNTP_TVAL_EL0,Counter PL1 Physical Compare Value Register" group.quad spr:0x33E21++0x00 line.quad 0x00 "CNTP_CTL_EL0,Counter PL1 Physical Timer Control Register" bitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask bit" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x33E30++0x00 line.quad 0x00 "CNTV_TVAL_EL0,Counter PL1 Virtual Timer Value Register" group.quad spr:0x33E31++0x00 line.quad 0x00 "CNTV_CTL_EL0,Counter PL1 Virtual Timer Control Register" bitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask bit" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x33E02++0x00 line.quad 0x00 "CNTVCT_EL0,Counter Virtual Count Register" group.quad spr:0x33E22++0x00 line.quad 0x00 "CNTP_CVAL_EL0,Counter PL1 Physical Compare Value Register" group.quad spr:0x33E32++0x00 line.quad 0x00 "CNTV_CVAL_EL0,Counter PL1 Virtual Compare Value Register" group.quad spr:0x34E03++0x00 line.quad 0x00 "CNTVOFF_EL2,Counter Virtual Offset Register" group.quad spr:0x34E10++0x00 line.quad 0x00 "CNTHCTL_EL2,Counter Non-secure PL2 Control Register" bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit is the trigger for the event stream generated from counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit" "0 to 1,1 to 0" bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the virtual counter" "Disabled,Enabled" newline bitfld.quad 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from EL0 modes" "Not accessible,Accessible" bitfld.quad 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from EL0 modes" "Not accessible,Accessible" group.quad spr:0x34E20++0x00 line.quad 0x00 "CNTHP_TVAL_EL2,Counter Non-secure PL2 Physical Timer Value Register" group.quad spr:0x34E21++0x00 line.quad 0x00 "CNTHP_CTL_EL2,Counter Non-secure PL2 Physical Timer Control Register" bitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask bit" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x34E22++0x00 line.quad 0x00 "CNTHP_CVAL_EL2,Counter Non-secure PL2 Physical Compare Value Register" group.quad spr:0x37E20++0x00 line.quad 0x00 "CNTPS_TVAL_EL1,Counter-timer Physical SecureTimer TimerValue register" group.quad spr:0x37E21++0x00 line.quad 0x00 "CNTPS_CTL_EL1,Counter-timer Physical Secure Timer Control register" bitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.quad 0x00 1. "IMASK,Timer interrupt mask bit" "Not masked,Masked" bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad spr:0x37E22++0x00 line.quad 0x00 "CNTPS_CVAL_EL1,Counter-timer Physical Secure Timer CompareValue register" tree.end tree "Generic Interrupt Controller CPU Interface" tree "AArch64 GIC Physical CPU Interface System Registers" tree.open "Interrupt Controller Active Priorities Registers" group.quad spr:0x30C84++0x00 line.quad 0x00 "ICC_AP0R0_EL1,Active Priorities 0 Register 0" bitfld.quad 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.quad 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.quad 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt" bitfld.quad 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.quad 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt" newline bitfld.quad 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt" bitfld.quad 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.quad 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.quad 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt" bitfld.quad 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt" newline bitfld.quad 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.quad 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt" bitfld.quad 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.quad 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.quad 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.quad 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.quad 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.quad 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt" bitfld.quad 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.quad 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt" newline bitfld.quad 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt" bitfld.quad 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.quad 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.quad 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt" bitfld.quad 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt" newline bitfld.quad 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.quad 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt" bitfld.quad 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.quad 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.quad 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.quad 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt" group.quad spr:0x30C90++0x00 line.quad 0x00 "ICC_AP1R0_EL1,Active Priorities 1 Register 0" bitfld.quad 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.quad 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.quad 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt" bitfld.quad 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.quad 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt" newline bitfld.quad 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt" bitfld.quad 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.quad 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.quad 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt" bitfld.quad 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt" newline bitfld.quad 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.quad 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt" bitfld.quad 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.quad 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.quad 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.quad 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.quad 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.quad 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt" bitfld.quad 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.quad 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt" newline bitfld.quad 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt" bitfld.quad 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.quad 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.quad 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt" bitfld.quad 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt" newline bitfld.quad 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.quad 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt" bitfld.quad 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.quad 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.quad 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.quad 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt" tree.end newline wgroup.quad spr:0x30CB6++0x00 line.quad 0x00 "ICC_ASGI1R_EL1,Alternate SGI Generation Register 1" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" bitfld.quad 0x00 40. "IRM,Interrupt Routing Mode" "Disabled,Enabled" hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,Target List" group.quad spr:0x30C83++0x00 line.quad 0x00 "ICC_BPR0_EL1,Binary Point Register 0" bitfld.quad 0x00 0.--2. "BINARYPOINT,Binary point" "0,1,2,3,4,5,6,7" group.quad spr:0x30CC3++0x00 line.quad 0x00 "ICC_BPR1_EL1,Binary Point Register 1" bitfld.quad 0x00 0.--2. "BINARYPOINT,Binary point" "0,1,2,3,4,5,6,7" group.quad spr:0x30CC4++0x00 line.quad 0x00 "ICC_CTLR_EL1,Interrupt Control Registers for EL1" rbitfld.quad 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported" rbitfld.quad 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.quad 0x00 15. "A3V,Affinity 3 Valid" "Not supported,Supported" rbitfld.quad 0x00 14. "SEIS,SEI Support" "Not supported,Supported" bitfld.quad 0x00 11.--13. "IDBITS,Number of physical interrupt identifier bits supported" "16 bits,24 bits,?..." newline rbitfld.quad 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" bitfld.quad 0x00 1. "EOIMODE,Alias of ICC_MCTLR.EOImode_EL1" "0,1" newline bitfld.quad 0x00 0. "CBPR,Common Binary Point Register" "0,1" group.quad spr:0x36CC4++0x00 line.quad 0x00 "ICC_CTLR_EL3,Interrupt Control Registers for EL3" rbitfld.quad 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported" rbitfld.quad 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.quad 0x00 17. "NDS,Disable Security not supported" "Supported,Not supported" newline rbitfld.quad 0x00 15. "A3V,Affinity 3 Valid" "Not supported,Supported" rbitfld.quad 0x00 14. "SEIS,SEI Support" "Not supported,Supported" bitfld.quad 0x00 11.--13. "IDBITS,Number of physical interruptidentifier bits supported" "16 bits,24 bits,?..." newline rbitfld.quad 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" bitfld.quad 0x00 5. "RM,Routing Modifier" "Normal,Special values" newline bitfld.quad 0x00 4. "EOIMODE_EL1NS,EOI mode for interrupts handledat non-secure EL1 and EL2" "0,1" bitfld.quad 0x00 3. "EOIMODE_EL1S,EOI mode for interrupts handled at secure EL1" "0,1" bitfld.quad 0x00 2. "EOIMODE_EL3,EOI mode for interrupts handled at EL3" "0,1" newline bitfld.quad 0x00 1. "CBPR_EL1NS,Non-secure accesses to GICC_BPR allowed." "Not allowed,Allowed" bitfld.quad 0x00 0. "CBPR_EL1S,Secure EL1 accesses to ICC_BPR1 allowed" "Not allowed,Allowed" wgroup.quad spr:0x30CB1++0x00 line.quad 0x00 "ICC_DIR_EL1,Deactivate Interrupt Register" hexmask.quad.tbyte 0x00 0.--23. 1. "INTID,The INTID of the interrupt to be deactivated" wgroup.quad spr:0x30C81++0x00 line.quad 0x00 "ICC_EOIR0_EL1,End Of Interrupt Register 0" hexmask.quad.tbyte 0x00 0.--23. 1. "INTID,The INTID from the corresponding ICC_IAR0_EL1 access" wgroup.quad spr:0x30CC1++0x00 line.quad 0x00 "ICC_EOIR1_EL1,End Of Interrupt Register 1" hexmask.quad.tbyte 0x00 0.--23. 1. "INTID,The INTID from the corresponding ICC_IAR1_EL1 access" rgroup.quad spr:0x30C82++0x00 line.quad 0x00 "ICC_HPPIR0_EL1,Highest Priority Pending Interrupt Register 0" hexmask.quad.tbyte 0x00 0.--23. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.quad spr:0x30CC2++0x00 line.quad 0x00 "ICC_HPPIR1_EL1,Highest Priority Pending Interrupt Register 1" hexmask.quad.tbyte 0x00 0.--23. 1. "INTID,The INTID of the highest priority pending interrupt" hgroup.quad spr:0x30C80++0x00 hide.long 0x00 "ICC_IAR0_EL1,Interrupt Acknowledge Register 0" in hgroup.quad spr:0x30CC0++0x00 hide.long 0x00 "ICC_IAR1_EL1,Interrupt Acknowledge Register 1" in newline group.quad spr:0x30CC6++0x00 line.quad 0x00 "ICC_IGRPEN0_EL1,Interrupt Group Enable Register 0" bitfld.quad 0x00 0. "ENABLE,Enable" "Disabled,Enabled" group.quad spr:0x30CC7++0x00 line.quad 0x00 "ICC_IGRPEN1_EL1,Interrupt Group Enable Register 1 (EL1)" bitfld.quad 0x00 0. "ENABLE,Enable" "Disabled,Enabled" group.quad spr:0x36CC7++0x00 line.quad 0x00 "ICC_IGRPEN1_EL3,Interrupt Group Enable Register 1 (EL3)" bitfld.quad 0x00 1. "ENABLEGRP1S,Enable Group 1 interrupts for the Secure state" "Disabled,Enabled" bitfld.quad 0x00 0. "ENABLEGRP1NS,Enable Group 1 interrupts for the Non-secure state" "Disabled,Enabled" group.quad spr:0x30460++0x00 line.quad 0x00 "ICC_PMR_EL1,Priority Mask Register" hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Priority mask level for the CPU interface" rgroup.quad spr:0x30CB3++0x00 line.quad 0x00 "ICC_RPR_EL1,Running Priority Register" hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Current running priority on the CPU interface" wgroup.quad spr:0x30CB7++0x00 line.quad 0x00 "ICC_SGI0R_EL1,SGI Generation Register 0" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" bitfld.quad 0x00 40. "IRM,Interrupt Routing Mode" "Disabled,Enabled" hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,Target List" wgroup.quad spr:0x30CB5++0x00 line.quad 0x00 "ICC_SGI1R_EL1,SGI Generation Register 1" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" bitfld.quad 0x00 40. "IRM,Interrupt Routing Mode" "Disabled,Enabled" hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,Target List" group.quad spr:0x30CC5++0x00 line.quad 0x00 "ICC_SRE_EL1,System Register Enable Register for EL1" bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" bitfld.quad 0x00 0. "SRE,System Register Enable" "Disabled,Enabled" group.quad spr:0x34C95++0x00 line.quad 0x00 "ICC_SRE_EL2,System Register Enable Register for EL2" bitfld.quad 0x00 3. "ENABLE,Enable lower exception level access" "Disabled,Enabled" bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" newline bitfld.quad 0x00 0. "SRE,System Register Enable" "Disabled,Enabled" group.quad spr:0x36CC5++0x00 line.quad 0x00 "ICC_SRE_EL3,System Register Enable Register for EL3" bitfld.quad 0x00 3. "ENABLE,Enable lower exception level access" "Disabled,Enabled" bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" newline bitfld.quad 0x00 0. "SRE,System Register Enable" "Disabled,Enabled" tree.end tree "AArch64 Virtual Interface Control System Registers" group.quad spr:0x34C80++0x00 line.quad 0x00 "ICH_AP0R0_EL2,Interrupt Controller Hypervisor Active Priorities Register 0-0" rgroup.quad spr:0x34CB3++0x00 line.quad 0x00 "ICH_EISR_EL2,Interrupt Controller End of Interrupt Status Register" bitfld.quad 0x00 3. "STATUS3,EOI maintenance interrupt status bit for List register 3" "No interrupt,Interrupt" bitfld.quad 0x00 2. "STATUS2,EOI maintenance interrupt status bit for List register 2" "No interrupt,Interrupt" bitfld.quad 0x00 1. "STATUS1,EOI maintenance interrupt status bit for List register 1" "No interrupt,Interrupt" newline bitfld.quad 0x00 0. "STATUS0,EOI maintenance interrupt status bit for List register 0" "No interrupt,Interrupt" rgroup.quad spr:0x34CB5++0x00 line.quad 0x00 "ICH_ELRSR_EL2,Interrupt Controller Empty List Register Status Register" bitfld.quad 0x00 3. "STATUS3,Status bit for List register 3" "Interrupt,No interrupt" bitfld.quad 0x00 2. "STATUS2,Status bit for List register 2" "Interrupt,No interrupt" bitfld.quad 0x00 1. "STATUS1,Status bit for List register 1" "Interrupt,No interrupt" newline bitfld.quad 0x00 0. "STATUS0,Status bit for List register 0" "Interrupt,No interrupt" group.quad spr:0x34CB0++0x00 line.quad 0x00 "ICH_HCR_EL2,Interrupt Controller Hypervisor Control Register" bitfld.quad 0x00 27.--31. "EOICOUNT,This field is incremented whenever a successful write to a virtual EOIR or DIR register would have resulted in a virtual interrupt deactivation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 14. "TDIR,Trap Non-secure EL1 writes to ICC_DIR" "Not trapped,Trapped" bitfld.quad 0x00 13. "TSEI,Trap all locally generated SEIs" "Not trapped,Trapped" newline bitfld.quad 0x00 12. "TALL1,Trap all Non-secure EL1 accesses to ICC_* System registers for Group 1 interrupts to EL2" "Not trapped,Trapped" bitfld.quad 0x00 11. "TALL0,Trap all Non-secure EL1 accesses to ICC_* System registers for Group 0 interrupts to EL2" "Not trapped,Trapped" bitfld.quad 0x00 10. "TC,Trap all Non-secure EL1 accesses to System registers that are common to Group 0 and Group 1 to EL2" "Not trapped,Trapped" newline bitfld.quad 0x00 7. "VGRP1DIE,VM Group 1 Disabled Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 6. "VGRP1EIE,VM Group 1 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 5. "VGRP0DIE,VM Group 0 Disabled Interrupt Enable" "Disabled,Enabled" newline bitfld.quad 0x00 4. "VGRP0EIE,VM Group 0 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 3. "NPIE,No Pending Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 2. "LRENPIE,List Register Entry Not Present Interrupt Enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. "UIE,Underflow Interrupt Enable" "Disabled,Enabled" bitfld.quad 0x00 0. "EN,Global enable bit for the virtual CPU interface" "Disabled,Enabled" if (((per.q(spr:(0x34CC0+0x0)))&0x2000000000000000)==0x00) group.quad spr:(0x34CC0+0x0)++0x00 line.quad 0x00 "ICH_LR0_EL2,Interrupt Controller List Register 0" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" newline hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" bitfld.quad 0x00 41. "PINTID_EOI,End of Interrupt" "Interrupt,No interrupt" hexmask.quad.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" else group.quad spr:(0x34CC0+0x0)++0x00 line.quad 0x00 "ICH_LR0_EL2,Interrupt Controller List Register 0" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" newline hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical INTID, for hardware interrupts" hexmask.quad.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" endif if (((per.q(spr:(0x34CC0+0x1)))&0x2000000000000000)==0x00) group.quad spr:(0x34CC0+0x1)++0x00 line.quad 0x00 "ICH_LR1_EL2,Interrupt Controller List Register 1" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" newline hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" bitfld.quad 0x00 41. "PINTID_EOI,End of Interrupt" "Interrupt,No interrupt" hexmask.quad.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" else group.quad spr:(0x34CC0+0x1)++0x00 line.quad 0x00 "ICH_LR1_EL2,Interrupt Controller List Register 1" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" newline hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical INTID, for hardware interrupts" hexmask.quad.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" endif if (((per.q(spr:(0x34CC0+0x2)))&0x2000000000000000)==0x00) group.quad spr:(0x34CC0+0x2)++0x00 line.quad 0x00 "ICH_LR2_EL2,Interrupt Controller List Register 2" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" newline hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" bitfld.quad 0x00 41. "PINTID_EOI,End of Interrupt" "Interrupt,No interrupt" hexmask.quad.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" else group.quad spr:(0x34CC0+0x2)++0x00 line.quad 0x00 "ICH_LR2_EL2,Interrupt Controller List Register 2" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" newline hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical INTID, for hardware interrupts" hexmask.quad.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" endif if (((per.q(spr:(0x34CC0+0x3)))&0x2000000000000000)==0x00) group.quad spr:(0x34CC0+0x3)++0x00 line.quad 0x00 "ICH_LR3_EL2,Interrupt Controller List Register 3" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" newline hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" bitfld.quad 0x00 41. "PINTID_EOI,End of Interrupt" "Interrupt,No interrupt" hexmask.quad.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" else group.quad spr:(0x34CC0+0x3)++0x00 line.quad 0x00 "ICH_LR3_EL2,Interrupt Controller List Register 3" bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt" "Software,Hardware" bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1" newline hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt" hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical INTID, for hardware interrupts" hexmask.quad.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" endif rgroup.quad spr:0x34CB2++0x00 line.quad 0x00 "ICH_MISR_EL2,Interrupt Controller Maintenance Interrupt State Register" bitfld.quad 0x00 7. "VGRP1D,VPE Group 1 Disabled" "Not asserted,Asserted" bitfld.quad 0x00 6. "VGRP1E,VPE Group 1 Enabled" "Not asserted,Asserted" bitfld.quad 0x00 5. "VGRP0D,VPE Group 0 Disabled" "Not asserted,Asserted" newline bitfld.quad 0x00 4. "VGRP0E,VPE Group 0 Enabled" "Not asserted,Asserted" bitfld.quad 0x00 3. "NP,No Pending" "Not asserted,Asserted" bitfld.quad 0x00 2. "LRENP,List Register Entry Not Present" "Not asserted,Asserted" newline bitfld.quad 0x00 1. "U,Underflow" "Not asserted,Asserted" bitfld.quad 0x00 0. "EOI,End Of Interrupt" "Not asserted,Asserted" group.quad spr:0x34CB7++0x00 line.quad 0x00 "ICH_VMCR_EL2,Interrupt Controller Virtual Machine Control Register" hexmask.quad.byte 0x00 24.--31. 1. "VPMR,The priority mask level for the virtual CPU interface" bitfld.quad 0x00 21.--23. "VBPR0,Virtual Binary Point Register Group 0" "[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]" bitfld.quad 0x00 18.--20. "VBPR1,Virtual Binary Point Register, Group 1" ",[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]" newline bitfld.quad 0x00 9. "VEOIM,Controls whether a write to an End of Interrupt register also deactivates the virtual interrupt" "Disabled,Enabled" bitfld.quad 0x00 4. "VCBPR,Virtual Common Binary Point Register" "Separate registers,Same register" bitfld.quad 0x00 3. "VFIQEN,Virtual FIQ enable" "Virtual IRQs,Virtual FIQs" newline bitfld.quad 0x00 2. "VACKCTL,Virtual FIQ enable" "1022,Corresponding interrupt" bitfld.quad 0x00 1. "VENG1,Virtual Group 1 interrupt enable" "Disabled,Enabled" bitfld.quad 0x00 0. "VENG0,Virtual Group 0 interrupt enable" "Disabled,Enabled" group.quad spr:0x34C94++0x00 line.quad 0x00 "ICH_VSEIR_EL2,Interrupt Controller Virtual System Error Interrupt Register" rgroup.quad spr:0x34CB1++0x00 line.quad 0x00 "ICH_VTR_EL2,Interrupt Controller VGIC Type Register" bitfld.quad 0x00 29.--31. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 26.--28. "PREBITS,The number of virtual preemption bits implemented, minus one" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 23.--25. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,24 bits,?..." newline bitfld.quad 0x00 22. "SEIS,Indicates whether the CPU interface supports local generation of SEIs" "Not supported,Supported" bitfld.quad 0x00 21. "A3V,Affinity 3 Valid" "Only zero values supported,Non-zero values supported" bitfld.quad 0x00 20. "NV4,GICv4 direct injection of virtual interrupts not supported" "Supported,Not supported" newline bitfld.quad 0x00 19. "TDS,Separate trapping of Non-secure EL1 writes to ICV_DIR_EL1 supported" "Not supported,Supported" bitfld.quad 0x00 0.--4. "LISTREGS,The number of implemented List registers, minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree.end tree "Debug Registers" rgroup.quad spr:0x23010++0x00 line.quad 0x00 "MDCCSR_EL0,Debug Comms Channel Status Register" bitfld.quad 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full" bitfld.quad 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full" group.quad spr:0x20020++0x00 line.quad 0x00 "MDCCINT_EL1,Debug Comms Channel Interrupt Enable Register" bitfld.quad 0x00 30. "RX,DCC interrupt enable controls" "Disabled,Enabled" bitfld.quad 0x00 29. "TX,DCC interrupt enable controls" "Disabled,Enabled" group.quad spr:0x23040++0x00 line.quad 0x00 "DBGDTR_EL0,Half Duplex Data Transfer Register" hexmask.quad.long 0x00 32.--63. 1. "HW,HighWord - Write/read DTRRX/DTRTX value without changing RXfull/TXfull" hexmask.quad.long 0x00 0.--31. 1. "LW,LowWord - Write/read DTRTX/DTRRX value without changing TXfull/RXfull" hgroup.quad spr:0x23050++0x00 hide.long 0x00 "DBGDTRRX_EL0,Full Duplex Receive Data Transfer Register" in wgroup.quad spr:0x23050++0x00 line.quad 0x00 "DBGDTRTX_EL0,Full Duplex Transmit Data Transfer Register" group.quad spr:0x24070++0x00 line.quad 0x00 "DBGVCR32_EL2,Vector Catch Register" bitfld.quad 0x00 31. "NSF,FIQ vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 30. "NSI,IRQ vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 28. "NSD,Data Abort vector catch enable in Non-secure state" "Disabled,Enabled" newline bitfld.quad 0x00 27. "NSP,Prefetch Abort vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 26. "NSS,Supervisor Call (SVC) vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.quad 0x00 25. "NSU,Undefined Instruction vector catch enable in Non-secure state" "Disabled,Enabled" newline bitfld.quad 0x00 7. "SF,FIQ vector catch enable in Secure state" "Disabled,Enabled" bitfld.quad 0x00 6. "SI,IRQ vector catch enable in Secure state" "Disabled,Enabled" bitfld.quad 0x00 4. "SD,Data Abort vector catch enable in Secure state" "Disabled,Enabled" newline bitfld.quad 0x00 3. "SP,Prefetch Abort vector catch enable in Secure state" "Disabled,Enabled" bitfld.quad 0x00 2. "SS,Supervisor Call (SVC) vector catch enable in Secure state" "Disabled,Enabled" bitfld.quad 0x00 1. "SU,Undefined Instruction vector catch enable in Secure state" "Disabled,Enabled" group.quad spr:0x20002++0x00 line.quad 0x00 "OSDTRRX_EL1,OS Lock Data Transfer Register" if (((per.q(spr:0x20114)&0x02)==0x00)) group.quad spr:0x20022++0x00 line.quad 0x00 "MDSCR_EL1,Monitor Debug System Control Register" rbitfld.quad 0x00 30. "RXFULL,Save/restore of EDSCR.RXfull" "Empty,Full" rbitfld.quad 0x00 29. "TXFULL,Save/restore of EDSCR.TXfull" "Empty,Full" newline rbitfld.quad 0x00 27. "RXO,Save/restore of EDSCR.RXO" "Low,High" rbitfld.quad 0x00 26. "TXU,Save/restore of EDSCR.TXU" "Low,High" newline rbitfld.quad 0x00 22.--23. "INTDIS,Save/restore of EDSCR.INTdis" "0,1,2,3" rbitfld.quad 0x00 21. "TDA,Save/restore of EDSCR.TDA" "Low,High" newline bitfld.quad 0x00 15. "MDE,Monitor debug events" "Disabled,Enabled" rbitfld.quad 0x00 14. "HDE,Save/restore of EDSCR.HDE" "Low,High" newline bitfld.quad 0x00 13. "KDE,Local (kernel) debug enable" "Disabled,Enabled" bitfld.quad 0x00 12. "TDCC,Traps EL0 accesses to the DCC registers to EL1" "Disabled,Enabled" newline bitfld.quad 0x00 6. "ERR,Save/restore of EDSCR.ERR" "Low,High" bitfld.quad 0x00 0. "SS,Software step control" "Disabled,Enabled" else group.quad spr:0x20022++0x00 line.quad 0x00 "MDSCR_EL1,Monitor Debug System Control Register" bitfld.quad 0x00 30. "RXFULL,Save/restore of EDSCR.RXfull" "Empty,Full" bitfld.quad 0x00 29. "TXFULL,Save/restore of EDSCR.TXfull" "Empty,Full" newline bitfld.quad 0x00 27. "RXO,Save/restore of EDSCR.RXO" "Low,High" bitfld.quad 0x00 26. "TXU,Save/restore of EDSCR.TXU" "Low,High" newline bitfld.quad 0x00 22.--23. "INTDIS,Save/restore of EDSCR.INTdis" "0,1,2,3" bitfld.quad 0x00 21. "TDA,Save/restore of EDSCR.TDA" "Low,High" newline bitfld.quad 0x00 15. "MDE,Monitor debug events" "Disabled,Enabled" bitfld.quad 0x00 14. "HDE,Save/restore of EDSCR.HDE" "Low,High" newline bitfld.quad 0x00 13. "KDE,Local (kernel) debug enable" "Disabled,Enabled" bitfld.quad 0x00 12. "TDCC,Traps EL0 accesses to the DCC registers to EL1" "Disabled,Enabled" newline bitfld.quad 0x00 6. "ERR,Save/restore of EDSCR.ERR" "Low,High" bitfld.quad 0x00 0. "SS,Software step control" "Disabled,Enabled" endif group.quad spr:0x20032++0x00 line.quad 0x00 "OSDTRTX_EL1,OS Lock Data Transfer Register" group.quad spr:0x20062++0x00 line.quad 0x00 "OSECCR_EL1,OS Lock Exception Catch Control Register" rgroup.quad spr:0x20100++0x00 line.quad 0x00 "MDRAR_EL1,Debug ROM Address Register" hexmask.quad 0x00 12.--43. 0x10 "ROMADDR,ROM base physical address" bitfld.quad 0x00 0.--1. "VALID,ROM address valid" "Invalid,Reserved,Reserved,Valid" wgroup.quad spr:0x20104++0x00 line.quad 0x00 "OSLAR_EL1,OS Lock Access Register" bitfld.quad 0x00 0. "OSLK,OS lock" "Unlock,Lock" rgroup.quad spr:0x20114++0x00 line.quad 0x00 "OSLSR_EL1,OS Lock Status Register" bitfld.quad 0x00 2. "NTT,Not 32-bit access" "Low,High" bitfld.quad 0x00 1. "OSLK,OS lock status" "Not locked,Locked" bitfld.quad 0x00 0. 3. "OSLM,OS lock model implemented field" "Reserved,Reserved,Implemented,?..." group.quad spr:0x20134++0x00 line.quad 0x00 "OSDLR_EL1,OS Double-lock Register" bitfld.quad 0x00 0. "DLK,OS double-lock control" "Not locked,Locked" group.quad spr:0x20144++0x00 line.quad 0x00 "DBGPRCR_EL1,Debug Power/Reset Control Register" bitfld.quad 0x00 0. "CORENPDRQ,Core no powerdown request" "No,Yes" group.quad spr:0x20786++0x00 line.quad 0x00 "DBGCLAIMSET_EL1,Claim Tag register Set" bitfld.quad 0x00 7. "CT7,Claim Tag 7 Set" "Not set,Set" bitfld.quad 0x00 6. "CT6,Claim Tag 6 Set" "Not set,Set" newline bitfld.quad 0x00 5. "CT5,Claim Tag 5 Set" "Not set,Set" bitfld.quad 0x00 4. "CT4,Claim Tag 4 Set" "Not set,Set" newline bitfld.quad 0x00 3. "CT3,Claim Tag 3 Set" "Not set,Set" bitfld.quad 0x00 2. "CT2,Claim Tag 2 Set" "Not set,Set" newline bitfld.quad 0x00 1. "CT1,Claim Tag 1 Set" "Not set,Set" bitfld.quad 0x00 0. "CT0,Claim Tag 0 Set" "Not set,Set" group.quad spr:0x20796++0x00 line.quad 0x00 "DBGCLAIMCLR_EL1,Claim Tag register Clear" bitfld.quad 0x00 7. "CT7,Claim Tag 7 Clear" "Not cleared,Cleared" bitfld.quad 0x00 6. "CT6,Claim Tag 6 Clear" "Not cleared,Cleared" newline bitfld.quad 0x00 5. "CT5,Claim Tag 5 Clear" "Not cleared,Cleared" bitfld.quad 0x00 4. "CT4,Claim Tag 4 Clear" "Not cleared,Cleared" newline bitfld.quad 0x00 3. "CT3,Claim Tag 3 Clear" "Not cleared,Cleared" bitfld.quad 0x00 2. "CT2,Claim Tag 2 Clear" "Not cleared,Cleared" newline bitfld.quad 0x00 1. "CT1,Claim Tag 1 Clear" "Not cleared,Cleared" bitfld.quad 0x00 0. "CT0,Claim Tag 0 Clear" "Not cleared,Cleared" if (((per.q(spr:0x207e6))&0xAA)==0xAA) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.q(spr:0x207e6))&0xAA)==0xA8) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.q(spr:0x207e6))&0xAA)==0xA2) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.q(spr:0x207e6))&0xAA)==0xA0) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.q(spr:0x207e6))&0xAA)==0x8A) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.q(spr:0x207e6))&0xAA)==0x88) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.q(spr:0x207e6))&0xAA)==0x82) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.q(spr:0x207e6))&0xAA)==0x80) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.q(spr:0x207e6))&0xAA)==0x2A) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.q(spr:0x207e6))&0xAA)==0x28) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.q(spr:0x207e6))&0xAA)==0x22) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.q(spr:0x207e6))&0xAA)==0x20) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.q(spr:0x207e6))&0xAA)==0x0A) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.q(spr:0x207e6))&0xAA)==0x08) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.q(spr:0x207e6))&0xAA)==0x02) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.q(spr:0x207e6))&0xAA)==0x00) rgroup.quad spr:0x207e6++0x00 line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register" bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" endif group.quad spr:0x33450++0x00 line.quad 0x00 "DSPSR_EL0,Debug Saved Processor Status Register" group.quad spr:0x33451++0x00 line.quad 0x00 "DLR_EL0,Debug Link Register" tree.end tree "Breakpoint Registers" tree "Breakpoint 0" if (((per.q(spr:(0x20005+0x0)))&0xA00000)==0x000000) group.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.q(spr:(0x20005+0x0)))&0xA00000)==0x800000) group.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" elif (((per.q(spr:(0x20005+0x0)))&0xA00000)==0x200000) group.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" else group.quad spr:(0x20004+0x0)++0x00 line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" endif if (((per.q(spr:0x20005+0x0))&0x400000)==0x400000) group.quad spr:(0x20005+0x0)++0x0 line.quad 0x00 "DBGBCR0_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,Reserved,Reserved" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" elif (((per.q(spr:0x20005+0x0))&0x800000)==0x800000) group.quad spr:(0x20005+0x0)++0x0 line.quad 0x00 "DBGBCR0_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Reserved" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" else group.quad spr:(0x20005+0x0)++0x0 line.quad 0x00 "DBGBCR0_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" endif tree.end tree "Breakpoint 1" if (((per.q(spr:(0x20005+0x10)))&0xA00000)==0x000000) group.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.q(spr:(0x20005+0x10)))&0xA00000)==0x800000) group.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" elif (((per.q(spr:(0x20005+0x10)))&0xA00000)==0x200000) group.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" else group.quad spr:(0x20004+0x10)++0x00 line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" endif if (((per.q(spr:0x20005+0x10))&0x400000)==0x400000) group.quad spr:(0x20005+0x10)++0x0 line.quad 0x00 "DBGBCR1_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,Reserved,Reserved" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" elif (((per.q(spr:0x20005+0x10))&0x800000)==0x800000) group.quad spr:(0x20005+0x10)++0x0 line.quad 0x00 "DBGBCR1_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Reserved" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" else group.quad spr:(0x20005+0x10)++0x0 line.quad 0x00 "DBGBCR1_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" endif tree.end tree "Breakpoint 2" if (((per.q(spr:(0x20005+0x20)))&0xA00000)==0x000000) group.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.q(spr:(0x20005+0x20)))&0xA00000)==0x800000) group.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" elif (((per.q(spr:(0x20005+0x20)))&0xA00000)==0x200000) group.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" else group.quad spr:(0x20004+0x20)++0x00 line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" endif if (((per.q(spr:0x20005+0x20))&0x400000)==0x400000) group.quad spr:(0x20005+0x20)++0x0 line.quad 0x00 "DBGBCR2_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,Reserved,Reserved" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" elif (((per.q(spr:0x20005+0x20))&0x800000)==0x800000) group.quad spr:(0x20005+0x20)++0x0 line.quad 0x00 "DBGBCR2_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Reserved" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" else group.quad spr:(0x20005+0x20)++0x0 line.quad 0x00 "DBGBCR2_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" endif tree.end tree "Breakpoint 3" if (((per.q(spr:(0x20005+0x30)))&0xA00000)==0x000000) group.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.q(spr:(0x20005+0x30)))&0xA00000)==0x800000) group.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" elif (((per.q(spr:(0x20005+0x30)))&0xA00000)==0x200000) group.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" else group.quad spr:(0x20004+0x30)++0x00 line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" endif if (((per.q(spr:0x20005+0x30))&0x400000)==0x400000) group.quad spr:(0x20005+0x30)++0x0 line.quad 0x00 "DBGBCR3_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,Reserved,Reserved" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" elif (((per.q(spr:0x20005+0x30))&0x800000)==0x800000) group.quad spr:(0x20005+0x30)++0x0 line.quad 0x00 "DBGBCR3_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Reserved" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" else group.quad spr:(0x20005+0x30)++0x0 line.quad 0x00 "DBGBCR3_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" endif tree.end tree "Breakpoint 4" if (((per.q(spr:(0x20005+0x40)))&0xA00000)==0x000000) group.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.q(spr:(0x20005+0x40)))&0xA00000)==0x800000) group.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" elif (((per.q(spr:(0x20005+0x40)))&0xA00000)==0x200000) group.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" else group.quad spr:(0x20004+0x40)++0x00 line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" endif if (((per.q(spr:0x20005+0x40))&0x400000)==0x400000) group.quad spr:(0x20005+0x40)++0x0 line.quad 0x00 "DBGBCR4_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,Reserved,Reserved" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" elif (((per.q(spr:0x20005+0x40))&0x800000)==0x800000) group.quad spr:(0x20005+0x40)++0x0 line.quad 0x00 "DBGBCR4_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Reserved" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" else group.quad spr:(0x20005+0x40)++0x0 line.quad 0x00 "DBGBCR4_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" endif tree.end tree "Breakpoint 5" if (((per.q(spr:(0x20005+0x50)))&0xA00000)==0x000000) group.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.q(spr:(0x20005+0x50)))&0xA00000)==0x800000) group.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" elif (((per.q(spr:(0x20005+0x50)))&0xA00000)==0x200000) group.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" else group.quad spr:(0x20004+0x50)++0x00 line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register" hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison" hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID" endif if (((per.q(spr:0x20005+0x50))&0x400000)==0x400000) group.quad spr:(0x20005+0x50)++0x0 line.quad 0x00 "DBGBCR5_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,Reserved,Reserved" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" elif (((per.q(spr:0x20005+0x50))&0x800000)==0x800000) group.quad spr:(0x20005+0x50)++0x0 line.quad 0x00 "DBGBCR5_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Reserved" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" else group.quad spr:(0x20005+0x50)++0x0 line.quad 0x00 "DBGBCR5_EL1,Breakpoint Control Register" bitfld.quad 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.quad 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.quad 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.quad 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Higher mode control" "Disabled,Enabled" newline bitfld.quad 0x00 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" endif tree.end tree.end tree "Watchpoint Control Registers" tree "Watchpoint 0" group.quad spr:(0x20006+0x0)++0x00 line.quad 0x00 "DBGWVR0_EL1,Watchpoint Value Register (AArch64)" hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address" group.quad spr:(0x20007+0x0)++0x00 line.quad 0x00 "DBGWCR0_EL1,Watchpoint Control Register" bitfld.quad 0x00 24.--28. "MASK,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 1" group.quad spr:(0x20006+0x10)++0x00 line.quad 0x00 "DBGWVR1_EL1,Watchpoint Value Register (AArch64)" hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address" group.quad spr:(0x20007+0x10)++0x00 line.quad 0x00 "DBGWCR1_EL1,Watchpoint Control Register" bitfld.quad 0x00 24.--28. "MASK,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 2" group.quad spr:(0x20006+0x20)++0x00 line.quad 0x00 "DBGWVR2_EL1,Watchpoint Value Register (AArch64)" hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address" group.quad spr:(0x20007+0x20)++0x00 line.quad 0x00 "DBGWCR2_EL1,Watchpoint Control Register" bitfld.quad 0x00 24.--28. "MASK,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree "Watchpoint 3" group.quad spr:(0x20006+0x30)++0x00 line.quad 0x00 "DBGWVR3_EL1,Watchpoint Value Register (AArch64)" hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address" group.quad spr:(0x20007+0x30)++0x00 line.quad 0x00 "DBGWCR3_EL1,Watchpoint Control Register" bitfld.quad 0x00 24.--28. "MASK,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked" bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled" hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select" newline bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both" bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled" tree.end tree.end tree.end tree.open "AArch32" tree "ID Registers" rgroup.long c15:0x0000++0x00 line.long 0x00 "MIDR,Main ID Register" hexmask.long.byte 0x00 24.--31. 1. "IMPL,Implementer code" bitfld.long 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "ARCH, Architecture" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,ARMv8" newline hexmask.long.word 0x00 4.--15. 1. "PART,Primary Part Number" bitfld.long 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long c15:0x0100++0x00 line.long 0x00 "CTR,Cache Type Register" bitfld.long 0x00 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.long 0x00 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.long 0x00 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.long 0x00 14.--15. "L1POLICY,L1 Instruction cache policy" "Reserved,Reserved,Reserved,PIPT" bitfld.long 0x00 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." rgroup.long c15:0x0300++0x00 line.long 0x00 "TLBTR,TLB Type Register" bitfld.long 0x00 0. "NU,Not Unified. Indicates whether the implementation has a unified TLB" "Unified," rgroup.long c15:0x0500++0x00 line.long 0x00 "MPIDR,Multiprocessor Affinity Register" bitfld.long 0x00 30. "U,Processor is part of a multiprocessor or uniprocessor system" "Multiprocessor,Uniprocessor" newline bitfld.long 0x00 24. "MT,Lowest level of affinity consist of logical processors" "Largery independent,Very interdependent" hexmask.long.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Second highest level affinity field" hexmask.long.byte 0x00 8.--15. 1. "AFF1,Affinity level 1. Third highest level affinity field" newline bitfld.long 0x00 0.--1. "CPUID,CPU ID" "1,2,3,4" rgroup.long c15:0x0600++0x00 line.long 0x00 "REVIDR,Revision ID Register" rgroup.long c15:0x0410++0x00 line.long 0x00 "ID_MMFR0,Memory Model Feature Register 0" bitfld.long 0x00 28.--31. "IS,Indicates innermost shareability domain implemented" "Reserved,Implemented,?..." bitfld.long 0x00 24.--27. "FCSE,Fast Context Switch Memory Mappings Support" "Not supported,?..." bitfld.long 0x00 20.--23. "AR,Auxiliary Register Support" "Reserved,Reserved,ACTLR/AIFSR/ADFSR,?..." newline bitfld.long 0x00 16.--19. "TCM,TCM and Associated DMA Support" "Not supported,?..." bitfld.long 0x00 12.--15. "SL,Shareability levels" "Reserved,Implemented 2 levels,?..." bitfld.long 0x00 8.--11. "OSS,Outer Shareable Support" "Reserved,Implemented,?..." newline bitfld.long 0x00 4.--7. "PMSA,Physical Memory System Architecture (PMSA) Support" "Not supported,?..." bitfld.long 0x00 0.--3. "VMSA,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." rgroup.long c15:0x0510++0x00 line.long 0x00 "ID_MMFR1,Memory Model Feature Register 1" bitfld.long 0x00 28.--31. "BTB,Branch Predictor" "Reserved,Reserved,Reserved,Reserved,Not required,?..." bitfld.long 0x00 24.--27. "L1TCO,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 20.--23. "L1UCMO,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..." newline bitfld.long 0x00 16.--19. "L1HCMO,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 12.--15. "L1UCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 8.--11. "L1HCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Not supported,?..." newline bitfld.long 0x00 4.--7. "L1UCLMOMVA,L1 Cache Line Maintenance Operations by VA/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 0.--3. "L1HCLMOMVA,L1 Cache Line Maintenance Operations by VA/Harvard Architecture" "Not supported,?..." rgroup.long c15:0x0610++0x00 line.long 0x00 "ID_MMFR2,Memory Model Feature Register 2" bitfld.long 0x00 28.--31. "HAF,Hardware Access Flag Support" "Not supported,?..." bitfld.long 0x00 24.--27. "WFI,Wait for Interrupt Stalling Support" "Reserved,Supported,?..." bitfld.long 0x00 20.--23. "MBF,Memory Barrier Operations Support" "Reserved,Reserved,Supported,?..." newline bitfld.long 0x00 16.--19. "UTLBMO,TLB Maintenance Operations/Unified Architecture Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 12.--15. "HTLBMO,TLB Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 8.--11. "HL1CMRO,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..." newline bitfld.long 0x00 4.--7. "HL1BPCRO,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 0.--3. "HL1FPCRO,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." rgroup.long c15:0x0710++0x00 line.long 0x00 "ID_MMFR3,Memory Model Feature Register 3" bitfld.long 0x00 28.--31. "SS,Supersection support" "Supported,?..." bitfld.long 0x00 24.--27. "CMEMSZ,Cache memory size" "Reserved,Reserved,1TByte,?..." bitfld.long 0x00 20.--23. "CW,Coherent walk" "Reserved,Supported,?..." newline bitfld.long 0x00 12.--15. "MB,Maintenance broadcast Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. "BPM,Invalidate Branch predictor Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 4.--7. "HCMOSW,Invalidate Cache by Set and Way/Clean by Set and Way/Invalidate and Clean by Set and Way Support" "Reserved,Supported,?..." newline bitfld.long 0x00 0.--3. "HCMOMVA,Invalidate Cache MVA Support" "Reserved,Supported,?..." rgroup.long c15:0x0620++0x00 line.long 0x00 "ID_MMFR4,ID_MMFR4" bitfld.long 0x00 4.--7. "AC2,Extension of ACTLR and HACTLR by ACTLR2 and HACTLR2" "Not implemented, implemented,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved" rgroup.long c15:0x0020++0x00 line.long 0x00 "ID_ISAR0,Instruction Set Attribute Register 0" bitfld.long 0x00 24.--27. "DIVI,Divide Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 20.--23. "DEBI,Debug Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 16.--19. "CI,Coprocessor Instructions Support" "Not supported,?..." newline bitfld.long 0x00 12.--15. "CBI,Combined Compare and Branch Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. "BI,Bitfield Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 4.--7. "BCI,Bit Counting Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 0.--3. "SI,Swap Instructions Support" "Not supported,?..." rgroup.long c15:0x0120++0x00 line.long 0x00 "ID_ISAR1,Instruction Set Attribute Register 1" bitfld.long 0x00 28.--31. "JI,Jazelle Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 24.--27. "INTI,Interwork Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 20.--23. "IMMI,Immediate Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 16.--19. "ITEI,If Then Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "EXTI,Extend Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. "EARI,Exception A and R Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 4.--7. "EXIN,Exception in ARM Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 0.--3. "ENDI,Endian Instructions Support" "Reserved,Supported,?..." rgroup.long c15:0x0220++0x00 line.long 0x00 "ID_ISAR2,Instruction Set Attribute Register 2" bitfld.long 0x00 28.--31. "RI,Reversal Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 24.--27. "PSRI,PSR Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 20.--23. "UMI,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,Supported,?..." newline bitfld.long 0x00 16.--19. "SMI,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 12.--15. "MI,Multiply Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. "II,Multi-Access Interruptible Instructions Support" "Not supported,?..." newline bitfld.long 0x00 4.--7. "MHI,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 0.--3. "LSI,Load and Store Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.long c15:0x0320++0x00 line.long 0x00 "ID_ISAR3,Instruction Set Attribute Register 3" bitfld.long 0x00 28.--31. "TEEEI,Thumb-EE Extensions Support" "Not supported,?..." bitfld.long 0x00 24.--27. "NOPI,True NOP Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 20.--23. "TCI,Thumb Copy Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 16.--19. "TBI,Table Branch Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "SPI,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. "SVCI,SVC Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 4.--7. "SIMDI,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 0.--3. "SI,Saturate Instructions Support" "Reserved,Supported,?..." rgroup.long c15:0x0420++0x00 line.long 0x00 "ID_ISAR4,Instruction Set Attribute Register 4" bitfld.long 0x00 28.--31. "SWP_FRAC,Memory System Locking Support" "Not supported,?..." bitfld.long 0x00 24.--27. "PSR_M_I,PSR_M Instructions Support" "Not supported,?..." bitfld.long 0x00 20.--23. "SPRI,Synchronization Primitive instructions" "Supported,?..." newline bitfld.long 0x00 16.--19. "BI,Barrier Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "SMCI,SMC Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. "WBI,Write-Back Instructions Support" "Reserved,Supported,?..." newline bitfld.long 0x00 4.--7. "WSI,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 0.--3. "UI,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.long c15:0x0520++0x00 line.long 0x00 "ID_ISAR5,Instruction Set Attribute Register 5" bitfld.long 0x00 16.--19. "CRC32,CRC32 Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "SHA2,SHA2 Instructions Support" "Not supported,Supported,?..." bitfld.long 0x00 8.--11. "SHA1,SHA1 Instructions Support" "Not supported,Supported,?..." newline bitfld.long 0x00 4.--7. "AES,AES Instructions Support" "Not supported,Reserved,Supported,?..." bitfld.long 0x00 0.--3. "SEVL,SEVL Instructions Support" "Reserved,Supported,?..." rgroup.long c15:0x0010++0x00 line.long 0x00 "ID_PFR0,Processor Feature Register 0" bitfld.long 0x00 12.--15. "STATE3,Thumb Execution Environment (Thumb-EE) Support" "Not supported,?..." bitfld.long 0x00 8.--11. "STATE2,Support for Jazelle extension" "Reserved,Supported,?..." bitfld.long 0x00 4.--7. "STATE1,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,Supported,?..." newline bitfld.long 0x00 0.--3. "STATE0,ARM Instruction Set Support" "Reserved,Supported,?..." rgroup.long c15:0x0110++0x00 line.long 0x00 "ID_PFR1,Processor Feature Register 1" bitfld.long 0x00 28.--31. "GIC_CPU,GIC CPU Support" "Not supported,Supported,?..." newline bitfld.long 0x00 16.--19. "GT,Generic Timer Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. "VE,Virtualization Extensions Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. "MPM,Microcontroller Programmer's Model Support" "Not supported,?..." newline bitfld.long 0x00 4.--7. "SE,Security Extensions Architecture v1 Support" "Reserved,Supported,?..." bitfld.long 0x00 0.--3. "PM,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..." rgroup.long c15:0x0210++0x00 line.long 0x00 "ID_DFR0,Debug Feature Register 0" bitfld.long 0x00 24.--27. "PMM,Performance Monitor Model Support" "Reserved,Reserved,Reserved,PMUv3,?..." bitfld.long 0x00 20.--23. "MDM_MM,Memory-mapped Debug Model for M profile processors Support" "Not supported,?..." bitfld.long 0x00 16.--19. "TM_MM,Trace Model (Memory-Mapped) Support" "Reserved,Supported,?..." newline bitfld.long 0x00 12.--15. "CTM_CB,Coprocessor-Based Trace Debug Model Support" "Not supported,?..." bitfld.long 0x00 8.--11. "CDM_MM,Memory-Mapped Debug Model Support" "Not supported,?..." bitfld.long 0x00 4.--7. "SDM_CB,Secure Debug Model (Coprocessor) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." newline bitfld.long 0x00 0.--3. "CDM_CB,Coprocessor Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." newline rgroup.long c15:0x6C9++0x00 line.long 0x00 "PMCEID0,Common Event Identification Register 0" bitfld.long 0x00 30. "CH,Chain" "Not implemented,Implemented" bitfld.long 0x00 29. "BC,Bus cycle" "Not implemented,Implemented" bitfld.long 0x00 28. "TW,Instruction architecturally executed condition check pass" "Not implemented,Implemented" newline bitfld.long 0x00 27. "IS,Instruction speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 26. "ME,Local memory error" "Not implemented,Implemented" bitfld.long 0x00 25. "BA,Bus access" "Not implemented,Implemented" newline bitfld.long 0x00 24. "DC2W,Level 2 data cache write-back" "Not implemented,Implemented" bitfld.long 0x00 23. "DC2R,Level 2 data cache refill" "Not implemented,Implemented" bitfld.long 0x00 22. "DC2A,Level 2 data cache access" "Not implemented,Implemented" newline bitfld.long 0x00 21. "DC1W,Level 1 data cache write-back" "Not implemented,Implemented" bitfld.long 0x00 20. "IC1A,Level 1 instruction cache access" "Not implemented,Implemented" bitfld.long 0x00 19. "MA,Data memory access" "Not implemented,Implemented" newline bitfld.long 0x00 18. "BP,Predictable branch speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 17. "CC,Cycle" "Not implemented,Implemented" bitfld.long 0x00 16. "BM,Mispredicted or not predicted branch speculatively executed" "Not implemented,Implemented" newline bitfld.long 0x00 15. "UL,Instruction architecturally executed condition check pass unaligned load or store" "Not implemented,Implemented" bitfld.long 0x00 14. "BR,Instruction architecturally executed condition check pass procedure return" "Not implemented,Implemented" bitfld.long 0x00 13. "BI,Instruction architecturally executed immediate branch" "Not implemented,Implemented" newline bitfld.long 0x00 12. "PW,Instruction architecturally executed condition check pass software change of the PC" "Not implemented,Implemented" bitfld.long 0x00 11. "CW,Instruction architecturally executed condition check pass write to CONTEXTIDR" "Not implemented,Implemented" bitfld.long 0x00 10. "ER,Instruction architecturally executed condition check pass exception return" "Not implemented,Implemented" newline bitfld.long 0x00 9. "ET,Exception taken" "Not implemented,Implemented" bitfld.long 0x00 8. "IA,Instruction architecturally executed" "Not implemented,Implemented" bitfld.long 0x00 7. "ST,Instruction architecturally executed condition check pass store" "Not implemented,Implemented" newline bitfld.long 0x00 6. "LD,Instruction architecturally executed condition check pass load" "Not implemented,Implemented" bitfld.long 0x00 5. "DT1R,Level 1 data TLB refill" "Not implemented,Implemented" bitfld.long 0x00 4. "DC1A,Level 1 data cache access" "Not implemented,Implemented" newline bitfld.long 0x00 3. "DC1R,Level 1 data cache refill" "Not implemented,Implemented" bitfld.long 0x00 2. "IT1R,Level 1 instruction TLB refill" "Not implemented,Implemented" bitfld.long 0x00 1. "IC1R,Level 1 instruction cache refill" "Not implemented,Implemented" newline bitfld.long 0x00 0. "SI,Instruction architecturally executed condition check pass software increment" "Not implemented,Implemented" group.long c15:0x020D++0x00 line.long 0x00 "TPIDRURW,User Read/Write Thread ID Register" group.long c15:0x030D++0x00 line.long 0x00 "TPIDRURO,User Read-Only Thread ID Register" group.long c15:0x040D++0x00 line.long 0x00 "TPIDRPRW,EL1 only Thread ID Register" group.long c15:0x420D++0x00 line.long 0x00 "HTPIDR,Hypervisor Software Thread ID Register" tree.end tree "System Control and Configuration" if (((per.l(c15:0x202))&0x80000000)==0x00000000) group.long c15:0x0001++0x00 line.long 0x00 "SCTLR,Control Register" bitfld.long 0x00 30. "TE,T32 exception enable" "A32,T32" bitfld.long 0x00 29. "AFE,Access Flag Enable" "Disabled,Enabled" newline bitfld.long 0x00 28. "TRE,TEX remap enable" "Disabled,Enabled" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 20. "UWXN,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.long 0x00 18. "NTWE,Not trap WFE" "No,Yes" bitfld.long 0x00 16. "NTWI,Not trap WFI" "No,Yes" newline bitfld.long 0x00 13. "V,Base Location of Exception Registers" "0x00000000,0xFFFF0000" bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" newline bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.long 0x00 7. "ITD,IT Disable" "No,Yes" newline bitfld.long 0x00 6. "THEE,ThumbEE Disable" "No,Yes" bitfld.long 0x00 5. "CP15BEN,CP15 barrier enable" "Disabled,Enabled" newline bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.long 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" else group.long c15:0x0001++0x00 line.long 0x00 "SCTLR,Control Register" bitfld.long 0x00 30. "TE,T32 exception enable" "A32,T32" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 20. "UWXN,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.long 0x00 18. "NTWE,Not trap WFE" "No,Yes" bitfld.long 0x00 16. "NTWI,Not trap WFI" "No,Yes" newline bitfld.long 0x00 13. "V,Base Location of Exception Registers" "0x00000000,0xFFFF0000" bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" newline bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.long 0x00 7. "ITD,IT Disable" "No,Yes" newline bitfld.long 0x00 6. "THEE,ThumbEE Disable" "No,Yes" bitfld.long 0x00 5. "CP15BEN,CP15 barrier enable" "Disabled,Enabled" newline bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled" bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled" newline bitfld.long 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled" endif group.quad c15:0x100F0++0x01 line.quad 0x00 "CPUACTLR,CPU Auxiliary Control Register" bitfld.quad 0x00 63. "FPMRCGEA,Force processor RCG enables active" "Not forced,Forced" bitfld.quad 0x00 62. "FPNMRCGEA,Force processor non-memory-system RCG enables active" "Not forced,Forced" newline bitfld.quad 0x00 61. "FPDIERCGEA,Force processor Decode and Integer Execute idle RCG enables active" "Not forced,Forced" bitfld.quad 0x00 60. "FPDRCGEA,Force processor Dispatch idle RCG enables active" "Not forced,Forced" newline bitfld.quad 0x00 59. "DLPDMB,Disable load pass DMB" "No,Yes" bitfld.quad 0x00 58. "DDMBN,Disable DMB nullification" "No,Yes" newline bitfld.quad 0x00 57. "TA,Treat DMB st/st and DMB ld/allas DMB all/all" "Disabled,Enabled" bitfld.quad 0x00 56. "DL1DCHP,Disable L1 Data Cache hardware prefetcher" "No,Yes" newline bitfld.quad 0x00 55. "DLPS,Disable load pass store" "No,Yes" bitfld.quad 0x00 54. "TGRE,Treat GRE/nGRE as nGnRE" "Disabled,Enabled" newline bitfld.quad 0x00 53. "TDMBADSB,Treat DMBand DSBas if their domain field is SY" "Disabled,Enabled" bitfld.quad 0x00 52. "DORFLDNPI,Disable over-read from LDNP instruction" "No,Yes" newline bitfld.quad 0x00 51. "ECDAFEMP,Enable contention detection and fast exclusive monitor path" "Disabled,Enabled" bitfld.quad 0x00 50. "DSSONNCGREEMT,Disable store streaming on NC/GRE memory type" "No,Yes" newline bitfld.quad 0x00 49. "DNHOWBNAMT,Disable non-allocate hint of Write-Back No-Allocate (WBNA) memory type" "No,Yes" bitfld.quad 0x00 48. "DESRAFLSTL2,Disable early speculative read access from LS to L2" "No,Yes" newline bitfld.quad 0x00 47. "DL1L2HP,Disable L1/L2 hardware prefetch across 4KB page boundary even if page is 64KB or larger" "No,Yes" bitfld.quad 0x00 46. "DML1DTLBM,Disable multiple outstanding L1 Data TLB misses and L2 TLB hit under miss" "No,Yes" newline bitfld.quad 0x00 45. "Dl1DCWT,Disable L1-DCache way tracker" "No,Yes" bitfld.quad 0x00 44. "EDCCADCCI,Enable data cache clean as data cache clean/invalidate" "Disabled,Enabled" newline bitfld.quad 0x00 43. "DVABHWPREF,Disable the Load/Store hardware prefetcher from using VA to cross page boundaries" "No,Yes" bitfld.quad 0x00 42. "DPREFREQRUT,Disable prefetch requests from ReadUnique transactions" "No,Yes" newline bitfld.quad 0x00 41. "ESHWSHAEP,Enable snoop hazard while waiting for second half of atomic exclusive pair" "Disabled,Enabled" bitfld.quad 0x00 39. "DIM,Disable instruction merging" "No,Yes" newline bitfld.quad 0x00 38. "FFPSCRWF,Force FPSCR write flush" "Not forced,Forced" bitfld.quad 0x00 37. "DIGS,Disable instruction group split" "No,Yes" newline bitfld.quad 0x00 36. "FIDSBONASBE,Force implicit DSB on an ISB event" "Not forced,Forced" bitfld.quad 0x00 34. "DSBP,Disable Static Branch Predictor" "No,Yes" newline bitfld.quad 0x00 33. "DL1ICWPIMBTB,Disable L1 Instruction Cache way prediction in micro-BTB" "No,Yes" bitfld.quad 0x00 32. "DL1ICP,Disable L1 Instruction Cache prefetch" "No,Yes" newline bitfld.quad 0x00 31. "SDEH,Snoop-delayed exclusive handling" "Disabled,Enabled" bitfld.quad 0x00 30. "FMCEA,Force main clock enable active" "Not forced,Forced" newline bitfld.quad 0x00 29. "FASIMDFPCEA,Force Advanced SIMD and floating-point clock enable active" "Disabled,Enabled" bitfld.quad 0x00 27.--28. "WSNAT,Write streaming no-allocate threshold" "12th,128th,512th,Disabled" newline bitfld.quad 0x00 25.--26. "WSNL1AT,Write streaming no-L1-allocate threshold" "4th,64th,128th,Disabled" bitfld.quad 0x00 24. "NCSE,Non-cacheable streaming enhancement" "Disabled,Enabled" newline bitfld.quad 0x00 23. "FIORTTSSAW,Force in-order requests to the same set and way" "Not forced,Forced" bitfld.quad 0x00 22. "FIOLI,Force in-order load issue" "Not forced,Forced" newline bitfld.quad 0x00 21. "DL2TLBP,Disable L2 TLB prefetching" "No,Yes" bitfld.quad 0x00 20. "DL2TTWIPAPAC,Disable L2 translation table walk IPA PA cache" "No,Yes" newline bitfld.quad 0x00 19. "DL2S1TTWC,Disable L2 stage 1 translation table walk cache" "No,Yes" bitfld.quad 0x00 18. "DL2S1TTWL2PAC,Disable L2 stage 1 translation table walk L2 PA cache" "No,Yes" newline bitfld.quad 0x00 17. "DL2TLBPO,Disable L2 TLB performance optimization" "No,Yes" bitfld.quad 0x00 16. "EFSOADLR,Enable full Strongly-ordered and Device load replay" "Disabled,Enabled" newline bitfld.quad 0x00 15. "FIOIIBEU,Force in-order issue in branch execute unit" "Not forced,Forced" bitfld.quad 0x00 14. "FLOFOIGCDAPC,Force limit of one instruction group commit/de-allocate per cycle" "Not forced,Forced" newline bitfld.quad 0x00 13. "FASPRW,Flush after Special Purpose Register (SPR) writes" "Disabled,Enabled" bitfld.quad 0x00 12. "FPOSPRS,Force push of SPRs" "Disabled,Enabled" newline bitfld.quad 0x00 11. "LTOIPIG,Limit to one instruction per instruction group" "Disabled,Enabled" bitfld.quad 0x00 10. "FSAEIG,Force serialization after each instruction group" "Not forced,Forced" newline bitfld.quad 0x00 9. "DFRO,Disable flag renaming optimization" "No,Yes" bitfld.quad 0x00 8. "EWFIIAANOPI,Execute WFI instruction as a NOP instruction" "Disabled,Enabled" newline bitfld.quad 0x00 7. "EWFEIAANOPI,Execute WFE instruction as a NOP instruction" "Disabled,Enabled" bitfld.quad 0x00 5. "EPLDPLDWIASNOP,Execute PLDand PLDWinstructions as a NOP" "Disabled,Enabled" newline bitfld.quad 0x00 4. "DIP,Disable indirect predictor" "No,Yes" bitfld.quad 0x00 3. "DMBTB,Disable micro-BTB" "No,Yes" newline bitfld.quad 0x00 1. "DICMS,Disable Instruction Cache miss streaming" "No,Yes" bitfld.quad 0x00 0. "EIOBTB,Enable invalidates of BTB" "Disabled,Enabled" group.quad c15:0x110F0++0x01 line.quad 0x00 "CPUECTLR,CPU Extended Control Register" bitfld.quad 0x00 38. "DTWDAP,Disable table walk descriptor access prefetch" "No,Yes" bitfld.quad 0x00 35.--36. "L2IFPD,L2 instruction fetch prefetch distance" "0 requests,1 request,2 requests,3 requests" newline bitfld.quad 0x00 32.--33. "L2LSDPD,L2 load/store data prefetch distance" "16 requests,18 requests,20 requests,22 requests" bitfld.quad 0x00 6. "SMPEN,Enables the processor to receive instruction cache and TLB maintenance operations broadcast from other processors in the cluster" "Disabled,Enabled" newline bitfld.quad 0x00 0.--2. "CPURETCTL,CPU retention control" "Disabled,2 ticks,8 ticks,32 ticks,64 ticks,128 ticks,256 ticks,512 ticks" group.quad c15:0x120F0++0x01 line.quad 0x00 "CPUMERRSR,CPU Memory Error Syndrome Register" bitfld.quad 0x00 63. "FATAL,Fatal bit" "0,1" hexmask.quad.byte 0x00 40.--47. 1. "OEC,Other error count" newline hexmask.quad.byte 0x00 32.--39. 1. "REC,Repeat error count" bitfld.quad 0x00 31. "VALID,Valid bit" "Not valid,Valid" newline hexmask.quad.byte 0x00 24.--30. 1. "RAMID,RAM Identifier" bitfld.quad 0x00 18.--22. "B/W,Bank/Way" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.tbyte 0x00 0.--17. 1. "INDEX,Indicates the index address of the first memory error" group.long c15:0x0101++0x00 line.long 0x00 "ACTLR,Auxiliary Control Register" group.long c15:0x0201++0x00 line.long 0x00 "CPACR,Coprocessor Access Control Register" bitfld.long 0x00 31. "ASEDIS,Disable Advanced SIMD Extension functionality" "No,Yes" bitfld.long 0x00 28. "TRCDIS,Disable CP14 access to trace registers" "No," newline bitfld.long 0x00 22.--23. "CP11,Coprocesor access control" "Denied,EL1 or higher,Reserved,Full" bitfld.long 0x00 20.--21. "CP10,Coprocessor access control" "Denied,EL1 or higher,Reserved,Full" group.long c15:0x0011++0x00 line.long 0x00 "SCR,Secure Configuration Register" bitfld.long 0x00 13. "TWE,Trap WFE Instructions" "Not trapped,Trapped" bitfld.long 0x00 12. "TWI,Trap WFI Instructions" "Not trapped,Trapped" newline bitfld.long 0x00 9. "SIF,Secure Instruction Fetch" "Permitted,Not permitted" bitfld.long 0x00 8. "HCE,Hypervisor Call enable" "Disabled,Enabled" newline bitfld.long 0x00 7. "SCD,Secure Monitor Call disable" "No,Yes" bitfld.long 0x00 5. "AW,Controls whether the Non-secure world can modify the A-bit in the CPSR" "Not allowed,Allowed" newline bitfld.long 0x00 4. "FW,Controls whether the Non-secure world can modify the F-bit in the CPSR" "Not allowed,Allowed" bitfld.long 0x00 3. "EA,External Abort exceptions handled in Abort mode or Monitor mode" "Abort,Monitor" newline bitfld.long 0x00 2. "FIQ,FIQ exceptions handled in Abort mode or Monitor mode" "FIQ,Monitor" bitfld.long 0x00 1. "IRQ,IRQ exceptions handled in Abort mode or Monitor mode" "IRQ,Monitor" newline bitfld.long 0x00 0. "NS,Secure mode " "Secure,Non-secure" group.long c15:0x0111++0x00 line.long 0x00 "SDER,Secure Debug Enable Register" bitfld.long 0x00 1. "SUNIDEN,Non-Invasive Secure User Debug Enable bit" "Denied,Permitted" bitfld.long 0x00 0. "SUIDEN,Invasive Secure User Debug Enable bit" "Denied,Permitted" group.long c15:0x0131++0x00 line.long 0x00 "SDCR,Secure Debug Control Register" bitfld.long 0x00 21. "EPMAD,External debugger access to Performance Monitors registers disabled" "No,Yes" bitfld.long 0x00 20. "EDAD,External debugger access to breakpoint and watchpoint registers disabled" "No,Yes" newline bitfld.long 0x00 17. "SPME,Secure performance monitors enable" "Disabled,Enabled" bitfld.long 0x00 14.--15. "SPD,AArch32 secure privileged debug" "Legacy,Reserved,Disabled,Enabled" group.long c15:0x0211++0x00 line.long 0x00 "NSACR,Non-Secure Access Control Register" bitfld.long 0x00 15. "NSASEDIS,Disable Non-secure Advanced SIMD Extension functionality" "No,Yes" bitfld.long 0x00 11. "CP11,Coprocessor 11 in the Non-secure World Access Permission" "Denied,Permitted" newline bitfld.long 0x00 10. "CP10,Coprocessor 10 in the Non-secure World Access Permission" "Denied,Permitted" group.long c15:0x000C++0x00 line.long 0x00 "VBAR,Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 "VBA,Vector Base Address" group.long c15:0x010C++0x00 line.long 0x00 "MVBAR,Monitor Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 "VBA,Vector Base Address" rgroup.long c15:0x001C++0x00 line.long 0x00 "ISR,Interrupt Status Register" bitfld.long 0x00 8. "A,External abort pending flag" "Not pending,Pending" bitfld.long 0x00 7. "I,Interrupt pending flag" "Not pending,Pending" newline bitfld.long 0x00 6. "F,Fast interrupt pending flag" "Not pending,Pending" group.long c15:0x020C++0x00 line.long 0x00 "RMR,Reset Management Register" bitfld.long 0x00 1. "RR,Reset Request" "Not requested,Requested" bitfld.long 0x00 0. "AA64,Determines which execution state the processor boots into after a warm reset" "AArch32,AArch64" rgroup.long c15:0x0015++0x00 line.long 0x00 "ADFSR,Auxiliary Data Fault Status Register" rgroup.long c15:0x0115++0x00 line.long 0x00 "AIFSR,Auxiliary Instruction Fault Status Register" if (((per.l(c15:0x202))&0x80000000)==0x80000000) group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 15. "UA,Unattributable fault" "Attributable,Unattributable" bitfld.long 0x00 14. "UC,Uncontainable fault" "Containable,Uncontainable" newline bitfld.long 0x00 13. "CM,Cache maintenance fault" "Not aborted,Aborted" bitfld.long 0x00 12. "EXT,External Abort Qualifier" "DECERR,SLVERR" newline bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write" bitfld.long 0x00 9. "LPAE,Large physical address extension" "Disabled,Enabled" newline bitfld.long 0x00 0.--5. "STATUS,Fault Status bits" "Address size/0th level,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Access flag/0th level,Access flag/1st level,Access flag/2nd level,Access flag/3rd level,Permission/0th level,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external,Reserved,Reserved,Reserved,Sync. external/on TTW/0th level,Sync. external/on TTW/1st level,Sync. external/on TTW/2nd level,Sync. external/on TTW/3rd level,Sync. parity,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/0th level,Sync. parity/on memory access/on TTW/1st level,Sync. parity/on memory access/on TTW/2nd level,Sync. parity/on memory access/on TTW/3rd level,Reserved,Alignment,Debug event,?..." else group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 15. "UA,Unattributable fault" "Attributable,Unattributable" bitfld.long 0x00 14. "UC,Uncontainable fault" "Containable,Uncontainable" newline bitfld.long 0x00 13. "CM,Cache maintenance fault" "Not aborted,Aborted" bitfld.long 0x00 12. "EXT,External Abort Qualifier" "DECERR,SLVERR" newline bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write" bitfld.long 0x00 9. "LPAE,Large physical address extension" "Disabled,Enabled" newline bitfld.long 0x00 4.--7. "DOMAIN,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15" bitfld.long 0x00 0.--3. 10. "FS[3:0],Fault Status bits" "Reserved,Alignment,Debug event,Access flag/1st level,Reserved,Translation/1st level,Access flag/2nd level,Translation/2nd level,Sync. external/non-translation,Domain/1st level,Reserved,Domain/2nd level,Sync. external/on TTW/1st level,Permission/1st level,Sync. external/on TTW/2nd level,Permission/2nd level,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. external,Reserved,Async. parity/on memory access,Sync. parity/on memory access,Reserved,Reserved,Sync. parity/on TTW/1st level,Reserved,Sync. parity/on TTW/2nd level,?..." endif if (((per.l(c15:0x202))&0x80000000)==0x80000000) group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 12. "EXT,External abort type" "DECERR,SLVERR" bitfld.long 0x00 9. "LPAE,Large physical address extension" "Short,Long" newline bitfld.long 0x00 0.--5. "STATUS,Fault Status bits" "Address size/0th level,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Access flag/0th level,Access flag/1st level,Access flag/2nd level,Access flag/3rd level,Permission/0th level,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external,Reserved,Reserved,Reserved,Sync. external/on TTW/0th level,Sync. external/on TTW/1st level,Sync. external/on TTW/2nd level,Sync. external/on TTW/3rd level,Sync. parity,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/0th level,Sync. parity/on memory access/on TTW/1st level,Sync. parity/on memory access/on TTW/2nd level,Sync. parity/on memory access/on TTW/3rd level,Reserved,Alignment,Debug event,?..." else group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 12. "EXT,External Abort Type" "DECERR,SLVERR" bitfld.long 0x00 9. "LPAE,Large physical address extension" "Short,Long" newline bitfld.long 0x00 0.--3. 10. "FS[3:0],Fault Status bits" "Reserved,Alignment,Debug event,Access flag/1st level,Reserved,Translation/1st level,Access flag/2nd level,Translation/2nd level,Sync. external/non-translation,Domain/1st level,Reserved,Domain/2nd level,Sync. external/on TTW/1st level,Permission/1st level,Sync. external/on TTW/2nd level,Permission/2nd level,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. external,Reserved,Async. parity/on memory access,Sync. parity/on memory access,Reserved,Reserved,Sync. parity/on TTW/1st level,Reserved,Sync. parity/on TTW/2nd level,?..." endif group.long c15:0x0006++0x00 line.long 0x00 "DFAR,Data Fault Address Register" group.long c15:0x0206++0x00 line.long 0x00 "IFAR,Instruction Fault Address Register" rgroup.long c15:0x103F++0x00 line.long 0x00 "CBAR,Configuration Base Address Register" hexmask.long.word 0x00 18.--31. 1. "PERIPHBASE[31:18],Periphbase[31:18]" hexmask.long.word 0x00 0.--11. 1. "PERIPHBASE[43:32],Periphbase[43:32]" group.long c15:0x020D++0x00 line.long 0x00 "TPIDRURW,PL0 Read/Write Software Thread ID Register" group.long c15:0x030D++0x00 line.long 0x00 "TPIDRURO,PL0 Read-Only Software Thread ID Register" group.long c15:0x040D++0x00 line.long 0x00 "TPIDRPRW,PL1 Software Thread ID Register" tree.end tree "Memory Management Unit" group.long c15:0x0001++0x00 line.long 0x00 "SCTLR,Control Register" bitfld.long 0x00 30. "TE,T32 exception enable" "A32,T32" bitfld.long 0x00 29. "AFE,Access Flag Enable" "Disabled,Enabled" newline bitfld.long 0x00 28. "TRE,TEX remap enable" "Disabled,Enabled" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 20. "UWXN,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced" newline bitfld.long 0x00 18. "NTWE,Not trap WFE" "No,Yes" bitfld.long 0x00 16. "NTWI,Not trap WFI" "No,Yes" newline bitfld.long 0x00 13. "V,Base Location of Exception Registers" "0x00000000,0xFFFF0000" bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" newline bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.long 0x00 7. "ITD,IT Disable" "No,Yes" newline bitfld.long 0x00 6. "THEE,ThumbEE Enable" "Not implemented," bitfld.long 0x00 5. "CP15BEN,CP15 barrier enable" "Disabled,Enabled" newline bitfld.long 0x00 2. "C,Cache enable" "Disabled,Enabled" bitfld.long 0x00 1. "A,Alignment check enable" "Disabled,Enabled" newline bitfld.long 0x00 0. "M,MMU enable" "Disabled,Enabled" group.long c15:0x4001++0x00 line.long 0x00 "HSCTLR,Hypervisor System Control Register" bitfld.long 0x00 30. "TE,Thumb exception enable" "ARM,Thumb" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 19. "WXN,Write permission implies XN" "Not forced,Forced" bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" newline bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.long 0x00 7. "ITD,IT Disable" "No,Yes" newline bitfld.long 0x00 5. "CP15BEN,AArch32 CP15 barrier enable" "Disabled,Enabled" bitfld.long 0x00 2. "C,Cache enable" "Disabled,Enabled" newline bitfld.long 0x00 1. "A,Alignment check enable" "Disabled,Enabled" bitfld.long 0x00 0. "M,MMU enable" "Disabled,Enabled" if ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0002))&0x02)==0x02)) group.long c15:0x0002++0x00 line.long 0x00 "TTBR0,Translation Table Base Registers" hexmask.long 0x00 6.--31. 0x40 "TTB0,Translation table base 0 address" bitfld.long 0x00 5. "NOS,Not outer shareable bit" "Outer,Inner" newline bitfld.long 0x00 3.--4. "RGN,Region" "Normal,Outer Write-Back Write-Allocate Cacheable,Outer Write-Through Cacheable,Outer Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 1. "S,Shareable" "Non-shareable,Shareable" newline bitfld.long 0x00 0. "C,Cacheable" "Non-cacheable,Cacheable" elif ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0002))&0x02)==0x00)) group.long c15:0x0002++0x00 line.long 0x00 "TTBR0,Translation Table Base Registers" hexmask.long 0x00 6.--31. 0x40 "TTB0,Translation table base 0 address" bitfld.long 0x00 3.--4. "RGN,Region" "Normal,Outer Write-Back Write-Allocate Cacheable,Outer Write-Through Cacheable,Outer Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 1. "S,Shareable" "Non-shareable,Shareable" bitfld.long 0x00 0. "C,Cacheable" "Non-cacheable,Cacheable" else group.quad c15:0x10020++0x01 line.quad 0x00 "TTBR0,Translation Table Base Registers" hexmask.quad.byte 0x00 48.--55. 1. "ASID,ASID for the translation table base 0 address" hexmask.quad 0x00 0.--47. 1. "BADDR,Translation table base 0 address" endif if ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0102))&0x02)==0x02)) group.long c15:0x0102++0x00 line.long 0x00 "TTBR1,Translation Table Base 1 Registers" hexmask.long 0x00 6.--31. 0x40 "TTB1,Translation table base 1 address" bitfld.long 0x00 5. "NOS,Not outer shareable bit" "Outer,Inner" newline bitfld.long 0x00 3.--4. "RGN,Region" "Normal,Outer Write-Back Write-Allocate Cacheable,Outer Write-Through Cacheable,Outer Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 1. "S,Shareable" "Non-shareable,Shareable" newline bitfld.long 0x00 0. "C,Cacheable" "Non-cacheable,Cacheable" elif ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0102))&0x02)==0x00)) group.long c15:0x0102++0x00 line.long 0x00 "TTBR1,Translation Table Base Registers" hexmask.long 0x00 6.--31. 0x40 "TTB1,Translation table base 1 address" bitfld.long 0x00 3.--4. "RGN,Region" "Normal,Outer Write-Back Write-Allocate Cacheable,Outer Write-Through Cacheable,Outer Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 1. "S,Shareable" "Non-shareable,Shareable" bitfld.long 0x00 0. "C,Cacheable" "Non-cacheable,Cacheable" else group.quad c15:0x11020++0x01 line.quad 0x00 "TTBR1,Translation Table Base Registers" hexmask.quad.byte 0x00 48.--55. 1. "ASID,ASID for the translation table base 0 address" hexmask.quad 0x00 0.--47. 1. "BADDR,Translation table base 0 address" endif if (((per.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x0202++0x00 line.long 0x00 "TTBCR,Translation Table Base Control Register" bitfld.long 0x00 31. "EAE,Extended Address Enable" "32-bit,40-bit" bitfld.long 0x00 28.--29. "SH1,Shareability attributes for the memory associated with the translation table walks using TTBR1" "Non-Shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.long 0x00 26.--27. "ORGN1,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR1" "0,1,2,3" bitfld.long 0x00 24.--25. "IRGN1,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR1" "0,1,2,3" newline bitfld.long 0x00 23. "EPD1,Translation Walk Disable for TTBR1" "No,Yes" bitfld.long 0x00 22. "A1,Select ASID from TTBR0/TTBR1 ASID field" "TTBR0,TTBR1" newline bitfld.long 0x00 16.--18. "T1SZ,The Size offset of the TTBR1 addressed region" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--13. "SH0,Shareability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-Shareable,Reserved,Outer Shareable,Inner Shareable" newline bitfld.long 0x00 10.--11. "ORGN0,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "0,1,2,3" bitfld.long 0x00 8.--9. "IRGN0,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "0,1,2,3" newline bitfld.long 0x00 7. "EPD0,Translation Walk Disable for TTBR0 region" "No,Yes" bitfld.long 0x00 0.--2. "T0SZ,The Size offset of the TTBR0/HTTBR addressed region" "0,1,2,3,4,5,6,7" else group.long c15:0x0202++0x00 line.long 0x00 "TTBCR,Translation Table Base Control Register" bitfld.long 0x00 31. "EAE,Extended Address Enable" "32-bit,40-bit" bitfld.long 0x00 5. "PD1,Translation table walk disable for translations using TTBR1" "No,Yes" newline bitfld.long 0x00 4. "PD0,Translation table walk disable for translations using TTBR0" "No,Yes" bitfld.long 0x00 0.--2. "N,Width of the base address held in TTBR0" "0,1,2,3,4,5,6,7" endif if (((per.l(c15:0x4202))&0x07)==0x00) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 5.--47. 0x20 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x01) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 4.--47. 0x10 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x02) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 12.--47. 0x10 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x03) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 11.--47. 0x8 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x04) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 10.--47. 0x4 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x05) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 9.--47. 0x2 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x06) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 8.--47. 0x1 "BADDR,Translation table base address" else group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 7.--47. 0x80 "BADDR,Translation table base address" endif group.long c15:0x4202++0x00 line.long 0x00 "HTCR,Hypervisor Translation Control Register" bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Outer Non-cacheable,Outer Write-Back Write-Allocate Cacheable,Outer Write-Through Cacheable,Outer Write-Back no Write-Allocate Cacheable" newline bitfld.long 0x00 8.--9. "IRGN0, ,Inner cacheability attribute, Normal memory" "Inner Non-cacheable,Inner Write-Back Write-Allocate Cacheable,Inner Write-Through Cacheable,Inner Write-Back no Write-Allocate Cacheable" hexmask.long.byte 0x00 0.--2. 0x1 "T0SZ, ,Size offset of the memory region addressed by HTTBR" group.long c15:0x0003++0x00 line.long 0x00 "DACR,Domain Access Control Register" bitfld.long 0x00 30.--31. "D15,Domain Access 15" "Denied,Client,Reserved,Manager" bitfld.long 0x00 28.--29. "D14,Domain Access 14" "Denied,Client,Reserved,Manager" newline bitfld.long 0x00 26.--27. "D13,Domain Access 13" "Denied,Client,Reserved,Manager" bitfld.long 0x00 24.--25. "D12,Domain Access 12" "Denied,Client,Reserved,Manager" newline bitfld.long 0x00 22.--23. "D11,Domain Access 11" "Denied,Client,Reserved,Manager" bitfld.long 0x00 20.--21. "D10,Domain Access 10" "Denied,Client,Reserved,Manager" newline bitfld.long 0x00 18.--19. "D9,Domain Access 9" "Denied,Client,Reserved,Manager" bitfld.long 0x00 16.--17. "D8,Domain Access 8" "Denied,Client,Reserved,Manager" newline bitfld.long 0x00 14.--15. "D7,Domain Access 7" "Denied,Client,Reserved,Manager" bitfld.long 0x00 12.--13. "D6,Domain Access 6" "Denied,Client,Reserved,Manager" newline bitfld.long 0x00 10.--11. "D5,Domain Access 5" "Denied,Client,Reserved,Manager" bitfld.long 0x00 8.--9. "D4,Domain Access 4" "Denied,Client,Reserved,Manager" newline bitfld.long 0x00 6.--7. "D3,Domain Access 3" "Denied,Client,Reserved,Manager" bitfld.long 0x00 4.--5. "D2,Domain Access 2" "Denied,Client,Reserved,Manager" newline bitfld.long 0x00 2.--3. "D1,Domain Access 1" "Denied,Client,Reserved,Manager" bitfld.long 0x00 0.--1. "D0,Domain Access 0" "Denied,Client,Reserved,Manager" if ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x0)) group.long c15:0x0047++0x00 line.long 0x00 "PAR,Physical Address Register" hexmask.long.tbyte 0x00 12.--31. 0x10 "PA,Physical Address" newline bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,Long" bitfld.long 0x00 10. "NOS,Not Outer Shareable attribute for the region" "No,Yes" newline bitfld.long 0x00 9. "NS,Non-secure" "No,Yes" bitfld.long 0x00 7. "SH,Shareable attribute for the region" "No,Yes" newline bitfld.long 0x00 4.--6. "INNER,Inner memory attributes for the region" "Non-cacheable,Strongly-ordered,Reserved,Device,Reserved,Write-Back/Write-Allocate,Write-Through,Write-Back/No Write-Allocate" newline bitfld.long 0x00 2.--3. "OUTER,Outer memory attributes for the region" "Non-cacheable,Write-Back/Write-Allocate,Write-Through/No Write-Allocate,Write-Back/No Write-Allocate" newline bitfld.long 0x00 1. "SS,Supersection" "Disabled,Enabled" newline bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x1)) group.long c15:0x0047++0x00 line.long 0x00 "PAR,Physical Address Register" newline bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,Long" newline newline newline bitfld.long 0x00 6. "FS[5],Fault status bit - External abort type" "DECERR,SLVERR" newline bitfld.long 0x00 1.--5. "FS[0:4],Fault status bit - Fault source" "Reserved,Alignment,Debug event,Access flag/1st level,Reserved,Translation/1st level,Access flag/2nd level,Translation/2nd level,Sync. external abort/non-translation,Domain/1st level,Reserved,Domain/2nd level,Sync. external abort on translation table walk/1st level,Permission/1st level,Sync. external abort on translation table walk/2nd level,Permission/2nd level,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. external abort,Reserved,Async. parity error on memory access,Sync. parity error on memory access,Reserved,Reserved,Sync. parity error on translation table walk/1st level,Reserved,Sync. parity error on translation table walk/2nd level,?..." newline bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" elif ((((per.l(c15:0x0202))&0x80000000)==0x80000000)&&(((per.l(c15:0x10070))&0x1)==0x0)) group.quad c15:0x10070++0x01 line.quad 0x00 "PAR,Physical Address Register" hexmask.quad.byte 0x00 56.--63. 1. "ATTR,Memory attributes for the returned PA" hexmask.quad.long 0x00 12.--39. 0x10 "PA,Physical Address" newline bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" "Short,Long" newline bitfld.quad 0x00 9. "NS,Non-secure" "No,Yes" bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" newline newline newline newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" else group.quad c15:0x10070++0x01 line.quad 0x00 "PAR,Physical Address Register" newline bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" "Short,Long" newline bitfld.quad 0x00 9. "FSTAGE,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2" bitfld.quad 0x00 8. "S2WLK,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes" newline newline bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address/0th level,Address/1st level,Address/2nd level,Address/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Access flag/0th level,Access flag/1st level,Access flag/2nd level,Access flag/3rd level,Permission/0th level,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. ext. abort,Async. external abort,Reserved,Reserved,Sync. ext. abort/0th level,Sync. ext. abort/1st level,Sync. ext. abort/2nd level,Sync. ext. abort/3rd level,Sync. parity error on memory access,Async. parity error on memory access,Reserved,Reserved,Reserved,Sync. parity error on translation table walk/0th level,Sync. parity error on translation table walk/1st level,Sync. parity error on translation table walk/2nd level,Sync. parity error on translation table walk/3rd level,Reserved,Alignment,Debug event,?..." newline newline bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted" endif tree.open "Memory Attribute Indirection Registers" if ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x002A))&0xF00000)==0x000000)&&(((per.l(c15:0x002A))&0xF000)==0x0000)&&(((per.l(c15:0x002A))&0xF0)==0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x002A))&0xF00000)==0x000000)&&(((per.l(c15:0x002A))&0xF000)==0x0000)&&(((per.l(c15:0x002A))&0xF0)==0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x002A))&0xF00000)!=0x000000)&&(((per.l(c15:0x002A))&0xF000)==0x0000)&&(((per.l(c15:0x002A))&0xF0)==0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x002A))&0xF00000)==0x000000)&&(((per.l(c15:0x002A))&0xF000)!=0x0000)&&(((per.l(c15:0x002A))&0xF0)==0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x002A))&0xF00000)==0x000000)&&(((per.l(c15:0x002A))&0xF000)==0x0000)&&(((per.l(c15:0x002A))&0xF0)!=0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x002A))&0xF00000)!=0x000000)&&(((per.l(c15:0x002A))&0xF000)==0x0000)&&(((per.l(c15:0x002A))&0xF0)==0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x002A))&0xF00000)==0x000000)&&(((per.l(c15:0x002A))&0xF000)!=0x0000)&&(((per.l(c15:0x002A))&0xF0)==0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x002A))&0xF00000)==0x000000)&&(((per.l(c15:0x002A))&0xF000)==0x0000)&&(((per.l(c15:0x002A))&0xF0)!=0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x002A))&0xF00000)!=0x000000)&&(((per.l(c15:0x002A))&0xF000)!=0x0000)&&(((per.l(c15:0x002A))&0xF0)==0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x002A))&0xF00000)!=0x000000)&&(((per.l(c15:0x002A))&0xF000)==0x0000)&&(((per.l(c15:0x002A))&0xF0)!=0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x002A))&0xF00000)==0x000000)&&(((per.l(c15:0x002A))&0xF000)!=0x0000)&&(((per.l(c15:0x002A))&0xF0)!=0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x002A))&0xF00000)!=0x000000)&&(((per.l(c15:0x002A))&0xF000)!=0x0000)&&(((per.l(c15:0x002A))&0xF0)==0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x002A))&0xF00000)!=0x000000)&&(((per.l(c15:0x002A))&0xF000)==0x0000)&&(((per.l(c15:0x002A))&0xF0)!=0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x002A))&0xF00000)==0x000000)&&(((per.l(c15:0x002A))&0xF000)!=0x0000)&&(((per.l(c15:0x002A))&0xF0)!=0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x002A))&0xF00000)!=0x000000)&&(((per.l(c15:0x002A))&0xF000)!=0x0000)&&(((per.l(c15:0x002A))&0xF0)!=0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x002A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x002A))&0xF00000)!=0x000000)&&(((per.l(c15:0x002A))&0xF000)!=0x0000)&&(((per.l(c15:0x002A))&0xF0)!=0x00)) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" else hgroup.long c15:0x002A++0x00 hide.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" endif if ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x012A))&0xF00000)==0x000000)&&(((per.l(c15:0x012A))&0xF000)==0x0000)&&(((per.l(c15:0x012A))&0xF0)==0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x012A))&0xF00000)==0x000000)&&(((per.l(c15:0x012A))&0xF000)==0x0000)&&(((per.l(c15:0x012A))&0xF0)==0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x012A))&0xF00000)!=0x000000)&&(((per.l(c15:0x012A))&0xF000)==0x0000)&&(((per.l(c15:0x012A))&0xF0)==0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x012A))&0xF00000)==0x000000)&&(((per.l(c15:0x012A))&0xF000)!=0x0000)&&(((per.l(c15:0x012A))&0xF0)==0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x012A))&0xF00000)==0x000000)&&(((per.l(c15:0x012A))&0xF000)==0x0000)&&(((per.l(c15:0x012A))&0xF0)!=0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x012A))&0xF00000)!=0x000000)&&(((per.l(c15:0x012A))&0xF000)==0x0000)&&(((per.l(c15:0x012A))&0xF0)==0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x012A))&0xF00000)==0x000000)&&(((per.l(c15:0x012A))&0xF000)!=0x0000)&&(((per.l(c15:0x012A))&0xF0)==0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x012A))&0xF00000)==0x000000)&&(((per.l(c15:0x012A))&0xF000)==0x0000)&&(((per.l(c15:0x012A))&0xF0)!=0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x012A))&0xF00000)!=0x000000)&&(((per.l(c15:0x012A))&0xF000)!=0x0000)&&(((per.l(c15:0x012A))&0xF0)==0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x012A))&0xF00000)!=0x000000)&&(((per.l(c15:0x012A))&0xF000)==0x0000)&&(((per.l(c15:0x012A))&0xF0)!=0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x012A))&0xF00000)==0x000000)&&(((per.l(c15:0x012A))&0xF000)!=0x0000)&&(((per.l(c15:0x012A))&0xF0)!=0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x012A))&0xF00000)!=0x000000)&&(((per.l(c15:0x012A))&0xF000)!=0x0000)&&(((per.l(c15:0x012A))&0xF0)==0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x012A))&0xF00000)!=0x000000)&&(((per.l(c15:0x012A))&0xF000)==0x0000)&&(((per.l(c15:0x012A))&0xF0)!=0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x012A))&0xF00000)==0x000000)&&(((per.l(c15:0x012A))&0xF000)!=0x0000)&&(((per.l(c15:0x012A))&0xF0)!=0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x012A))&0xF00000)!=0x000000)&&(((per.l(c15:0x012A))&0xF000)!=0x0000)&&(((per.l(c15:0x012A))&0xF0)!=0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x012A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x012A))&0xF00000)!=0x000000)&&(((per.l(c15:0x012A))&0xF000)!=0x0000)&&(((per.l(c15:0x012A))&0xF0)!=0x00)) group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" else hgroup.long c15:0x012A++0x00 hide.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" endif if ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x402A))&0xF00000)==0x000000)&&(((per.l(c15:0x402A))&0xF000)==0x0000)&&(((per.l(c15:0x402A))&0xF0)==0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x402A))&0xF00000)==0x000000)&&(((per.l(c15:0x402A))&0xF000)==0x0000)&&(((per.l(c15:0x402A))&0xF0)==0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x402A))&0xF00000)!=0x000000)&&(((per.l(c15:0x402A))&0xF000)==0x0000)&&(((per.l(c15:0x402A))&0xF0)==0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x402A))&0xF00000)==0x000000)&&(((per.l(c15:0x402A))&0xF000)!=0x0000)&&(((per.l(c15:0x402A))&0xF0)==0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x402A))&0xF00000)==0x000000)&&(((per.l(c15:0x402A))&0xF000)==0x0000)&&(((per.l(c15:0x402A))&0xF0)!=0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x402A))&0xF00000)!=0x000000)&&(((per.l(c15:0x402A))&0xF000)==0x0000)&&(((per.l(c15:0x402A))&0xF0)==0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x402A))&0xF00000)==0x000000)&&(((per.l(c15:0x402A))&0xF000)!=0x0000)&&(((per.l(c15:0x402A))&0xF0)==0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x402A))&0xF00000)==0x000000)&&(((per.l(c15:0x402A))&0xF000)==0x0000)&&(((per.l(c15:0x402A))&0xF0)!=0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x402A))&0xF00000)!=0x000000)&&(((per.l(c15:0x402A))&0xF000)!=0x0000)&&(((per.l(c15:0x402A))&0xF0)==0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x402A))&0xF00000)!=0x000000)&&(((per.l(c15:0x402A))&0xF000)==0x0000)&&(((per.l(c15:0x402A))&0xF0)!=0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x402A))&0xF00000)==0x000000)&&(((per.l(c15:0x402A))&0xF000)!=0x0000)&&(((per.l(c15:0x402A))&0xF0)!=0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x402A))&0xF00000)!=0x000000)&&(((per.l(c15:0x402A))&0xF000)!=0x0000)&&(((per.l(c15:0x402A))&0xF0)==0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x402A))&0xF00000)!=0x000000)&&(((per.l(c15:0x402A))&0xF000)==0x0000)&&(((per.l(c15:0x402A))&0xF0)!=0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x402A))&0xF00000)==0x000000)&&(((per.l(c15:0x402A))&0xF000)!=0x0000)&&(((per.l(c15:0x402A))&0xF0)!=0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x402A))&0xF00000)!=0x000000)&&(((per.l(c15:0x402A))&0xF000)!=0x0000)&&(((per.l(c15:0x402A))&0xF0)!=0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x402A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x402A))&0xF00000)!=0x000000)&&(((per.l(c15:0x402A))&0xF000)!=0x0000)&&(((per.l(c15:0x402A))&0xF0)!=0x00)) group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" else hgroup.long c15:0x402A++0x00 hide.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0" endif if ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x412A))&0xF00000)==0x000000)&&(((per.l(c15:0x412A))&0xF000)==0x0000)&&(((per.l(c15:0x412A))&0xF0)==0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x412A))&0xF00000)==0x000000)&&(((per.l(c15:0x412A))&0xF000)==0x0000)&&(((per.l(c15:0x412A))&0xF0)==0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x412A))&0xF00000)!=0x000000)&&(((per.l(c15:0x412A))&0xF000)==0x0000)&&(((per.l(c15:0x412A))&0xF0)==0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x412A))&0xF00000)==0x000000)&&(((per.l(c15:0x412A))&0xF000)!=0x0000)&&(((per.l(c15:0x412A))&0xF0)==0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x412A))&0xF00000)==0x000000)&&(((per.l(c15:0x412A))&0xF000)==0x0000)&&(((per.l(c15:0x412A))&0xF0)!=0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x412A))&0xF00000)!=0x000000)&&(((per.l(c15:0x412A))&0xF000)==0x0000)&&(((per.l(c15:0x412A))&0xF0)==0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x412A))&0xF00000)==0x000000)&&(((per.l(c15:0x412A))&0xF000)!=0x0000)&&(((per.l(c15:0x412A))&0xF0)==0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x412A))&0xF00000)==0x000000)&&(((per.l(c15:0x412A))&0xF000)==0x0000)&&(((per.l(c15:0x412A))&0xF0)!=0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x412A))&0xF00000)!=0x000000)&&(((per.l(c15:0x412A))&0xF000)!=0x0000)&&(((per.l(c15:0x412A))&0xF0)==0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x412A))&0xF00000)!=0x000000)&&(((per.l(c15:0x412A))&0xF000)==0x0000)&&(((per.l(c15:0x412A))&0xF0)!=0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x412A))&0xF00000)==0x000000)&&(((per.l(c15:0x412A))&0xF000)!=0x0000)&&(((per.l(c15:0x412A))&0xF0)!=0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x412A))&0xF00000)!=0x000000)&&(((per.l(c15:0x412A))&0xF000)!=0x0000)&&(((per.l(c15:0x412A))&0xF0)==0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x412A))&0xF00000)!=0x000000)&&(((per.l(c15:0x412A))&0xF000)==0x0000)&&(((per.l(c15:0x412A))&0xF0)!=0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x412A))&0xF00000)==0x000000)&&(((per.l(c15:0x412A))&0xF000)!=0x0000)&&(((per.l(c15:0x412A))&0xF0)!=0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)==0x00000000)&&(((per.l(c15:0x412A))&0xF00000)!=0x000000)&&(((per.l(c15:0x412A))&0xF000)!=0x0000)&&(((per.l(c15:0x412A))&0xF0)!=0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "nGnRnE,,,,nGnRE,,,,nGRE,,,,GRE,?..." newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" elif ((((per.l(c15:0x0202))&0x80000000)==0x0x80000000)&&(((per.l(c15:0x412A))&0xF0000000)!=0x00000000)&&(((per.l(c15:0x412A))&0xF00000)!=0x000000)&&(((per.l(c15:0x412A))&0xF000)!=0x0000)&&(((per.l(c15:0x412A))&0xF0)!=0x00)) group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" bitfld.long 0x00 28.--31. "ATTR3[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 24.--27. "ATTR3[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 20.--23. "ATTR2[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 16.--19. "ATTR2[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 12.--15. "ATTR1[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 8.--11. "ATTR1[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" newline bitfld.long 0x00 4.--7. "ATTR0[7:4],The memory attribute encoding for an AttrIndx[2:0] bits [7:4]" "Device,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Write-through transient,Normal/Outer Non-Cacheable,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-back transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-through non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient,Normal/Outer Write-back non-transient" bitfld.long 0x00 0.--3. "ATTR0[3:0],The memory attribute encoding for an AttrIndx[2:0] bits [3:0]" "Device,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Write-through transient,Normal/Inner Non-Cacheable,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-back transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-through non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient,Normal/Inner Write-back non-transient" else hgroup.long c15:0x412A++0x00 hide.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1" endif if (((per.l(c15:0x202))&0x80000000)==0x00000000) group.long c15:0x002A++0x00 line.long 0x00 "PRRR,Primary Region Remap Register" bitfld.long 0x00 31. "NOS7,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 30. "NOS6,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 29. "NOS5,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 28. "NOS4,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 27. "NOS3,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 26. "NOS2,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 25. "NOS1,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 24. "NOS0,Outer Shareable property mapping for memory attributes" "Outer,Inner" newline bitfld.long 0x00 19. "NS1,Mapping of S = 1 attribute for Normal memory" "Non-shareable,Shareable" bitfld.long 0x00 18. "NS0,Mapping of S = 0 attribute for Normal memory" "Non-shareable,Shareable" newline bitfld.long 0x00 17. "DS1,Mapping of S = 1 attribute for Device memory" "Non-shareable,Shareable" bitfld.long 0x00 16. "DS0,Mapping of S = 0 attribute for Device memory" "Non-shareable,Shareable" newline bitfld.long 0x00 14.--15. "TR7,{TEX[0] C B} = b111 Remap" "Device-nGnRnE,Device-nGnRE,Normal,?..." bitfld.long 0x00 12.--13. "TR6,{TEX[0] C B} = b110 Remap" "Device-nGnRnE,Device-nGnRE,Normal,?..." newline bitfld.long 0x00 10.--11. "TR5,{TEX[0] C B} = b101 Remap" "Device-nGnRnE,Device-nGnRE,Normal,?..." bitfld.long 0x00 8.--9. "TR4,{TEX[0] C B} = b100 Remap" "Device-nGnRnE,Device-nGnRE,Normal,?..." newline bitfld.long 0x00 6.--7. "TR3,{TEX[0] C B} = b011 Remap" "Device-nGnRnE,Device-nGnRE,Normal,?..." bitfld.long 0x00 4.--5. "TR2,{TEX[0] C B} = b010 Remap" "Device-nGnRnE,Device-nGnRE,Normal,?..." newline bitfld.long 0x00 2.--3. "TR1,{TEX[0] C B} = b001 Remap" "Device-nGnRnE,Device-nGnRE,Normal,?..." bitfld.long 0x00 0.--1. "TR0,{TEX[0] C B} = b000 Remap" "Device-nGnRnE,Device-nGnRE,Normal,?..." group.long c15:0x012A++0x00 line.long 0x00 "NMRR,Normal Memory Remap Register" bitfld.long 0x00 30.--31. "OR7,Outer Attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" bitfld.long 0x00 28.--29. "OR6,Outer Attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" newline bitfld.long 0x00 26.--27. "OR5,Outer Attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" bitfld.long 0x00 24.--25. "OR4,Outer Attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" newline bitfld.long 0x00 22.--23. "OR3,Outer Attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" bitfld.long 0x00 20.--21. "OR2,Outer Attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" newline bitfld.long 0x00 18.--19. "OR1,Outer Attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" bitfld.long 0x00 16.--17. "OR0,Outer Attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" newline bitfld.long 0x00 14.--15. "IR7,Inner attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" bitfld.long 0x00 12.--13. "IR6,Inner attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" newline bitfld.long 0x00 10.--11. "IR5,Inner attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" bitfld.long 0x00 8.--9. "IR4,Inner attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" newline bitfld.long 0x00 6.--7. "IR3,Inner attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" bitfld.long 0x00 4.--5. "IR2,Inner attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" newline bitfld.long 0x00 2.--3. "IR1,Inner attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" bitfld.long 0x00 0.--1. "IR0,Inner attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-back allocate,Write-through,Write-back no allocate" newline group.long c15:0x10d++0x00 line.long 0x00 "CONTEXTIDR,Context ID Register" hexmask.long.tbyte 0x00 8.--31. 1. "PROCID,Process identifier" hexmask.long.byte 0x00 0.--7. 1. "ASID,Address space identifier" else hgroup.long c15:0x002A++0x00 hide.long 0x00 "PRRR,Primary Region Remap Register" hgroup.long c15:0x012A++0x00 hide.long 0x00 "NMRR,Normal Memory Remap Register" group.long c15:0x10d++0x00 line.long 0x00 "CONTEXTIDR,Context ID Register" endif tree.end tree.end tree "Virtualization Extensions" group.long c15:0x4000++0x00 line.long 0x00 "VPIDR,Virtualization Processor ID Register" group.long c15:0x4500++0x00 line.long 0x00 "VMPIDR,Virtualization Multiprocessor ID Register" group.long c15:0x420D++0x00 line.long 0x00 "HTPIDR,Hypervisor Software Thread ID Register" group.long c15:0x4001++0x00 line.long 0x00 "HSCTLR,Hypervisor System Control Register" bitfld.long 0x00 30. "TE,Thumb exception enable" "ARM,Thumb" bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big" newline bitfld.long 0x00 19. "WXN,Write permission implies XN" "Not forced,Forced" bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled" newline bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes" bitfld.long 0x00 7. "ITD,IT Disable" "No,Yes" newline bitfld.long 0x00 5. "CP15BEN,AArch32 CP15 barrier enable" "Disabled,Enabled" bitfld.long 0x00 2. "C,Cache enable" "Disabled,Enabled" newline bitfld.long 0x00 1. "A,Alignment check enable" "Disabled,Enabled" bitfld.long 0x00 0. "M,MMU enable" "Disabled,Enabled" group.long c15:0x4101++0x00 line.long 0x00 "HACTLR,Hypervisor Auxiliary Control Register" bitfld.long 0x00 6. "L2ACTLRAC,L2ACTLR access control" "Disabled,Enabled" bitfld.long 0x00 5. "L2ECTLRAC,L2ECTLR access control" "Disabled,Enabled" newline bitfld.long 0x00 4. "L2CTLRAC,L2CTLR access control" "Disabled,Enabled" bitfld.long 0x00 1. "CPUECTLRAC,CPUECTLR access control" "Disabled,Enabled" newline bitfld.long 0x00 0. "CPUACTLRAC,CPUACTLR access control" "Disabled,Enabled" group.long c15:0x4011++0x00 line.long 0x00 "HCR,Hypervisor Configuration Register" bitfld.long 0x00 30. "TRVM,Trap Read of Virtual Memory controls" "Disabled,Enabled" bitfld.long 0x00 27. "TGE,Trap General Exceptions" "Disabled,Enabled" newline bitfld.long 0x00 26. "TVM,Trap Virtual Memory Controls" "Disabled,Enabled" bitfld.long 0x00 25. "TTLB,Trap TLB maintenance instructions" "Disabled,Enabled" newline bitfld.long 0x00 24. "TPU,Trap Cache maintenance instructions to point of unification" "Disabled,Enabled" bitfld.long 0x00 23. "TPC,Trap Data/Unified cache maintenance instructions to point of coherency" "Disabled,Enabled" newline bitfld.long 0x00 22. "TSW,Trap Data/Unified cache Set/Way instructions" "Disabled,Enabled" bitfld.long 0x00 21. "TAC,Trap Auxiliary Control Register Accesses" "Disabled,Enabled" newline bitfld.long 0x00 20. "TIDCP,Trap Lockdown" "Disabled,Enabled" bitfld.long 0x00 19. "TSC,Trap SMC" "Disabled,Enabled" newline bitfld.long 0x00 18. "TID3,Trap ID Group 3" "Disabled,Enabled" bitfld.long 0x00 17. "TID2,Trap ID Group 2" "Disabled,Enabled" newline bitfld.long 0x00 16. "TID1,Trap ID Group 1" "Disabled,Enabled" bitfld.long 0x00 15. "TID0,Trap ID Group 0" "Disabled,Enabled" newline bitfld.long 0x00 14. "TWE,Trap WFE" "Disabled,Enabled" bitfld.long 0x00 13. "TWI,Trap WFI" "Disabled,Enabled" newline bitfld.long 0x00 12. "DC,Default Cacheable" "Disabled,Enabled" bitfld.long 0x00 10.--11. "BSU,Barrier Shareability Upgrade" "No effect,Inner,Outer,Full" newline bitfld.long 0x00 9. "FB,Force Broadcast of TLB maintenance BPIALL and ICIALLU instructions" "Disabled,Enabled" bitfld.long 0x00 8. "VA,Virtual External Asynchronous Abort" "Not aborted,Aborted" newline bitfld.long 0x00 7. "VI,Virtual IRQ interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. "VF,Virtual FIQ interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 5. "AMO,A-bit Mask Override" "Not routed,Routed" bitfld.long 0x00 4. "IMO,I-bit Mask Override" "Not routed,Routed" newline bitfld.long 0x00 3. "FMO,F-bit Mask Override" "Not routed,Routed" bitfld.long 0x00 2. "PTW,Protected Table Walk" "Disabled,Enabled" newline bitfld.long 0x00 1. "SWIO,Set/Way Invalidation Override" "No override,Override" bitfld.long 0x00 0. "VM,Second Stage of Translation Enable" "Disabled,Enabled" group.long c15:0x4411++0x00 line.long 0x00 "HCR2,Hypervisor Configuration Register 2" bitfld.long 0x00 1. "ID,Stage 2 Instruction cache disable" "No,Yes" bitfld.long 0x00 0. "CD,Stage 2 Data cache disable" "No,Yes" group.long c15:0x4111++0x00 line.long 0x00 "HDCR,Hypervisor Debug Control Register" bitfld.long 0x00 11. "TDRA,Trap Debug ROM Access" "No effect,Valid" bitfld.long 0x00 10. "TDOSA,Trap Debug OS-related register Access" "No effect,Valid" newline bitfld.long 0x00 9. "TDA,Trap Debug Access" "No effect,Valid" bitfld.long 0x00 8. "TDE,Trap Debug Exceptions" "No effect,Valid" newline bitfld.long 0x00 7. "HPME,Hypervisor Performance Monitors Enable" "Disabled,Enabled" bitfld.long 0x00 6. "TPM,Trap Performance Monitors accesses" "No effect,Valid" newline bitfld.long 0x00 5. "TPMCR,Trap Performance Monitor Control Register accesses" "No effect,Valid" bitfld.long 0x00 0.--4. "HPMN,Defines the number of Performance Monitors counters" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long c15:0x4211++0x00 line.long 0x00 "HCPTR,Hypervisor Architectural Feature Trap Register" bitfld.long 0x00 31. "TCPAC,Trap Coprocessor Access Control" "Not trapped,Trapped" bitfld.long 0x00 20. "TTA,Trap Trace Access" "Not supported," newline bitfld.long 0x00 15. "TASE,Trap Advanced SIMD extensions" "Not trapped,Trapped" bitfld.long 0x00 11. "TCP11,Trap coprocessor 11" "Not trapped,Trapped" newline bitfld.long 0x00 10. "TCP10,Trap coprocessor 10" "Not trapped,Trapped" group.long c15:0x4311++0x00 line.long 0x00 "HSTR,Hypervisor System Trap Register" bitfld.long 0x00 15. "T15,Trap to Hypervisor mode Non-secure priv 15" "No effect,Trap" bitfld.long 0x00 13. "T13,Trap to Hypervisor mode Non-secure priv 13" "No effect,Trap" newline bitfld.long 0x00 12. "T12,Trap to Hypervisor mode Non-secure priv 12" "No effect,Trap" bitfld.long 0x00 11. "T11,Trap to Hypervisor mode Non-secure priv 11" "No effect,Trap" newline bitfld.long 0x00 10. "T10,Trap to Hypervisor mode Non-secure priv 10" "No effect,Trap" bitfld.long 0x00 9. "T9,Trap to Hypervisor mode Non-secure priv 9" "No effect,Trap" newline bitfld.long 0x00 8. "T8,Trap to Hypervisor mode Non-secure priv 8" "No effect,Trap" bitfld.long 0x00 7. "T7,Trap to Hypervisor mode Non-secure priv 7" "No effect,Trap" newline bitfld.long 0x00 6. "T6,Trap to Hypervisor mode Non-secure priv 6" "No effect,Trap" bitfld.long 0x00 5. "T5,Trap to Hypervisor mode Non-secure priv 5" "No effect,Trap" newline bitfld.long 0x00 3. "T3,Trap to Hypervisor mode Non-secure priv 3" "No effect,Trap" bitfld.long 0x00 2. "T2,Trap to Hypervisor mode Non-secure priv 2" "No effect,Trap" newline bitfld.long 0x00 1. "T1,Trap to Hypervisor mode Non-secure priv 1" "No effect,Trap" bitfld.long 0x00 0. "T0,Trap to Hypervisor mode Non-secure priv 0" "No effect,Trap" rgroup.long c15:0x4711++0x00 line.long 0x00 "HACR,Hypervisor Auxiliary Configuration Register" if (((per.l(c15:0x4202))&0x07)==0x00) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 5.--47. 0x20 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x01) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 4.--47. 0x10 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x02) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 12.--47. 0x10 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x03) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 11.--47. 0x8 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x04) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 10.--47. 0x4 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x05) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 9.--47. 0x2 "BADDR,Translation table base address" elif (((per.l(c15:0x4202))&0x07)==0x06) group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 8.--47. 0x1 "BADDR,Translation table base address" else group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register" hexmask.quad 0x00 7.--47. 0x80 "BADDR,Translation table base address" endif group.long c15:0x4202++0x00 line.long 0x00 "HTCR,Hypervisor Translation Control Register" bitfld.long 0x00 12.--13. "SH0,Shareability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.long 0x00 10.--11. "ORGN0,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-cacheable,Write-Back Write-Allocate,Write-Through,Write-Back no Write-Allocate" newline bitfld.long 0x00 8.--9. "IRGN0,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-cacheable,Write-Back Write-Allocate,Write-Through,Write-Back no Write-Allocate" bitfld.long 0x00 0.--2. "T0SZ,The Size offset of the TTBR0/HTTBR addressed region" "0,1,2,3,4,5,6,7" if (((per.l(c15:0x4212))&0x0F)==0x00) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 5.--47. 0x20 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x01) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 4.--47. 0x10 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x02) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 12.--47. 0x10 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x03) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 11.--47. 0x8 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x04) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 10.--47. 0x4 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x05) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 9.--47. 0x2 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x06) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 8.--47. 0x1 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x07) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 7.--47. 0x80 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x08) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad.long 0x00 22.--47. 0x40 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x09) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad.long 0x00 21.--47. 0x20 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x0A) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad.long 0x00 20.--47. 0x10 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x0B) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad.long 0x00 19.--47. 0x8 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x0C) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad.long 0x00 18.--47. 0x4 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x0D) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad.long 0x00 17.--47. 0x2 "BADDR,Translation table base address" elif (((per.l(c15:0x4212))&0x0F)==0x0E) group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad.long 0x00 16.--47. 0x1 "BADDR,Translation table base address" else group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table" hexmask.quad 0x00 15.--47. 0x80 "BADDR,Translation table base address" endif group.long c15:0x4212++0x00 line.long 0x00 "VTCR,Virtualization Translation Control Register" bitfld.long 0x00 12.--13. "SH0,Shareability attributes for the memory associated with the translation table walks using VTTBR" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.long 0x00 10.--11. "ORGN0,Outer Cacheability attributes for the memory associated with the translation table walks using VTTBR" "Non-cacheable,Write-Back Write-Allocate,Write-Through,Write-Back no Write-Allocate" newline bitfld.long 0x00 8.--9. "IRGN0,Inner Cacheability attributes for the memory associated with the translation table walks VTTBR" "Non-cacheable,Write-Back Write-Allocate,Write-Through,Write-Back no Write-Allocate" bitfld.long 0x00 6.--7. "SL0,Starting Level for VTCR addressed region" "2nd level,1st level,," newline bitfld.long 0x00 4. "S,Sign-extension of the T0SZ field" "Low,High" bitfld.long 0x00 0.--3. "T0SZ,The Size offset of the VTCR addressed region" "0,1,2,3,4,5,6,7,-8,-7,-6,-5,-4,-3,-2,-1" group.long c15:0x4006++0x00 line.long 0x00 "HDFAR,Hypervisor Data Fault Address Register" if (((per.l(c15:0x4025))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" elif (((per.l(c15:0x4025))&0xFC000000)==0x04000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.long 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.long 0x00 0. "TI,Trapped instruction" "WFI,WFE" elif (((per.l(c15:0x4025))&0xFC000000)==(0x0C000000||0x14000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.long 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.long 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.long 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.l(c15:0x4025))&0xFC000000)==(0x10000000||0x30000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.long 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline bitfld.long 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.l(c15:0x4025))&0xFC000000)==0x18000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.long 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" newline hexmask.long.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction" bitfld.long 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added" bitfld.long 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved" newline bitfld.long 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.l(c15:0x4025))&0xFC000000)==0x1C000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.long 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" elif (((per.l(c15:0x4025))&0xFC000000)==(0x44000000||0x54000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.long.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction" elif (((per.l(c15:0x4025))&0xFC000000)==0x60000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "CV,Condition code valid" "Not valid,Valid" bitfld.long 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3" newline bitfld.long 0x00 17.--19. "OP2,Op2 value from the issued instruction" "0,1,2,3,4,5,6,7" bitfld.long 0x00 14.--16. "OP1,Op1 value from the issued instruction" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read" elif (((per.l(c15:0x4025))&0xFC000000)==(0x80000000||0x84000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 9. "EA,External abort type" "DECERR,SLVERR" bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.long 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/TTBR[0/1],Reserved,Reserved,Reserved,Reserved,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort,Reserved,Reserved,Reserved,Reserved,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity,Reserved,Reserved,Reserved,Reserved,Sync. parity/1st level,Sync. parity/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Debug,?..." elif (((per.l(c15:0x4025))&0xFD000000)==(0x91000000||0x95000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.long 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword" newline bitfld.long 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required" bitfld.long 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit" bitfld.long 0x00 14. "AR,Acquire/Release" "No,Yes" newline bitfld.long 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.long 0x00 9. "EA,External abort type" "DECERR,SLVERR" newline bitfld.long 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.long 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" elif (((per.l(c15:0x4025))&0xFD000000)==(0x90000000||0x94000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 10. "FNV,FAR not Valid" "No,Yes" bitfld.long 0x00 9. "EA,External abort type" "DECERR,SLVERR" newline bitfld.long 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2" newline bitfld.long 0x00 6. "WNR,Write not Read" "Read,Write" bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/0th level/TTBR,Address size/1st level,Address size/2nd level,Address size/3rd level,Translation/0th level,Translation/1st level,Translation/2nd level,Translation/3rd level,Reserved,Access flag/1st level,Access flag/2nd level,Access flag/3d level,Reserved,Permission/1st level,Permission/2nd level,Permission/3rd level,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/0th level,Sync. external abort/1th level,Sync. external abort/2th level,Sync. external abort/3th level,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/0th level,Sync. parity/ECC/1st level,Sync. parity/ECC/2nd level,Sync. parity/ECC/3rd level,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved" elif (((per.l(c15:0x4025))&0xFC800000)==(0xA0800000||0xB0800000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.long 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred" bitfld.long 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred" newline bitfld.long 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred" bitfld.long 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred" newline bitfld.long 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred" bitfld.long 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred" elif (((per.l(c15:0x4025))&0xFC800000)==(0xA0000000||0xB0000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid" bitfld.long 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7" elif (((per.l(c15:0x4025))&0xFD000000)==0xBD000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" bitfld.long 0x00 15. "UNASE,Unattributable System Error" "Attributable,Unattributable" newline bitfld.long 0x00 14. "UNCSE,Uncontainable System Error" "Containable,Uncontainable" bitfld.long 0x00 0.--1. "SES,System Error Source" "Decode,ECC,Slave," elif (((per.l(c15:0x4025))&0xFD000000)==0xBC000000) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid" elif (((per.l(c15:0x4025))&0xFC000000)==(0xC0000000||0xC4000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.l(c15:0x4025))&0xFC000000)==(0xC8000000||0xCC000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 24. "ISV,EX bit valid" "Not valid,Valid" bitfld.long 0x00 6. "EX,Exclusive operation" "No,Yes" newline bitfld.long 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.l(c15:0x4025))&0xFC000000)==(0xD0000000||0xD4000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline bitfld.long 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes" bitfld.long 0x00 6. "WNR,Write not Read" "Read,Write" newline bitfld.long 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,%d..." elif (((per.l(c15:0x4025))&0xFC000000)==(0xE0000000||0xF0000000)) group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" bitfld.long 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit" newline hexmask.long.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value" else group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hypervisor Syndrome Register" bitfld.long 0x00 26.--31. "EC,Hypervisor class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved" endif group.long c15:0x4206++0x00 line.long 0x00 "HIFAR,Hypervisor Instruction Fault Address Register" group.long c15:0x4406++0x00 line.long 0x00 "HPFAR,Hypervisor IPA Fault Address Register" hexmask.long 0x00 4.--31. 1. "FIPA,Bits [39:12] of the faulting intermediate physical address" group.long c15:0x400C++0x00 line.long 0x00 "HVBAR,Hypervisor Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 "HVBADDR,Hypervisor Vector Base Address" tree.end tree "Cache Control and Configuration" rgroup.long c15:0x0100++0x00 line.long 0x00 "CTR,Cache Type Register" bitfld.long 0x00 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.long 0x00 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.long 0x00 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." newline bitfld.long 0x00 14.--15. "L1POLICY,L1 Instruction cache policy" "Reserved,Reserved,Reserved,PIPT" bitfld.long 0x00 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." rgroup.long c15:0x1100++0x00 line.long 0x00 "CLIDR,Cache Level ID Register" bitfld.long 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Reserved,Level 1,Reserved,?..." bitfld.long 0x00 24.--26. "LOC,Level of Coherency" "Reserved,Reserved,Level 3,?..." newline bitfld.long 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "Reserved,Level 2,?..." bitfld.long 0x00 18.--20. "CTYPE7,Cache type for levels 7" "No cache,?..." newline bitfld.long 0x00 15.--17. "CTYPE6,Cache type for levels 6" "No cache,?..." bitfld.long 0x00 12.--14. "CTYPE5,Cache type for levels 5" "No cache,?..." newline bitfld.long 0x00 9.--11. "CTYPE4,Cache type for levels 4" "No cache,?..." bitfld.long 0x00 6.--8. "CTYPE3,Cache type for levels 3" "No cache,?..." newline bitfld.long 0x00 3.--5. "CTYPE2,Cache type for levels 2" "Reserved,Reserved,Reserved,Reserved,Unified,?..." bitfld.long 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate I/D,?..." rgroup.long c15:0x1700++0x0 line.long 0x00 "AIDR,Auxiliary ID Register" rgroup.long c15:0x1000++0x00 line.long 0x00 "CCSIDR,Current Cache Size ID Register" bitfld.long 0x00 31. "WT,Write-Through" "Not Supported," bitfld.long 0x00 30. "WB,Write-Back" "Not Supported,Supported" newline bitfld.long 0x00 29. "RA,Read-Allocate" "Not Supported,Supported" bitfld.long 0x00 28. "WA,Write-Allocate" "Not Supported,Supported" newline hexmask.long.word 0x00 13.--27. 1. "SETS,Number of Sets" hexmask.long.word 0x00 3.--12. 1. "ASSOC,Associativity" newline bitfld.long 0x00 0.--2. "LSIZE,Line Size" "Reserved,Reserved,64 bytes,?..." group.long c15:0x2000++0x00 line.long 0x00 "CSSELR,Cache Size Selection Register" bitfld.long 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,?..." bitfld.long 0x00 0. "IND,Instruction/Not Data" "Data/Unified,Instruction" tree "Level 1 memory system" group.long c15:0x001F++0x00 line.long 0x00 "DL1DATA0,Data L1 Data 0 Register" group.long c15:0x011F++0x00 line.long 0x00 "DL1DATA1,Data L1 Data 1 Register" group.long c15:0x021F++0x00 line.long 0x00 "DL1DATA2,Data L1 Data 2 Register" group.long c15:0x031F++0x00 line.long 0x00 "DL1DATA3,Data L1 Data 3 Register" group.long c15:0x041F++0x00 line.long 0x00 "DL1DATA4,Data L1 Data 4 Register" group.long c15:0x000F++0x00 line.long 0x00 "IL1DATA0,Instruction L1 Data 0 Register" group.long c15:0x010F++0x00 line.long 0x00 "IL1DATA1,Instruction L1 Data 1 Register" group.long c15:0x020F++0x00 line.long 0x00 "IL1DATA2,Instruction L1 Data 2 Register" group.long c15:0x030F++0x00 line.long 0x00 "IL1DATA3,Instruction L1 Data 3 Register" wgroup.long c15:0x04F++0x00 line.long 0x00 "RAMINDEX,RAM Index Operation Register" hexmask.long.byte 0x00 24.--31. 1. "RAMID,RAM identifier" bitfld.long 0x00 18.--21. "WAY,Indicates the way of the RAM that is being accessed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.tbyte 0x00 0.--17. 1. "INDEX,Indicates the index address of the RAM that is being accessed" tree.end tree "Level 2 memory system" group.long c15:0x1209++0x00 line.long 0x00 "L2CTLR,L2 Control Register" bitfld.long 0x00 31. "L2RSTDM,L2RSTDISABLE monitor" "Reset,No reset" bitfld.long 0x00 24.--25. "NCPU,Number of CPU" "1,2,3,4" rbitfld.long 0x00 23. "L2CP,L2 cache ECC protection" "Not supported,Supported" newline rbitfld.long 0x00 22. "L1CECCPP,L1 Cache ECC and Parity protection" "Not supported,Supported" bitfld.long 0x00 21. "ECCPPEN,ECC and parity enable" "Disabled,Enabled" bitfld.long 0x00 20. "DIECCE,Data inline ECC enable" "Disabled,Enabled" newline rbitfld.long 0x00 13. "L2AS,L2 arbitration slice" "Not present,Present" rbitfld.long 0x00 12. "L2TRAMS,L2 Tag RAM slice" "Not present,Present" rbitfld.long 0x00 10.--11. "L2DRAMS,L2 Data RAM slice" "Not present,1 present,2 present,?..." newline bitfld.long 0x00 9. "L2TRAMS,L2 Tag RAM setup" "0 cycle,1 cycle" bitfld.long 0x00 6.--8. "L2TRAML,L2 Tag RAM latency" "2 cycles,2 cycles,3 cycles,4 cycles,5 cycles,5 cycles,5 cycles,5 cycles" rbitfld.long 0x00 5. "DRAMIL,L2 data RAM input latency" "0 cycle,1 cycle" newline bitfld.long 0x00 0.--2. "DRAML,L2 data RAM latency" "2 cycles,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,6 cycles,6 cycles" group.long c15:0x1309++0x00 line.long 0x00 "L2ECTLR,L2 Extended Control Register" bitfld.long 0x00 30. "L2INTASYNCERR,L2 internal asynchronous error" "No error,Error" bitfld.long 0x00 29. "AXIASYNCERR,AXI asynchronous error" "No error,Error" bitfld.long 0x00 0.--2. "L2DRC,L2 dynamic retention control" "Disabled,2 ticks,8 ticks,32 ticks,64 ticks,128 ticks,256 ticks,512 ticks" group.long c15:0x100F++0x00 line.long 0x00 "L2ACTLR,L2 Auxiliary Control Register" bitfld.long 0x00 30.--31. "L2PLRUIP,Select the L2 PLRU insertion point" "MRU/LRU,MRU,3/4 LRU,LRU" bitfld.long 0x00 29. "L2RPLCPOL,Select the L2 cache replacement policy" "PLRU,Pseudo random" bitfld.long 0x00 28. "FL2TBCEA,Force L2 tag bank clock enable active" "Disabled,Enabled" newline bitfld.long 0x00 27. "FL2LCEA,Force L2 logic clock enable active" "Disabled,Enabled" bitfld.long 0x00 26. "FL2GICRCGEA,Force L2, GIC CPU interface, and Timer Regional Clock Gate(RCG) enables active" "Not forced,Forced" bitfld.long 0x00 25. "ESIAA,Enable single issue across all tag banks when the L2 arbitration replay threshold is reached" "Disabled,Enabled" newline bitfld.long 0x00 24. "L2PLRUMD,Disable PLRU dynamic insertion and update policy" "No,Yes" bitfld.long 0x00 23. "DACPMUWLU,Disable ACP MakeUnique and WriteLineUnique transactions" "No,Yes" bitfld.long 0x00 22. "DDTLSPR,Disable dynamic throttling of load/store prefetch requests" "No,Yes" newline bitfld.long 0x00 18.--19. "DLASQ,Disable limit on NC/SO/Dev stores in Address Sequence Queue" "12 entries,10 entries,8 entries,No limit" bitfld.long 0x00 17. "DL2RRA,Disable L2 round-robin arbitration that only clocks through paths with an active requestor waiting to be arbitrated" "No,Yes" bitfld.long 0x00 16. "ERTSI,Enable replay threshold single issue" "Disabled,Enabled" newline bitfld.long 0x00 15. "DFFD,Disable fast forwarding of data from ACE or CHI to LS and IF" "No,Yes" bitfld.long 0x00 14. "EUCE,Enable UniqueClean evictions with data" "Disabled,Enabled" bitfld.long 0x00 13. "DCEO,Disable clean evict optimization" "No,Yes" newline bitfld.long 0x00 12. "DPSHO,Disable set hazard optimization against prefetch entries" "No,Yes" bitfld.long 0x00 11. "DDSB,Disable DSB with no DVM synchronization" "No,Yes" bitfld.long 0x00 10. "DNSDAR,Disable Non-secure debug array read" "No,Yes" newline bitfld.long 0x00 9. "DWHOBBRRQ,Disable set/way hazard optimization on back to back reads from the same CPU targeting the same set" "No,Yes" bitfld.long 0x00 8. "DDVMCMOMB,Disable DVM and cache maintenance operation message broadcast" "No,Yes" bitfld.long 0x00 7. "EHDT,Enable hazard detect timeout" "Disabled,Enabled" newline bitfld.long 0x00 6. "DACESCHIST,Disable ACE shareable or CHI snoopable transactions from master" "No,Yes" bitfld.long 0x00 5. "DSWHOWWM,Disables set/way hazard optimization for WBNA/WT memory" "No,Yes" bitfld.long 0x00 4. "DWUWLUTFM,Disable WriteUnique and WriteLineUnique transactions from master" "Disabled,Enabled" newline bitfld.long 0x00 3. "DCEPTE,Disable clean/evict push to external" "No,Yes" bitfld.long 0x00 2. "LTORPTB,Limit to one request per tag bank" "Normal,Limited" bitfld.long 0x00 1. "EARTT,Enable arbitration replay threshold timeout" "Disabled,Enabled" newline bitfld.long 0x00 0. "DHPF,Disable hardware prefetch forwarding" "No,Yes" group.quad c15:0x130F0++0x01 line.quad 0x00 "L2MERRSR,L2 Memory Error Syndrome Register" bitfld.quad 0x00 63. "FATAL,Fatal bit" "0,1" hexmask.quad.byte 0x00 40.--47. 1. "OEC,Other error count" newline hexmask.quad.byte 0x00 32.--39. 1. "REC,Repeat error count" bitfld.quad 0x00 31. "VALID,Valid bit" "Not valid,Valid" newline hexmask.quad.byte 0x00 24.--30. 1. "RAMID,RAM Identifier" bitfld.quad 0x00 18.--21. "C/W,CPUID/Way" "CPU0 tag way 0,CPU0 tag way 1,CPU1 tag way 0,CPU1 tag way 1,CPU2 tag way 0,CPU2 tag way 1,CPU3 tag way 0,CPU3 tag way 1,?..." newline hexmask.quad.tbyte 0x00 0.--17. 1. "IND,Index" tree.end tree.end tree "System Performance Monitor" group.long c15:0xc9++0x00 line.long 0x00 "PMCR,Performance Monitor Control Register" hexmask.long.byte 0x00 24.--31. 1. "IMP,Implementer code" hexmask.long.byte 0x00 16.--23. 1. "IDCODE,Identification code" rbitfld.long 0x00 11.--15. "N,Number of counters implemented" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,6,?..." bitfld.long 0x00 6. "LC,Long cycle count enable" "Disabled,Enabled" newline bitfld.long 0x00 5. "DP,Disable CCNT when prohibited" "No,Yes" bitfld.long 0x00 4. "X,Export Enable" "Disabled,Enabled" bitfld.long 0x00 3. "D,Clock Divider" "Every cycle,64th cycle" bitfld.long 0x00 2. "C,Clock Counter Reset" "No reset,Reset" newline bitfld.long 0x00 1. "P,Performance Counter Reset" "No reset,Reset" bitfld.long 0x00 0. "E,All Counters Enable" "Disabled,Enabled" newline group.long c15:0x1c9++0x00 line.long 0x00 "PMCNTENSET,Count Enable Set Register " bitfld.long 0x00 31. "C,PMCCNTR enable" "Disabled,Enabled" newline bitfld.long 0x00 5. "P5,Event Counter 5 enable bit" "Disabled,Enabled" bitfld.long 0x00 4. "P4,Event Counter 4 enable bit" "Disabled,Enabled" bitfld.long 0x00 3. "P3,Event Counter 3 enable bit" "Disabled,Enabled" newline bitfld.long 0x00 2. "P2,Event Counter 2 enable bit" "Disabled,Enabled" bitfld.long 0x00 1. "P1,Event Counter 1 enable bit" "Disabled,Enabled" bitfld.long 0x00 0. "P0,Event Counter 0 enable bit" "Disabled,Enabled" group.long c15:0x2c9++0x00 line.long 0x00 "PMCNTENCLR,Count Enable Clear Register" bitfld.long 0x00 31. "C,PMCCNTR enable" "Disabled,Enabled" newline eventfld.long 0x00 5. "P5,Event Counter 5 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 4. "P4,Event Counter 4 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 3. "P3,Event Counter 3 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 2. "P2,Event Counter 2 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 1. "P1,Event Counter 1 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 0. "P0,Event Counter 0 clear bit [Read/Write]" "Disabled/No effect,Enabled/Disable" group.long c15:0x3c9++0x00 line.long 0x00 "PMOVSR,Performance Monitor Overflow Status Register" eventfld.long 0x00 31. "C,PMCCNTR overflow [Read/Write]" "No overflow/No effect,Overflow/Clear" newline eventfld.long 0x00 5. "P5,PMN5 overflow [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 4. "P4,PMN4 overflow [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 3. "P3,PMN3 overflow [Read/Write]" "No overflow/No effect,Overflow/Clear" newline eventfld.long 0x00 2. "P2,PMN2 overflow [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 1. "P1,PMN1 overflow [Read/Write]" "No overflow/No effect,Overflow/Clear" eventfld.long 0x00 0. "P0,PMN0 overflow [Read/Write]" "No overflow/No effect,Overflow/Clear" group.long c15:0x4c9++0x00 line.long 0x00 "PMSWINC,Performance Monitor Software Increment" bitfld.long 0x00 5. "P5,Increment PMN5" "No action,Increment" bitfld.long 0x00 4. "P4,Increment PMN4" "No action,Increment" bitfld.long 0x00 3. "P3,Increment PMN3" "No action,Increment" newline bitfld.long 0x00 2. "P2,Increment PMN2" "No action,Increment" bitfld.long 0x00 1. "P1,Increment PMN1" "No action,Increment" bitfld.long 0x00 0. "P0,Increment PMN0" "No action,Increment" group.long c15:0x5c9++0x00 line.long 0x00 "PMSELR,Performance Monitor Select Register" bitfld.long 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." group.long c15:0xd9++0x00 line.long 0x00 "PMCCNTR,Performance Monitor Cycle Count Register" group.long c15:0x1d9++0x00 line.long 0x00 "PMXEVTYPER,Performance Monitor Event Type Register" group.long c15:0x2d9++0x00 line.long 0x00 "PMXEVCNTR,Performance Monitor Event Count Register" bitfld.long 0x00 5. "EVENT[5],Value of 5 event counter" "0,1" bitfld.long 0x00 4. "EVENT[4],Value of 4 event counter" "0,1" bitfld.long 0x00 3. "EVENT[3],Value of 3 event counter" "0,1" newline bitfld.long 0x00 2. "EVENT[2],Value of 2 event counter" "0,1" bitfld.long 0x00 1. "EVENT[1],Value of 1 event counter" "0,1" bitfld.long 0x00 0. "EVENT[0],Value of 0 event counter" "0,1" newline group.long c15:0xe9++0x00 line.long 0x00 "PMUSERENR,Performance Monitor User Enable Register" bitfld.long 0x00 3. "ER,Event counter read enable" "Disabled,Enabled" bitfld.long 0x00 2. "CR,Cycle counter read enable" "Disabled,Enabled" bitfld.long 0x00 1. "SW,Software Increment write enable" "Disabled,Enabled" newline bitfld.long 0x00 0. "EN,User mode access enable" "Disabled,Enabled" group.long c15:0x1e9++0x00 line.long 0x00 "PMINTENSET,Performance Monitor Interrupt Enable Set" bitfld.long 0x00 31. "C,PMCCNTR enable" "Disabled,Enabled" newline bitfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled" group.long c15:0x2e9++0x00 line.long 0x00 "PMINTENCLR,Performance Monitor Interrupt Enable Clear" eventfld.long 0x00 31. "C,PMCCNTR enable [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 5. "P5,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 4. "P4,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 3. "P3,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" newline eventfld.long 0x00 2. "P2,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 1. "P1,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" eventfld.long 0x00 0. "P0,Overflow Interrupt Clear [Read/Write]" "Disabled/No effect,Enabled/Disable" group.long c15:0x3e9++0x00 line.long 0x00 "PMOVSSET,Performance Monitor Overflow Flag Status Set Register" group.long c15:0x8E++0x00 line.long 0x00 "PMEVCNTR0,Performance Monitors Event Count Register 0" group.long c15:(0x8E+0x40)++0x00 line.long 0x00 "PMEVTYPER0,Performance Monitors Selected Event Type Register 0" bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.long c15:0x18E++0x00 line.long 0x00 "PMEVCNTR1,Performance Monitors Event Count Register 1" group.long c15:(0x18E+0x40)++0x00 line.long 0x00 "PMEVTYPER1,Performance Monitors Selected Event Type Register 1" bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.long c15:0x28E++0x00 line.long 0x00 "PMEVCNTR2,Performance Monitors Event Count Register 2" group.long c15:(0x28E+0x40)++0x00 line.long 0x00 "PMEVTYPER2,Performance Monitors Selected Event Type Register 2" bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.long c15:0x38E++0x00 line.long 0x00 "PMEVCNTR3,Performance Monitors Event Count Register 3" group.long c15:(0x38E+0x40)++0x00 line.long 0x00 "PMEVTYPER3,Performance Monitors Selected Event Type Register 3" bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.long c15:0x48E++0x00 line.long 0x00 "PMEVCNTR4,Performance Monitors Event Count Register 4" group.long c15:(0x48E+0x40)++0x00 line.long 0x00 "PMEVTYPER4,Performance Monitors Selected Event Type Register 4" bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.long c15:0x58E++0x00 line.long 0x00 "PMEVCNTR5,Performance Monitors Event Count Register 5" group.long c15:(0x58E+0x40)++0x00 line.long 0x00 "PMEVTYPER5,Performance Monitors Selected Event Type Register 5" bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. "EVTCOUNT,Event to count" group.long c15:0x07FE++0x00 line.long 0x00 "PMCCFILTR,Performance Monitors Cycle Count Filter Register" bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 30. "U,EL0 filtering bit" "Enabled,Disabled" bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Enabled,Disabled" newline bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled" bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled" tree.end tree "System Timer Registers" group.long c15:0x000E++0x00 line.long 0x00 "CNTFRQ,Counter Frequency Register" rgroup.quad c15:0x100E0++0x01 line.quad 0x00 "CNTPCT,Counter Physical Count Register" group.long c15:0x001E++0x00 line.long 0x00 "CNTKCTL,Timer PL1 Control Register" bitfld.long 0x00 9. "EL0PTEN,Controls whether the physical timer registers are accessible from EL0 modes" "Not accessible,Accessible" bitfld.long 0x00 8. "EL0VTEN,Controls whether the virtual timer registers are accessible from EL0 modes" "Not accessible,Accessible" bitfld.long 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from the virtual counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit" "0 to 1,1 to 0" newline bitfld.long 0x00 2. "EVNTEN,Enables the generation of an event stream from the virtual counter" "Disabled,Enabled" bitfld.long 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from EL0 modes" "Not accessible,Accessible" bitfld.long 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from EL0 modes" "Not accessible,Accessible" group.long c15:0x002E++0x00 line.long 0x00 "CNTP_TVAL,Counter PL1 Physical Compare Value Register" group.long c15:0x012E++0x00 line.long 0x00 "CNTP_CTL,Counter PL1 Physical Timer Control Register" rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. "IMASK,Timer interrupt mask bit" "Not masked,Masked" bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.long c15:0x003E++0x00 line.long 0x00 "CNTV_TVAL,Counter PL1 Virtual Timer Value Register" group.long c15:0x013E++0x00 line.long 0x00 "CNTV_CTL,Counter PL1 Virtual Timer Control Register" rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. "IMASK,Timer interrupt mask bit" "Not masked,Masked" bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad c15:0x110E0++0x01 line.quad 0x00 "CNTVCT,Counter Virtual Count Register" group.quad c15:0x120E0++0x01 line.quad 0x00 "CNTP_CVAL,Counter PL1 Physical Compare Value Register" group.quad c15:0x130E0++0x01 line.quad 0x00 "CNTV_CVAL,Counter PL1 Virtual Compare Value Register" group.quad c15:0x140E0++0x01 line.quad 0x00 "CNTVOFF,Counter Virtual Offset Register" group.long c15:0x401E++0x00 line.long 0x00 "CNTHCTL,Counter Non-secure PL2 Control Register" bitfld.long 0x00 4.--7. "EVNTI,Select trigger for the event stream generated from counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit" "0 to 1,1 to 0" bitfld.long 0x00 2. "EVNTEN,Enables the generation of an event stream from the virtual counter" "Disabled,Enabled" newline bitfld.long 0x00 1. "EL1VCTEN,Controls whether the Non-secure copies of the physical timer registers are accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible" bitfld.long 0x00 0. "EL1PCTEN,Controls whether the physical counter, CNTPCT, is accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible" group.long c15:0x402E++0x00 line.long 0x00 "CNTHP_TVAL,Counter Non-secure PL2 Physical Timer Value Register" group.long c15:0x412E++0x00 line.long 0x00 "CNTHP_CTL,Counter Non-secure PL2 Physical Timer Control Register" rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. "IMASK,Timer interrupt mask bit" "Not masked,Masked" bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled" group.quad c15:0x160E0++0x01 line.quad 0x00 "CNTHP_CVAL,Counter Non-secure PL2 Physical Compare Value Register" tree.end tree "Generic Interrupt Controller CPU Interface" tree "AArch32 GIC Physical CPU Interface System Registers" tree.open "Interrupt Controller Active Priorities Registers" group.long c15:0x048C++0x00 line.long 0x00 "ICC_AP0R0,Active Priorities 0 Register 0" bitfld.long 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.long 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.long 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt" newline bitfld.long 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.long 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt" bitfld.long 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt" newline bitfld.long 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.long 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.long 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt" newline bitfld.long 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt" bitfld.long 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.long 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt" newline bitfld.long 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.long 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.long 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.long 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.long 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.long 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt" newline bitfld.long 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.long 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt" bitfld.long 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt" newline bitfld.long 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.long 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.long 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt" newline bitfld.long 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt" bitfld.long 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.long 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt" newline bitfld.long 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.long 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.long 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.long 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt" group.long c15:0x009C++0x00 line.long 0x00 "ICC_AP1R0,Active Priorities 1 Register 0" bitfld.long 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt" bitfld.long 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt" bitfld.long 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt" newline bitfld.long 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt" bitfld.long 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt" bitfld.long 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt" newline bitfld.long 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt" bitfld.long 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt" bitfld.long 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt" newline bitfld.long 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt" bitfld.long 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt" bitfld.long 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt" newline bitfld.long 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt" bitfld.long 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt" bitfld.long 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt" newline bitfld.long 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt" bitfld.long 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt" bitfld.long 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt" newline bitfld.long 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt" bitfld.long 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt" bitfld.long 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt" newline bitfld.long 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt" bitfld.long 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt" bitfld.long 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt" newline bitfld.long 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt" bitfld.long 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt" bitfld.long 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt" newline bitfld.long 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt" bitfld.long 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt" newline bitfld.long 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt" bitfld.long 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt" tree.end newline wgroup.quad c15:0x110C0++0x01 line.quad 0x00 "ICC_ASGI1R,Alternate SGI Generation Register 1" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" bitfld.quad 0x00 40. "IRM,Interrupt Routing Mode" "Disabled,Enabled" hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,Target List" group.long c15:0x038C++0x00 line.long 0x00 "ICC_BPR0,Binary Point Register 0" bitfld.long 0x00 0.--2. "BINARYPOINT,Binary point" "0,1,2,3,4,5,6,7" group.long c15:0x03CC++0x00 line.long 0x00 "ICC_BPR1,Binary Point Register 1" bitfld.long 0x00 0.--2. "BINARYPOINT,Binary point" "0,1,2,3,4,5,6,7" group.long c15:0x04CC++0x00 line.long 0x00 "ICC_CTLR,Interrupt Control Registers for EL1" rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported" rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Not supported,Supported" rbitfld.long 0x00 14. "SEIS,SEI Support" "Not supported,Supported" rbitfld.long 0x00 11.--13. "IDBITS,Number of physical interrupt identifier bits supported" "16 bits,24 bits,?..." newline rbitfld.long 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" bitfld.long 0x00 1. "EOIMODE,Alias of ICC_MCTLR.EOImode_EL1" "0,1" newline bitfld.long 0x00 0. "CBPR,Common Binary Point Register" "0,1" group.long c15:0x64CC++0x00 line.long 0x00 "ICC_MCTLR,Interrupt Control Registers for EL3" rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported" rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255" newline rbitfld.long 0x00 17. "NDS,Disable Security not supported" "Supported,Not supported" rbitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Not supported,Supported" rbitfld.long 0x00 14. "SEIS,Indicates whether the CPU interface supports generation of SEIs" "Not supported,Supported" newline rbitfld.long 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,24 bits,?..." rbitfld.long 0x00 8.--10. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled" newline bitfld.long 0x00 4. "EOIMODE_EL1NS,Controls whether a write to an End of Interrupt register also deactivates the interrupt(Non-secure EL1 and EL2)" "Enabled,Disabled" bitfld.long 0x00 3. "EOIMODE_EL1S,Controls whether a write to an End of Interrupt register also deactivates the interrupt(Secure EL1)" "Enabled,Disabled" bitfld.long 0x00 2. "EOIMODE_EL3,Controls whether a write to an End of Interrupt register also deactivates the interrupt(EL3)" "Enabled,Disabled" newline bitfld.long 0x00 1. "CBPR_EL1NS,Controls whether the same register is used for interrupt preemption of both Group 0 and Group 1 Non-secure interrupts at EL1" "Separate registers,Same register" bitfld.long 0x00 0. "CBPR_EL1S,Controls whether the same register is used for interrupt preemption of both Group 0 and Group 1 Secure interrupts in Secure non-Monitor modes" "Separate registers,Same register" wgroup.long c15:0x01BC++0x00 line.long 0x00 "ICC_DIR,Deactivate Interrupt Register" hexmask.long.tbyte 0x00 0.--23. 1. "INTID,The INTID of the interrupt to be deactivated" wgroup.long c15:0x018C++0x00 line.long 0x00 "ICC_EOIR0,End Of Interrupt Register 0" hexmask.long.tbyte 0x00 0.--23. 1. "INTID,The INTID from the corresponding ICC_IAR0 access" wgroup.long c15:0x01CC++0x00 line.long 0x00 "ICC_EOIR1,End Of Interrupt Register 1" hexmask.long.tbyte 0x00 0.--23. 1. "INTID,The INTID from the corresponding ICC_IAR1 access." rgroup.long c15:0x028C++0x00 line.long 0x00 "ICC_HPPIR0,Highest Prioity Pending Interrupt Register 0" hexmask.long.tbyte 0x00 0.--23. 1. "INTID,The INTID of the highest priority pending interrupt" rgroup.long c15:0x02CC++0x00 line.long 0x00 "ICC_HPPIR1,Highest Prioity Pending Interrupt Register 1" hexmask.long.tbyte 0x00 0.--23. 1. "INTID,The INTID of the highest priority pending interrupt" hgroup.long c15:0x008C++0x00 hide.long 0x00 "ICC_IAR0,Interrupt Acknowledge Register 0" in hgroup.long c15:0x00CC++0x00 hide.long 0x00 "ICC_IAR1,Interrupt Acknowledge Register 1" in group.long c15:0x06CC++0x00 line.long 0x00 "ICC_IGRPEN0,Interrupt Group Enable Register 0" bitfld.long 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled" group.long c15:0x07CC++0x00 line.long 0x00 "ICC_IGRPEN1,Interrupt Group Enable Register 1" bitfld.long 0x00 0. "ENABLE,Enables Group 1 interrupts" "Disabled,Enabled" group.long c15:0x0064++0x00 line.long 0x00 "ICC_PMR,Priority Mask Register" hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,Priority mask level for the CPU interface" rgroup.long c15:0x03BC++0x00 line.long 0x00 "ICC_RPR,Running Priority Register" hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,Current running priority on the CPU interface" wgroup.quad c15:0x120C0++0x01 line.quad 0x00 "ICC_SGI0R,SGI Generation Register 0" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" bitfld.quad 0x00 40. "IRM,Interrupt Routing Mode" "Disabled,Enabled" hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,Target List" wgroup.quad c15:0x100C0++0x01 line.quad 0x00 "ICC_SGI1R,SGI Generation Register 1" hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3" bitfld.quad 0x00 40. "IRM,Interrupt Routing Mode" "Disabled,Enabled" hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2" newline bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1" hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,Target List" group.long c15:0x05CC++0x00 line.long 0x00 "ICC_SRE,System Register Enable Register for EL1" bitfld.long 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.long 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" bitfld.long 0x00 0. "SRE,System Register Enable" "Disabled,Enabled" group.long c15:0x65CC++0x00 line.long 0x00 "ICC_MSRE,System Register Enable Register for EL3" bitfld.long 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1 and ICC_SRE_EL2" "Disabled,Enabled" bitfld.long 0x00 2. "DIB,Disable IRQ bypass" "No,Yes" bitfld.long 0x00 1. "DFB,Disable FIQ bypass" "No,Yes" newline bitfld.long 0x00 0. "SRE,System Register Enable" "Disabled,Enabled" group.long c15:0x67CC++0x00 line.long 0x00 "ICC_MGRPEN1,Monitor Group1 Interrupt Group Enable" bitfld.long 0x00 1. "ENABLEGRP1S,Enables Group 1 interrupts for the Secure state" "Disabled,Enabled" bitfld.long 0x00 0. "ENABLEGRP1NS,Enables Group 1 interrupts for the Non-secure state" "Disabled,Enabled" tree.end tree "AArch32 Virtual Interface Control System Registers" tree.open "Interrupt Controller Hypervisor Active Priorities Registers" group.long c15:0x408C++0x00 line.long 0x00 "ICH_AP0R0,Interrupt Controller Hypervisor Active Priorities Register 0-0" bitfld.long 0x00 31. "P31,Group 0 interrupt active priority 31" "0,1" bitfld.long 0x00 30. "P30,Group 0 interrupt active priority 30" "0,1" bitfld.long 0x00 29. "P29,Group 0 interrupt active priority 29" "0,1" bitfld.long 0x00 28. "P28,Group 0 interrupt active priority 28" "0,1" newline bitfld.long 0x00 27. "P27,Group 0 interrupt active priority 27" "0,1" bitfld.long 0x00 26. "P26,Group 0 interrupt active priority 26" "0,1" bitfld.long 0x00 25. "P25,Group 0 interrupt active priority 25" "0,1" bitfld.long 0x00 24. "P24,Group 0 interrupt active priority 24" "0,1" newline bitfld.long 0x00 23. "P23,Group 0 interrupt active priority 23" "0,1" bitfld.long 0x00 22. "P22,Group 0 interrupt active priority 22" "0,1" bitfld.long 0x00 21. "P21,Group 0 interrupt active priority 21" "0,1" bitfld.long 0x00 20. "P20,Group 0 interrupt active priority 20" "0,1" newline bitfld.long 0x00 19. "P19,Group 0 interrupt active priority 19" "0,1" bitfld.long 0x00 18. "P18,Group 0 interrupt active priority 18" "0,1" bitfld.long 0x00 17. "P17,Group 0 interrupt active priority 17" "0,1" bitfld.long 0x00 16. "P16,Group 0 interrupt active priority 16" "0,1" newline bitfld.long 0x00 15. "P15,Group 0 interrupt active priority 15" "0,1" bitfld.long 0x00 14. "P14,Group 0 interrupt active priority 14" "0,1" bitfld.long 0x00 13. "P13,Group 0 interrupt active priority 13" "0,1" bitfld.long 0x00 12. "P12,Group 0 interrupt active priority 12" "0,1" newline bitfld.long 0x00 11. "P11,Group 0 interrupt active priority 11" "0,1" bitfld.long 0x00 10. "P10,Group 0 interrupt active priority 10" "0,1" bitfld.long 0x00 9. "P9,Group 0 interrupt active priority 9" "0,1" bitfld.long 0x00 8. "P8,Group 0 interrupt active priority 8" "0,1" newline bitfld.long 0x00 7. "P7,Group 0 interrupt active priority 7" "0,1" bitfld.long 0x00 6. "P6,Group 0 interrupt active priority 6" "0,1" bitfld.long 0x00 5. "P5,Group 0 interrupt active priority 5" "0,1" bitfld.long 0x00 4. "P4,Group 0 interrupt active priority 4" "0,1" newline bitfld.long 0x00 3. "P3,Group 0 interrupt active priority 3" "0,1" bitfld.long 0x00 2. "P2,Group 0 interrupt active priority 2" "0,1" bitfld.long 0x00 1. "P1,Group 0 interrupt active priority 1" "0,1" bitfld.long 0x00 0. "P0,Group 0 interrupt active priority 0" "0,1" group.long c15:0x409C++0x00 line.long 0x00 "ICH_AP1R0,Interrupt Controller Hypervisor Active Priorities Register 1-0" bitfld.long 0x00 31. "P31,Group 1 interrupt active priority 31" "0,1" bitfld.long 0x00 30. "P30,Group 1 interrupt active priority 30" "0,1" bitfld.long 0x00 29. "P29,Group 1 interrupt active priority 29" "0,1" bitfld.long 0x00 28. "P28,Group 1 interrupt active priority 28" "0,1" newline bitfld.long 0x00 27. "P27,Group 1 interrupt active priority 27" "0,1" bitfld.long 0x00 26. "P26,Group 1 interrupt active priority 26" "0,1" bitfld.long 0x00 25. "P25,Group 1 interrupt active priority 25" "0,1" bitfld.long 0x00 24. "P24,Group 1 interrupt active priority 24" "0,1" newline bitfld.long 0x00 23. "P23,Group 1 interrupt active priority 23" "0,1" bitfld.long 0x00 22. "P22,Group 1 interrupt active priority 22" "0,1" bitfld.long 0x00 21. "P21,Group 1 interrupt active priority 21" "0,1" bitfld.long 0x00 20. "P20,Group 1 interrupt active priority 20" "0,1" newline bitfld.long 0x00 19. "P19,Group 1 interrupt active priority 19" "0,1" bitfld.long 0x00 18. "P18,Group 1 interrupt active priority 18" "0,1" bitfld.long 0x00 17. "P17,Group 1 interrupt active priority 17" "0,1" bitfld.long 0x00 16. "P16,Group 1 interrupt active priority 16" "0,1" newline bitfld.long 0x00 15. "P15,Group 1 interrupt active priority 15" "0,1" bitfld.long 0x00 14. "P14,Group 1 interrupt active priority 14" "0,1" bitfld.long 0x00 13. "P13,Group 1 interrupt active priority 13" "0,1" bitfld.long 0x00 12. "P12,Group 1 interrupt active priority 12" "0,1" newline bitfld.long 0x00 11. "P11,Group 1 interrupt active priority 11" "0,1" bitfld.long 0x00 10. "P10,Group 1 interrupt active priority 10" "0,1" bitfld.long 0x00 9. "P9,Group 1 interrupt active priority 9" "0,1" bitfld.long 0x00 8. "P8,Group 1 interrupt active priority 8" "0,1" newline bitfld.long 0x00 7. "P7,Group 1 interrupt active priority 7" "0,1" bitfld.long 0x00 6. "P6,Group 1 interrupt active priority 6" "0,1" bitfld.long 0x00 5. "P5,Group 1 interrupt active priority 5" "0,1" bitfld.long 0x00 4. "P4,Group 1 interrupt active priority 4" "0,1" newline bitfld.long 0x00 3. "P3,Group 1 interrupt active priority 3" "0,1" bitfld.long 0x00 2. "P2,Group 1 interrupt active priority 2" "0,1" bitfld.long 0x00 1. "P1,Group 1 interrupt active priority 1" "0,1" bitfld.long 0x00 0. "P0,Group 1 interrupt active priority 0" "0,1" tree.end newline rgroup.long c15:0x43BC++0x00 line.long 0x00 "ICH_EISR,Interrupt Controller End of Interrupt Status Register" bitfld.long 0x00 3. "STATUS3,EOI maintenance interrupt status bit for List register 3" "No interrupt,Interrupt" bitfld.long 0x00 2. "STATUS2,EOI maintenance interrupt status bit for List register 2" "No interrupt,Interrupt" bitfld.long 0x00 1. "STATUS1,EOI maintenance interrupt status bit for List register 1" "No interrupt,Interrupt" newline bitfld.long 0x00 0. "STATUS0,EOI maintenance interrupt status bit for List register 0" "No interrupt,Interrupt" rgroup.long c15:0x45BC++0x00 line.long 0x00 "ICH_ELRSR,Interrupt Controller Empty List Register Status Register" bitfld.long 0x00 3. "STATUS3,Status bit for List register 3" "Interrupt,No interrupt" bitfld.long 0x00 2. "STATUS2,Status bit for List register 2" "Interrupt,No interrupt" bitfld.long 0x00 1. "STATUS1,Status bit for List register 1" "Interrupt,No interrupt" newline bitfld.long 0x00 0. "STATUS0,Status bit for List register 0" "Interrupt,No interrupt" group.long c15:0x40BC++0x00 line.long 0x00 "ICH_HCR,Interrupt Controller Hypervisor Control Register" bitfld.long 0x00 27.--31. "EOICOUNT,This field is incremented whenever a successful write to a virtual EOIR or DIR register would have resulted in a virtual interrupt deactivation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 14. "TDIR,Trap Non-secure EL1 writes to ICC_DIR" "Not trapped,Trapped" bitfld.long 0x00 13. "TSEI,Trap all locally generated SEIs" "Not trapped,Trapped" newline bitfld.long 0x00 12. "TALL1,Trap all Non-secure EL1 accesses to ICC_* System registers for Group 1 interrupts to EL2" "Not trapped,Trapped" bitfld.long 0x00 11. "TALL0,Trap all Non-secure EL1 accesses to ICC_* System registers for Group 0 interrupts to EL2" "Not trapped,Trapped" bitfld.long 0x00 10. "TC,Trap all Non-secure EL1 accesses to System registers that are common to Group 0 and Group 1 to EL2" "Not trapped,Trapped" newline bitfld.long 0x00 7. "VGRP1DIE,VM Group 1 Disabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 6. "VGRP1EIE,VM Group 1 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 5. "VGRP0DIE,VM Group 0 Disabled Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 4. "VGRP0EIE,VM Group 0 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 3. "NPIE,No Pending Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 2. "LRENPIE,List Register Entry Not Present Interrupt Enable" "Disabled,Enabled" newline bitfld.long 0x00 1. "UIE,Underflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 0. "EN,Global enable bit for the virtual CPU interface" "Disabled,Enabled" group.long c15:(0x40CC+0x0)++0x00 line.long 0x00 "ICH_LR0,Interrupt Controller List Register 0" hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" group.long c15:(0x40CC+0x100)++0x00 line.long 0x00 "ICH_LR1,Interrupt Controller List Register 1" hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" group.long c15:(0x40CC+0x200)++0x00 line.long 0x00 "ICH_LR2,Interrupt Controller List Register 2" hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" group.long c15:(0x40CC+0x300)++0x00 line.long 0x00 "ICH_LR3,Interrupt Controller List Register 3" hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt" group.long c15:(0x40EC+0x0)++0x00 line.long 0x00 "ICH_LRC0,Interrupt Controller List Register Extension 0" bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt" bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0" newline hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt" hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts" group.long c15:(0x40EC+0x100)++0x00 line.long 0x00 "ICH_LRC1,Interrupt Controller List Register Extension 1" bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt" bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0" newline hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt" hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts" group.long c15:(0x40EC+0x200)++0x00 line.long 0x00 "ICH_LRC2,Interrupt Controller List Register Extension 2" bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt" bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0" newline hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt" hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts" group.long c15:(0x40EC+0x300)++0x00 line.long 0x00 "ICH_LRC3,Interrupt Controller List Register Extension 3" bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active" bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt" bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0" newline hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt" hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts" rgroup.long c15:0x42BC++0x00 line.long 0x00 "ICH_MISR,Interrupt Controller Maintenance Interrupt State Register" bitfld.long 0x00 7. "VGRP1D,VPE Group 1 Disabled" "Not asserted,Asserted" bitfld.long 0x00 6. "VGRP1E,VPE Group 1 Enabled" "Not asserted,Asserted" bitfld.long 0x00 5. "VGRP0D,VPE Group 0 Disabled" "Not asserted,Asserted" newline bitfld.long 0x00 4. "VGRP0E,VPE Group 0 Enabled" "Not asserted,Asserted" bitfld.long 0x00 3. "NP,No Pending" "Not asserted,Asserted" bitfld.long 0x00 2. "LRENP,List Register Entry Not Present" "Not asserted,Asserted" newline bitfld.long 0x00 1. "U,Underflow" "Not asserted,Asserted" bitfld.long 0x00 0. "EOI,End Of Interrupt" "Not asserted,Asserted" group.long c15:0x459C++0x00 line.long 0x00 "ICH_SRE,Hypervisor System Register" group.long c15:0x47BC++0x00 line.long 0x00 "ICH_VMCR,Interrupt Controller Virtual Machine Control Register" hexmask.long.byte 0x00 24.--31. 1. "VPMR,The priority mask level for the virtual CPU interface" bitfld.long 0x00 21.--23. "VBPR0,Virtual Binary Point Register Group 0" "[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]" bitfld.long 0x00 18.--20. "VBPR1,Virtual Binary Point Register, Group 1" ",[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]" newline bitfld.long 0x00 9. "VEOIM,Controls whether a write to an End of Interrupt register also deactivates the virtual interrupt" "Disabled,Enabled" bitfld.long 0x00 4. "VCBPR,Virtual Common Binary Point Register" "Separate registers,Same register" bitfld.long 0x00 3. "VFIQEN,Virtual FIQ enable" "Virtual IRQs,Virtual FIQs" newline bitfld.long 0x00 2. "VACKCTL,Virtual FIQ enable" "1022,Corresponding interrupt" bitfld.long 0x00 1. "VENG1,Virtual Group 1 interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. "VENG0,Virtual Group 0 interrupt enable" "Disabled,Enabled" group.long c15:0x449C++0x00 line.long 0x00 "ICH_VSEIR,Virtual System Error Interrupt Register" rgroup.long c15:0x41BC++0x00 line.long 0x00 "ICH_VTR,Interrupt Controller VGIC Type Register" bitfld.long 0x00 29.--31. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7" bitfld.long 0x00 26.--28. "PREBITS,The number of virtual preemption bits implemented, minus one" "0,1,2,3,4,5,6,7" bitfld.long 0x00 23.--25. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,24 bits,?..." newline bitfld.long 0x00 22. "SEIS,Indicates whether the CPU interface supports local generation of SEIs" "Not supported,Supported" bitfld.long 0x00 21. "A3V,Affinity 3 Valid" "Only zero values supported,Non-zero values supported" bitfld.long 0x00 20. "NV4,GICv4 direct injection of virtual interrupts not supported" "Supported,Not supported" newline bitfld.long 0x00 19. "TDS,Separate trapping of Non-secure EL1 writes to ICV_DIR_EL1 supported" "Not supported,Supported" bitfld.long 0x00 0.--4. "LISTREGS,The number of implemented List registers, minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree.end tree "Debug Registers" tree "Coresight Management Registers" rgroup.long c14:0x0000++0x0 line.long 0x0 "DBGDIDR,Debug ID Register" bitfld.long 0x0 28.--31. "WRP,Number of Watchpoint Register Pairs" "Reserved,Reserved,Reserved,4,?..." bitfld.long 0x0 24.--27. "BRP,Number of Breakpoint Register Pairs" "Reserved,Reserved,Reserved,Reserved,Reserved,6,?..." bitfld.long 0x0 20.--23. "CTX_CMP,Number of BRPs with Context ID Comparison Capability" "Reserved,2,?..." newline bitfld.long 0x0 16.--19. "VERSION,Debug Architecture Version" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,ARMv8,?..." bitfld.long 0x0 14. "NSUHD,Secure User halting debug-mode" "Reserved,Not implemented" bitfld.long 0x0 12. "SE,Security Extensions implemented" "Reserved,Implemented" group.long c14:0x0070++0x0 line.long 0x00 "DBGVCR,Debug Vector Catch register" bitfld.long 0x00 31. "FIQVCE_NS,FIQ vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 30. "IRQVCE_NS,IRG vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 28. "DAVCE_NS,Data Abort vector catch in Non-secure state" "Disabled,Enabled" newline bitfld.long 0x00 27. "PAVCE_NS,Prefetch Abort vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 26. "SVCVCE_NS,SVC vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 25. "UIVCE_NS,Undefined instruction vector catch in Non-secure state" "Disabled,Enabled" newline bitfld.long 0x00 15. "FIQVCE_SM,FIQ vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 14. "IRQVCE_SM,IRQ vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 12. "DAVCE_SM,Data Abort vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" newline bitfld.long 0x00 11. "PAVCE_SM,Prefetch Abort vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 10. "SMCVCE_S,SMC vector catch enable in Secure state" "Disabled,Enabled" bitfld.long 0x00 7. "FIQVCE_S,FIQ vector catch in Secure state" "Disabled,Enabled" newline bitfld.long 0x00 6. "IRQVCE_S,IRG vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 4. "DAVCE_S,Data Abort vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 3. "PAVCE_S,Prefetch Abort vector catch in Secure state" "Disabled,Enabled" newline bitfld.long 0x00 2. "SVCVCE_S,SVC vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 1. "UIVCE_S,Undefined instruction vector catch in Secure state" "Disabled,Enabled" group.long c14:0x0020++0x00 line.long 0x00 "DBGDCCINT,DCC Interrupt Enable Register" bitfld.long 0x00 30. "RX,DCC interrupt request enable control for DTRRX" "Disabled,Enabled" bitfld.long 0x00 29. "TX,DCC interrupt request enable control for DTRTX" "Disabled,Enabled" group.long c14:0x0200++0x0 line.long 0x00 "DBGDTRRXEXT,Debug Receive Register (External View)" hgroup.long c14:0x0050++0x0 hide.long 0x00 "DBGDTRRXINT,Debug Receive Register (Internal View)" in group.long c14:0x0220++0x0 line.long 0x00 "DBGDSCREXT,Debug Status and Control Register (External View)" bitfld.long 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 27. "RXO,Used for save/restore of EDSCR.RXO" "Disabled,Enabled" newline bitfld.long 0x00 26. "TXU,Used for save/restore of EDSCR.TXU" "Disabled,Enabled" bitfld.long 0x00 22.--23. "INTDIS,Used for save/restore of EDSCR.INTdis" "0,1,2,3" bitfld.long 0x00 21. "TDA,Used for save/restore of EDSCR.TDA" "Disabled,Enabled" newline bitfld.long 0x00 18. "NS,Non-secure status bit" "Secure,Non-secure" bitfld.long 0x00 17. "SPNIDDIS,Secure Privileged Non-Invasive Debug Disable" "No,Yes" bitfld.long 0x00 16. "SPIDDIS,Secure Privileged Invasive Debug Disable" "No,Yes" newline bitfld.long 0x00 15. "MDBGEN,Monitor debug-mode enable" "Disabled,Enabled" bitfld.long 0x00 14. "HDE,Used for save/restore of EDSCR.HDE" "Disabled,Enabled" bitfld.long 0x00 12. "UDCCDIS,User mode access to Communications Channel disable" "No,Yes" newline bitfld.long 0x00 6. "ERR,Used for save/restore of EDSCR.ERR" "Disabled,Enabled" bitfld.long 0x00 2.--5. "MOE,Method of debug entry field" "Reserved,Breakpoint,Reserved,BKPT Instruction,Reserved,Vector Catch,Reserved,Reserved,Reserved,Reserved,Synchronous Watchpoint,?..." rgroup.long c14:0x0010++0x0 line.long 0x00 "DBGDSCRINT,Debug Status and Control Register (Internal View)" bitfld.long 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 18. "NS,Non-secure status bit" "Secure,Non-secure" newline bitfld.long 0x00 17. "SPNIDDIS,Secure Privileged Non-Invasive Debug Disable" "No,Yes" bitfld.long 0x00 16. "SPIDDIS,Secure Privileged Invasive Debug Disable" "No,Yes" bitfld.long 0x00 15. "MDBGEN,Monitor debug-mode enable" "Disabled,Enabled" newline bitfld.long 0x00 12. "UDCCDIS,User mode access to Communications Channel disable" "No,Yes" bitfld.long 0x00 2.--5. "MOE,Method of debug entry field" "Reserved,Breakpoint,Reserved,BKPT Instruction,Reserved,Vector Catch,Reserved,Reserved,Reserved,Reserved,Synchronous Watchpoint,?..." wgroup.long c14:0x0230++0x0 line.long 0x00 "DBGDTRTXEXT,Debug Transmit Register (External View)" group.long c14:0x0050++0x0 line.long 0x00 "DBGDTRTXINT,Debug Transmit/Receive Register (Internal View)" group.long c14:0x0687++0x0 line.long 0x0 "DBGCLAIMSET,Claim Tag Set Register" bitfld.long 0x0 7. "CT7,Claim Tag 7 Set" "Not set,Set" bitfld.long 0x0 6. "CT6,Claim Tag 6 Set" "Not set,Set" bitfld.long 0x0 5. "CT5,Claim Tag 5 Set" "Not set,Set" newline bitfld.long 0x0 4. "CT4,Claim Tag 4 Set" "Not set,Set" bitfld.long 0x0 3. "CT3,Claim Tag 3 Set" "Not set,Set" bitfld.long 0x0 2. "CT2,Claim Tag 2 Set" "Not set,Set" newline bitfld.long 0x0 1. "CT1,Claim Tag 1 Set" "Not set,Set" bitfld.long 0x0 0. "CT0,Claim Tag 0 Set" "Not set,Set" group.long c14:0x0697++0x0 line.long 0x0 "DBGCLAIMCLR,Claim Tag Clear Register" bitfld.long 0x0 7. "CT7,Claim Tag 7 Clear" "Not cleared,Cleared" bitfld.long 0x0 6. "CT6,Claim Tag 6 Clear" "Not cleared,Cleared" bitfld.long 0x0 5. "CT5,Claim Tag 5 Clear" "Not cleared,Cleared" newline bitfld.long 0x0 4. "CT4,Claim Tag 4 Clear" "Not cleared,Cleared" bitfld.long 0x0 3. "CT3,Claim Tag 3 Clear" "Not cleared,Cleared" bitfld.long 0x0 2. "CT2,Claim Tag 2 Clear" "Not cleared,Cleared" newline bitfld.long 0x0 1. "CT1,Claim Tag 1 Clear" "Not cleared,Cleared" bitfld.long 0x0 0. "CT0,Claim Tag 0 Clear" "Not cleared,Cleared" if (((per.l(c14:0x06E7))&0xAA)==0xAA) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.l(c14:0x06E7))&0xAA)==0xA8) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" newline bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.l(c14:0x06E7))&0xAA)==0xA2) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.l(c14:0x06E7))&0xAA)==0xA0) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.l(c14:0x06E7))&0xAA)==0x8A) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.l(c14:0x06E7))&0xAA)==0x88) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.l(c14:0x06E7))&0xAA)==0x82) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.l(c14:0x06E7))&0xAA)==0x80) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.l(c14:0x06E7))&0xAA)==0x2A) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.l(c14:0x06E7))&0xAA)==0x28) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.l(c14:0x06E7))&0xAA)==0x22) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.l(c14:0x06E7))&0xAA)==0x20) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled" newline bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.l(c14:0x06E7))&0xAA)==0x0A) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.l(c14:0x06E7))&0xAA)==0x08) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled" bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" elif (((per.l(c14:0x06E7))&0xAA)==0x02) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled" elif (((per.l(c14:0x06E7))&0xAA)==0x00) rgroup.long c14:0x06E7++0x00 line.long 0x00 "DBGAUTHSTATUS,Authentication Status register" bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented" bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented" newline bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented" endif rgroup.long c14:0x0707++0x0 line.long 0x0 "DBGDEVID2,Debug Device ID Register 2" rgroup.long c14:0x0717++0x0 line.long 0x0 "DBGDEVID1,Debug Device ID Register 1" bitfld.long 0x00 0.--3. "PCSROFFSET,This field defines the offset applied to DBGPCSR samples" ",,No offset,?..." rgroup.long c14:0x0727++0x00 line.long 0x00 "DBGDEVID,Debug Device ID Register 0" bitfld.long 0x00 28.--31. "CIDMASK,Specifies the level of support for the Context ID matching breakpoint masking capability" "Not implemented,?..." bitfld.long 0x00 24.--27. "AUXREGS,Specifies support for the Debug External Auxiliary Control Register" ",Supported,?..." bitfld.long 0x00 20.--23. "DOUBLELOCK,Specifies support for the Debug OS Double Lock Register" "Reserved,Implemented,?..." newline bitfld.long 0x00 16.--19. "VIREXTNS,Specifies whether EL2 is implemented" "Reserved,Implemented,?..." bitfld.long 0x00 12.--15. "VECTORCATCH,Defines the form of the vector catch event implemented" "Implemented,?..." bitfld.long 0x00 8.--11. "BPADDRMASK,Indicates the level of support for the Immediate Virtual Address(IVA) matching breakpoint masking capability" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented" newline bitfld.long 0x00 4.--7. "WPADDRMASK,Indicates the level of support for the DVA matching watchpoint masking capability" "Reserved,Implemented,?..." bitfld.long 0x00 0.--3. "PCSAMPLE,Indicates the level of support for Program Counter sampling using debug registers 40 and 41" "Reserved,Reserved,Reserved,Implemented,?..." tree.end newline rgroup.quad c14:0x10010++0x1 line.quad 0x0 "DBGDRAR,Debug ROM Address Register" hexmask.quad 0x0 12.--47. 0x10 "ROMADDR,ROM physical address" bitfld.quad 0x0 0.--1. "VALID,ROM table address valid" "Not valid,,,Valid" rgroup.quad c14:0x10020++0x1 line.quad 0x0 "DBGDSAR,Debug Self Address Offset Register" wgroup.long c14:0x0401++0x00 line.long 0x00 "DBGOSLAR,Operating System Lock Access Register" rgroup.long c14:0x0411++0x00 line.long 0x00 "DBGOSLSR,Operating System Lock Status Register" bitfld.long 0x00 2. "NTT,32-Bit Access" "Not required,Required" bitfld.long 0x00 1. "OSLK,Status of the OS Lock" "Not locked,Locked" bitfld.long 0x00 0. 3. "OSLM,OS Lock Model implemented Bit" "Reserved,Reserved,Implemented,?..." if (((per.l(c14:0x0411))&0x2)==0x2) group.long c14:0x0260++0x00 line.long 0x00 "DBGOSECCR,Debug OS Lock Exception Catch Control Register" else hgroup.long c14:0x0260++0x00 hide.long 0x00 "DBGOSECCR,Debug OS Lock Exception Catch Control Register" endif group.long c14:0x0431++0x00 line.long 0x00 "DBGOSDLR,Debug OS Double Lock Register" bitfld.long 0x00 0. "DLK,OS Double Lock control bit" "Not locked,Locked" group.long c14:0x0441++0x00 line.long 0x00 "DBGPRCR,Device Power-Down and Reset Control Register" bitfld.long 0x00 0. "CORENPDRQ,Core No Power down Request" "Power down,Emulate" tree.end tree "Breakpoint Registers" tree "Breakpoint 0" if (((per.l(c14:(0x500+0x0)))&0xF00000)==(0x000000||0x100000||0x400000||0x500000)) group.long c14:(0x0400+0x0)++0x0 line.long 0x00 "DBGBVR0,Breakpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.l(c14:(0x500+0x0)))&0xF00000)==(0x800000||0x900000||0xC00000||0xD00000)) hgroup.long c14:(0x0400+0x0)++0x0 hide.long 0x00 "DBGBVR0,Breakpoint Value Register" else group.long c14:(0x0400+0x0)++0x0 line.long 0x00 "DBGBVR0,Breakpoint ContextID Register" endif group.long c14:(0x0500+0x0)++0x0 line.long 0x00 "DBGBCR0,Breakpoint Control Register" bitfld.long 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.long 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.long 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.long 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,?..." bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Not matched,Matched" newline bitfld.long 0x0 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 1" if (((per.l(c14:(0x500+0x10)))&0xF00000)==(0x000000||0x100000||0x400000||0x500000)) group.long c14:(0x0400+0x10)++0x0 line.long 0x00 "DBGBVR1,Breakpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.l(c14:(0x500+0x10)))&0xF00000)==(0x800000||0x900000||0xC00000||0xD00000)) hgroup.long c14:(0x0400+0x10)++0x0 hide.long 0x00 "DBGBVR1,Breakpoint Value Register" else group.long c14:(0x0400+0x10)++0x0 line.long 0x00 "DBGBVR1,Breakpoint ContextID Register" endif group.long c14:(0x0500+0x10)++0x0 line.long 0x00 "DBGBCR1,Breakpoint Control Register" bitfld.long 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.long 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.long 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.long 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,?..." bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Not matched,Matched" newline bitfld.long 0x0 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 2" if (((per.l(c14:(0x500+0x20)))&0xF00000)==(0x000000||0x100000||0x400000||0x500000)) group.long c14:(0x0400+0x20)++0x0 line.long 0x00 "DBGBVR2,Breakpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.l(c14:(0x500+0x20)))&0xF00000)==(0x800000||0x900000||0xC00000||0xD00000)) hgroup.long c14:(0x0400+0x20)++0x0 hide.long 0x00 "DBGBVR2,Breakpoint Value Register" else group.long c14:(0x0400+0x20)++0x0 line.long 0x00 "DBGBVR2,Breakpoint ContextID Register" endif group.long c14:(0x0500+0x20)++0x0 line.long 0x00 "DBGBCR2,Breakpoint Control Register" bitfld.long 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.long 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.long 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.long 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,?..." bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Not matched,Matched" newline bitfld.long 0x0 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 3" if (((per.l(c14:(0x500+0x30)))&0xF00000)==(0x000000||0x100000||0x400000||0x500000)) group.long c14:(0x0400+0x30)++0x0 line.long 0x00 "DBGBVR3,Breakpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.l(c14:(0x500+0x30)))&0xF00000)==(0x800000||0x900000||0xC00000||0xD00000)) hgroup.long c14:(0x0400+0x30)++0x0 hide.long 0x00 "DBGBVR3,Breakpoint Value Register" else group.long c14:(0x0400+0x30)++0x0 line.long 0x00 "DBGBVR3,Breakpoint ContextID Register" endif group.long c14:(0x0500+0x30)++0x0 line.long 0x00 "DBGBCR3,Breakpoint Control Register" bitfld.long 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.long 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.long 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.long 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,?..." bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Not matched,Matched" newline bitfld.long 0x0 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 4" if (((per.l(c14:(0x500+0x40)))&0xF00000)==(0x000000||0x100000||0x400000||0x500000)) group.long c14:(0x0400+0x40)++0x0 line.long 0x00 "DBGBVR4,Breakpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.l(c14:(0x500+0x40)))&0xF00000)==(0x800000||0x900000||0xC00000||0xD00000)) hgroup.long c14:(0x0400+0x40)++0x0 hide.long 0x00 "DBGBVR4,Breakpoint Value Register" else group.long c14:(0x0400+0x40)++0x0 line.long 0x00 "DBGBVR4,Breakpoint ContextID Register" endif if (((per.l(c14:(0x500+0x40)))&0x800000)==0x800000) group.long c14:(0x0101+0x40)++0x0 line.long 0x00 "DBGBXVR4,Breakpoint Extended Value Register" hexmask.long.byte 0x00 0.--7. 1. "VAMID,VMID value for comparison" else hgroup.long c14:(0x0101+0x40)++0x0 hide.long 0x00 "DBGBXVR4,Breakpoint Extended Value Register" endif group.long c14:(0x0500+0x40)++0x0 line.long 0x00 "DBGBCR4,Breakpoint Control Register" bitfld.long 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.long 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.long 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.long 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,?..." bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Not matched,Matched" newline bitfld.long 0x0 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" tree.end tree "Breakpoint 5" if (((per.l(c14:(0x500+0x50)))&0xF00000)==(0x000000||0x100000||0x400000||0x500000)) group.long c14:(0x0400+0x50)++0x0 line.long 0x00 "DBGBVR5,Breakpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "VA,Bits[31:2] of the address value for comparison" elif (((per.l(c14:(0x500+0x50)))&0xF00000)==(0x800000||0x900000||0xC00000||0xD00000)) hgroup.long c14:(0x0400+0x50)++0x0 hide.long 0x00 "DBGBVR5,Breakpoint Value Register" else group.long c14:(0x0400+0x50)++0x0 line.long 0x00 "DBGBVR5,Breakpoint ContextID Register" endif if (((per.l(c14:(0x500+0x50)))&0x800000)==0x800000) group.long c14:(0x0101+0x50)++0x0 line.long 0x00 "DBGBXVR5,Breakpoint Extended Value Register" hexmask.long.byte 0x00 0.--7. 1. "VAMID,VMID value for comparison" else hgroup.long c14:(0x0101+0x50)++0x0 hide.long 0x00 "DBGBXVR5,Breakpoint Extended Value Register" endif group.long c14:(0x0500+0x50)++0x0 line.long 0x00 "DBGBCR5,Breakpoint Control Register" bitfld.long 0x00 22. "BT[2],Mismatch" "Match,Mismatch" bitfld.long 0x00 21. 23. "BT[31],Base type" "Address,Context ID,VMID,VMID and context ID" bitfld.long 0x00 20. "BT[0],Enable linking" "Disabled,Enabled" newline bitfld.long 0x00 16.--19. "LBRPN,Linked BRP number" "0,1,2,3,?..." bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..." bitfld.long 0x00 13. "HMC,Hyp mode control" "Not matched,Matched" newline bitfld.long 0x0 5.--8. "BAS,Byte address select" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111" bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode" bitfld.long 0x00 0. "BE,Breakpoint enable" "Disabled,Enabled" tree.end tree.end tree "Watchpoint Control Registers" tree "Watchpoint 0" group.long c14:(0x0600+0x0)++0x00 line.long 0x00 "DBGWVR0,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "DA,Data address" group.long c14:(0x0700+0x0)++0x00 line.long 0x00 "DBGWCR0,Watchpoint Control Register" bitfld.long 0x0 24.--28. "MASK,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. "WT,Watchpoint Type" "Unlinked,Linked" bitfld.long 0x0 16.--19. "LBN,Linked BRP number" "BRP0,BRP1,BRP2,BRP3,BRP4,BRP5,?..." newline bitfld.long 0x0 14.--15. "SSC,Secure state control" "Both,Non-secure,Secure,?..." bitfld.long 0x0 13. "HMC,Hyp Mode Control" "Disabled,Enabled" hexmask.long.byte 0x0 5.--12. "BAS,Byte address select" newline bitfld.long 0x0 3.--4. "LSC,Load/Store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. "PAC,Privileged Access control" "Reserved,Match privileged accesses,Match unprivileged accesses,Match all accesses" bitfld.long 0x0 0. "WE,Watchpoint enable" "Disabled,Enabled" tree.end tree "Watchpoint 1" group.long c14:(0x0600+0x10)++0x00 line.long 0x00 "DBGWVR1,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "DA,Data address" group.long c14:(0x0700+0x10)++0x00 line.long 0x00 "DBGWCR1,Watchpoint Control Register" bitfld.long 0x0 24.--28. "MASK,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. "WT,Watchpoint Type" "Unlinked,Linked" bitfld.long 0x0 16.--19. "LBN,Linked BRP number" "BRP0,BRP1,BRP2,BRP3,BRP4,BRP5,?..." newline bitfld.long 0x0 14.--15. "SSC,Secure state control" "Both,Non-secure,Secure,?..." bitfld.long 0x0 13. "HMC,Hyp Mode Control" "Disabled,Enabled" hexmask.long.byte 0x0 5.--12. "BAS,Byte address select" newline bitfld.long 0x0 3.--4. "LSC,Load/Store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. "PAC,Privileged Access control" "Reserved,Match privileged accesses,Match unprivileged accesses,Match all accesses" bitfld.long 0x0 0. "WE,Watchpoint enable" "Disabled,Enabled" tree.end tree "Watchpoint 2" group.long c14:(0x0600+0x20)++0x00 line.long 0x00 "DBGWVR2,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "DA,Data address" group.long c14:(0x0700+0x20)++0x00 line.long 0x00 "DBGWCR2,Watchpoint Control Register" bitfld.long 0x0 24.--28. "MASK,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. "WT,Watchpoint Type" "Unlinked,Linked" bitfld.long 0x0 16.--19. "LBN,Linked BRP number" "BRP0,BRP1,BRP2,BRP3,BRP4,BRP5,?..." newline bitfld.long 0x0 14.--15. "SSC,Secure state control" "Both,Non-secure,Secure,?..." bitfld.long 0x0 13. "HMC,Hyp Mode Control" "Disabled,Enabled" hexmask.long.byte 0x0 5.--12. "BAS,Byte address select" newline bitfld.long 0x0 3.--4. "LSC,Load/Store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. "PAC,Privileged Access control" "Reserved,Match privileged accesses,Match unprivileged accesses,Match all accesses" bitfld.long 0x0 0. "WE,Watchpoint enable" "Disabled,Enabled" tree.end tree "Watchpoint 3" group.long c14:(0x0600+0x30)++0x00 line.long 0x00 "DBGWVR3,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 "DA,Data address" group.long c14:(0x0700+0x30)++0x00 line.long 0x00 "DBGWCR3,Watchpoint Control Register" bitfld.long 0x0 24.--28. "MASK,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. "WT,Watchpoint Type" "Unlinked,Linked" bitfld.long 0x0 16.--19. "LBN,Linked BRP number" "BRP0,BRP1,BRP2,BRP3,BRP4,BRP5,?..." newline bitfld.long 0x0 14.--15. "SSC,Secure state control" "Both,Non-secure,Secure,?..." bitfld.long 0x0 13. "HMC,Hyp Mode Control" "Disabled,Enabled" hexmask.long.byte 0x0 5.--12. "BAS,Byte address select" newline bitfld.long 0x0 3.--4. "LSC,Load/Store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. "PAC,Privileged Access control" "Reserved,Match privileged accesses,Match unprivileged accesses,Match all accesses" bitfld.long 0x0 0. "WE,Watchpoint enable" "Disabled,Enabled" tree.end tree.end tree.end AUTOINDENT.OFF tree.open "Interrupt Controller (GIC-500)" base COMP.BASE("GICD",-1.) width 17. tree "Distributor Interface" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.))) group.long 0x0000++0x03 line.long 0x00 "GICD_CTLR,Distributor Control Register (Secure access)" rbitfld.long 0x00 31. " RWP ,Register Write Pending. Indicates whether a register write is in progress or not" "Not pending,Pending" bitfld.long 0x00 7. " E1NWF ,Enable 1 of N Wakeup Functionality" "Disabled,Enabled" bitfld.long 0x00 6. " DS ,Disable Security" "No,Yes" textline " " bitfld.long 0x00 5. " ARE_NS ,Affinity Routing Enable" "Disabled,Enabled" bitfld.long 0x00 4. " ARE_S ,Affinity Routing Enable" "Disabled,Enabled" bitfld.long 0x00 2. " ENABLEGRP1S ,Enable Secure Group 1 interrupts" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " ENABLEGRP1NS ,Enable Secure Group 1 interrupts" "Disabled,Enabled" bitfld.long 0x00 0. " ENABLEGRP0 ,Enable Group 0 interrupts" "Disabled,Enabled" elif (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400) group.long 0x0000++0x03 line.long 0x00 "GICD_CTLR,Distributor Control Register (Non-secure access)" rbitfld.long 0x00 31. " RWP ,Register Write Pending. Indicates whether a register write is in progress or not" "Not pending,Pending" bitfld.long 0x00 7. " E1NWF ,Enable 1 of N Wakeup Functionality" "Disabled,Enabled" bitfld.long 0x00 4. " ARE_NS ,Affinity Routing Enable" "Reserved,Enabled" textline " " bitfld.long 0x00 1. " ENABLEGRP1A ,Enable Group 1 interrupts" "Disabled,Enabled" bitfld.long 0x00 0. " ENABLEGRP1 ,Enable Group 1 interrupts" "Disabled,Enabled" else group.long 0x0000++0x03 line.long 0x00 "GICD_CTLR,Distributor Control Register" rbitfld.long 0x00 31. " RWP ,Register Write Pending. Indicates whether a register write is in progress or not" "Not pending,Pending" bitfld.long 0x00 7. " E1NWF ,Enable 1 of N Wakeup Functionality" "Disabled,Enabled" rbitfld.long 0x00 6. " DS ,Disable Security" "Reserved,Yes" textline " " bitfld.long 0x00 4. " ARE ,Affinity Routing Enable" "Reserved,Enabled" bitfld.long 0x00 1. " ENABLEGRP1 ,Enable Group 1 interrupts" "Disabled,Enabled" bitfld.long 0x00 0. " ENABLEGRP0 ,Enable Group 0 interrupts" "Disabled,Enabled" endif rgroup.long 0x0004++0x03 line.long 0x00 "GICD_TYPER,Interrupt Controller Type Register" bitfld.long 0x00 25. " NO1N ,Indicates whether 1 of N SPI interrupts are supported" "Supported,Not supported" bitfld.long 0x00 24. " A3V ,Indicates whether the Distributor supports nonzero values of Affinity level 3" "Not supported,Supported" bitfld.long 0x00 19.--23. " IDBITS ,The number of interrupt identifier bits supported" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." textline " " bitfld.long 0x00 17. " LPIS ,Indicates whether the implementation supports LPIs" "Not supported,Supported" bitfld.long 0x00 16. " MBIS ,Indicates whether the implementation supports message-based interrupts by writing to Distributor registers" "Not supported,Supported" bitfld.long 0x00 10. " SECURITYEXTN ,Indicates whether interrupt controller implements the security extensions" "Not implemented,Implemented" textline " " bitfld.long 0x00 5.--7. " CPUNUMBER ,Reports the number of PEs that can be used when affinity routing is not enabled" "1,2,3,4,5,6,7,8" bitfld.long 0x00 0.--4. " ITLN ,Indicates the maximum SPI INTID that the GIC implementation supports" "Up to 32,Up to 64,Up to 96,Up to 128,Up to 160,Up to 192,Up to 224,Up to 256,Up to 288,Up to 320,Up to 352,Up to 384,Up to 416,Up to 448,Up to 480,Up to 512,Up to 544,Up to 576,Up to 608,Up to 640,Up to 672,Up to 704,Up to 736,Up to 768,Up to 800,Up to 832,Up to 864,Up to 896,Up to 928,Up to 960,Up to 992,Reserved" rgroup.long 0x0008++0x03 line.long 0x00 "GICD_IIDR,Distributor Implementer Identification Register" bitfld.long 0x00 24.--31. " PRODID ,Indicates the product ID" "GIC-500,?..." bitfld.long 0x00 16.--19. " VAR ,Major revison number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 12.--15. " REV ,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x10000)==0x10000) wgroup.long 0x40++0x03 line.long 0x00 "GICD_SETSPI_NSR,Non-secure SPI Set Register" hexmask.long.word 0x00 0.--9. 1. " INTID ,The INTID of the SPI" wgroup.long 0x48++0x03 line.long 0x00 "GICD_CLRSPI_NSR,Non-secure SPI Clear Register" hexmask.long.word 0x00 0.--9. 1. " INTID ,The INTID of the SPI" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x50)) wgroup.long 0x50++0x03 line.long 0x00 "GICD_SETSPI_SR,Secure SPI Set Register (Secure access)" hexmask.long.word 0x00 0.--9. 1. " INTID ,The INTID of the SPI" else hgroup.long 0x50++0x03 hide.long 0x00 "GICD_SETSPI_SR,Secure SPI Set Register (Non-secure access)" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x58)) wgroup.long 0x58++0x03 line.long 0x00 "GICD_CLRSPI_SR,Secure SPI Clear Register (Secure access)" hexmask.long.word 0x00 0.--9. 1. " INTID ,The INTID of the SPI" else hgroup.long 0x58++0x03 hide.long 0x00 "GICD_CLRSPI_SR,Secure SPI Clear Register (Non-secure access)" endif else hgroup.long 0x40++0x03 hide.long 0x00 "GICD_SETSPI_NSR,Non-secure SPI Set Register" hgroup.long 0x48++0x03 hide.long 0x00 "GICD_CLRSPI_NSR,Non-secure SPI Clear Register" hgroup.long 0x50++0x03 hide.long 0x00 "GICD_SETSPI_SR,Secure SPI Set Register" hgroup.long 0x58++0x03 hide.long 0x00 "GICD_CLRSPI_SR,Secure SPI Clear Register" endif width 17. tree "Group Registers" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0080)) group.long 0x0080++0x03 line.long 0x0 "GICD_IGROUPR0,Interrupt Group Register 0 (Secure Access)" bitfld.long 0x00 31. " GSB31 ,Group Status Bit 31" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB30 ,Group Status Bit 30" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB29 ,Group Status Bit 29" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB28 ,Group Status Bit 28" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB27 ,Group Status Bit 27" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB26 ,Group Status Bit 26" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB25 ,Group Status Bit 25" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB24 ,Group Status Bit 24" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB23 ,Group Status Bit 23" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB22 ,Group Status Bit 22" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB21 ,Group Status Bit 21" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB20 ,Group Status Bit 20" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB19 ,Group Status Bit 19" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB18 ,Group Status Bit 18" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB17 ,Group Status Bit 17" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB16 ,Group Status Bit 16" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB15 ,Group Status Bit 15" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB14 ,Group Status Bit 14" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB13 ,Group Status Bit 13" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB12 ,Group Status Bit 12" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB11 ,Group Status Bit 11" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB10 ,Group Status Bit 10" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB9 ,Group Status Bit 9" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB8 ,Group Status Bit 8" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB7 ,Group Status Bit 7" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB6 ,Group Status Bit 6" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB5 ,Group Status Bit 5" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB4 ,Group Status Bit 4" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB3 ,Group Status Bit 3" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB2 ,Group Status Bit 2" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB1 ,Group Status Bit 1" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB0 ,Group Status Bit 0" "Secure,Non-secure Group 1" elif (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00) group.long 0x0080++0x03 line.long 0x0 "GICD_IGROUPR0,Interrupt Group Register 0" bitfld.long 0x00 31. " GSB31 ,Group Status Bit 31" "Group 0,Group 1" bitfld.long 0x00 30. " GSB30 ,Group Status Bit 30" "Group 0,Group 1" bitfld.long 0x00 29. " GSB29 ,Group Status Bit 29" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB28 ,Group Status Bit 28" "Group 0,Group 1" bitfld.long 0x00 27. " GSB27 ,Group Status Bit 27" "Group 0,Group 1" bitfld.long 0x00 26. " GSB26 ,Group Status Bit 26" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB25 ,Group Status Bit 25" "Group 0,Group 1" bitfld.long 0x00 24. " GSB24 ,Group Status Bit 24" "Group 0,Group 1" bitfld.long 0x00 23. " GSB23 ,Group Status Bit 23" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB22 ,Group Status Bit 22" "Group 0,Group 1" bitfld.long 0x00 21. " GSB21 ,Group Status Bit 21" "Group 0,Group 1" bitfld.long 0x00 20. " GSB20 ,Group Status Bit 20" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB19 ,Group Status Bit 19" "Group 0,Group 1" bitfld.long 0x00 18. " GSB18 ,Group Status Bit 18" "Group 0,Group 1" bitfld.long 0x00 17. " GSB17 ,Group Status Bit 17" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB16 ,Group Status Bit 16" "Group 0,Group 1" bitfld.long 0x00 15. " GSB15 ,Group Status Bit 15" "Group 0,Group 1" bitfld.long 0x00 14. " GSB14 ,Group Status Bit 14" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB13 ,Group Status Bit 13" "Group 0,Group 1" bitfld.long 0x00 12. " GSB12 ,Group Status Bit 12" "Group 0,Group 1" bitfld.long 0x00 11. " GSB11 ,Group Status Bit 11" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB10 ,Group Status Bit 10" "Group 0,Group 1" bitfld.long 0x00 9. " GSB9 ,Group Status Bit 9" "Group 0,Group 1" bitfld.long 0x00 8. " GSB8 ,Group Status Bit 8" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB7 ,Group Status Bit 7" "Group 0,Group 1" bitfld.long 0x00 6. " GSB6 ,Group Status Bit 6" "Group 0,Group 1" bitfld.long 0x00 5. " GSB5 ,Group Status Bit 5" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB4 ,Group Status Bit 4" "Group 0,Group 1" bitfld.long 0x00 3. " GSB3 ,Group Status Bit 3" "Group 0,Group 1" bitfld.long 0x00 2. " GSB2 ,Group Status Bit 2" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB1 ,Group Status Bit 1" "Group 0,Group 1" bitfld.long 0x00 0. " GSB0 ,Group Status Bit 0" "Group 0,Group 1" else hgroup.long 0x0080++0x03 hide.long 0x0 "GICD_IGROUPR0,Interrupt Group Register 0 (Non-secure access)" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x84))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1)) group.long 0x0084++0x03 line.long 0x0 "GICD_IGROUPR1 ,Interrupt Group Register 1 (Secure Access)" bitfld.long 0x00 31. " GSB63 ,Group Status Bit 63" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB62 ,Group Status Bit 62" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB61 ,Group Status Bit 61" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB60 ,Group Status Bit 60" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB59 ,Group Status Bit 59" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB58 ,Group Status Bit 58" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB57 ,Group Status Bit 57" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB56 ,Group Status Bit 56" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB55 ,Group Status Bit 55" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB54 ,Group Status Bit 54" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB53 ,Group Status Bit 53" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB52 ,Group Status Bit 52" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB51 ,Group Status Bit 51" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB50 ,Group Status Bit 50" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB49 ,Group Status Bit 49" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB48 ,Group Status Bit 48" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB47 ,Group Status Bit 47" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB46 ,Group Status Bit 46" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB45 ,Group Status Bit 45" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB44 ,Group Status Bit 44" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB43 ,Group Status Bit 43" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB42 ,Group Status Bit 42" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB41 ,Group Status Bit 41" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB40 ,Group Status Bit 40" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB39 ,Group Status Bit 39" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB38 ,Group Status Bit 38" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB37 ,Group Status Bit 37" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB36 ,Group Status Bit 36" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB35 ,Group Status Bit 35" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB34 ,Group Status Bit 34" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB33 ,Group Status Bit 33" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB32 ,Group Status Bit 32" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1)) group.long 0x0084++0x03 line.long 0x0 "GICD_IGROUPR1 ,Interrupt Group Register 1 " bitfld.long 0x00 31. " GSB63 ,Group Status Bit 63" "Group 0,Group 1" bitfld.long 0x00 30. " GSB62 ,Group Status Bit 62" "Group 0,Group 1" bitfld.long 0x00 29. " GSB61 ,Group Status Bit 61" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB60 ,Group Status Bit 60" "Group 0,Group 1" bitfld.long 0x00 27. " GSB59 ,Group Status Bit 59" "Group 0,Group 1" bitfld.long 0x00 26. " GSB58 ,Group Status Bit 58" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB57 ,Group Status Bit 57" "Group 0,Group 1" bitfld.long 0x00 24. " GSB56 ,Group Status Bit 56" "Group 0,Group 1" bitfld.long 0x00 23. " GSB55 ,Group Status Bit 55" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB54 ,Group Status Bit 54" "Group 0,Group 1" bitfld.long 0x00 21. " GSB53 ,Group Status Bit 53" "Group 0,Group 1" bitfld.long 0x00 20. " GSB52 ,Group Status Bit 52" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB51 ,Group Status Bit 51" "Group 0,Group 1" bitfld.long 0x00 18. " GSB50 ,Group Status Bit 50" "Group 0,Group 1" bitfld.long 0x00 17. " GSB49 ,Group Status Bit 49" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB48 ,Group Status Bit 48" "Group 0,Group 1" bitfld.long 0x00 15. " GSB47 ,Group Status Bit 47" "Group 0,Group 1" bitfld.long 0x00 14. " GSB46 ,Group Status Bit 46" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB45 ,Group Status Bit 45" "Group 0,Group 1" bitfld.long 0x00 12. " GSB44 ,Group Status Bit 44" "Group 0,Group 1" bitfld.long 0x00 11. " GSB43 ,Group Status Bit 43" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB42 ,Group Status Bit 42" "Group 0,Group 1" bitfld.long 0x00 9. " GSB41 ,Group Status Bit 41" "Group 0,Group 1" bitfld.long 0x00 8. " GSB40 ,Group Status Bit 40" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB39 ,Group Status Bit 39" "Group 0,Group 1" bitfld.long 0x00 6. " GSB38 ,Group Status Bit 38" "Group 0,Group 1" bitfld.long 0x00 5. " GSB37 ,Group Status Bit 37" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB36 ,Group Status Bit 36" "Group 0,Group 1" bitfld.long 0x00 3. " GSB35 ,Group Status Bit 35" "Group 0,Group 1" bitfld.long 0x00 2. " GSB34 ,Group Status Bit 34" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB33 ,Group Status Bit 33" "Group 0,Group 1" bitfld.long 0x00 0. " GSB32 ,Group Status Bit 32" "Group 0,Group 1" else hgroup.long 0x0084++0x03 hide.long 0x0 "GICD_IGROUPR1 ,Interrupt Group Register 1 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x88))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x2)) group.long 0x0088++0x03 line.long 0x0 "GICD_IGROUPR2 ,Interrupt Group Register 2 (Secure Access)" bitfld.long 0x00 31. " GSB95 ,Group Status Bit 95" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB94 ,Group Status Bit 94" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB93 ,Group Status Bit 93" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB92 ,Group Status Bit 92" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB91 ,Group Status Bit 91" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB90 ,Group Status Bit 90" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB89 ,Group Status Bit 89" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB88 ,Group Status Bit 88" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB87 ,Group Status Bit 87" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB86 ,Group Status Bit 86" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB85 ,Group Status Bit 85" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB84 ,Group Status Bit 84" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB83 ,Group Status Bit 83" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB82 ,Group Status Bit 82" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB81 ,Group Status Bit 81" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB80 ,Group Status Bit 80" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB79 ,Group Status Bit 79" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB78 ,Group Status Bit 78" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB77 ,Group Status Bit 77" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB76 ,Group Status Bit 76" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB75 ,Group Status Bit 75" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB74 ,Group Status Bit 74" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB73 ,Group Status Bit 73" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB72 ,Group Status Bit 72" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB71 ,Group Status Bit 71" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB70 ,Group Status Bit 70" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB69 ,Group Status Bit 69" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB68 ,Group Status Bit 68" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB67 ,Group Status Bit 67" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB66 ,Group Status Bit 66" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB65 ,Group Status Bit 65" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB64 ,Group Status Bit 64" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x2)) group.long 0x0088++0x03 line.long 0x0 "GICD_IGROUPR2 ,Interrupt Group Register 2 " bitfld.long 0x00 31. " GSB95 ,Group Status Bit 95" "Group 0,Group 1" bitfld.long 0x00 30. " GSB94 ,Group Status Bit 94" "Group 0,Group 1" bitfld.long 0x00 29. " GSB93 ,Group Status Bit 93" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB92 ,Group Status Bit 92" "Group 0,Group 1" bitfld.long 0x00 27. " GSB91 ,Group Status Bit 91" "Group 0,Group 1" bitfld.long 0x00 26. " GSB90 ,Group Status Bit 90" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB89 ,Group Status Bit 89" "Group 0,Group 1" bitfld.long 0x00 24. " GSB88 ,Group Status Bit 88" "Group 0,Group 1" bitfld.long 0x00 23. " GSB87 ,Group Status Bit 87" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB86 ,Group Status Bit 86" "Group 0,Group 1" bitfld.long 0x00 21. " GSB85 ,Group Status Bit 85" "Group 0,Group 1" bitfld.long 0x00 20. " GSB84 ,Group Status Bit 84" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB83 ,Group Status Bit 83" "Group 0,Group 1" bitfld.long 0x00 18. " GSB82 ,Group Status Bit 82" "Group 0,Group 1" bitfld.long 0x00 17. " GSB81 ,Group Status Bit 81" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB80 ,Group Status Bit 80" "Group 0,Group 1" bitfld.long 0x00 15. " GSB79 ,Group Status Bit 79" "Group 0,Group 1" bitfld.long 0x00 14. " GSB78 ,Group Status Bit 78" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB77 ,Group Status Bit 77" "Group 0,Group 1" bitfld.long 0x00 12. " GSB76 ,Group Status Bit 76" "Group 0,Group 1" bitfld.long 0x00 11. " GSB75 ,Group Status Bit 75" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB74 ,Group Status Bit 74" "Group 0,Group 1" bitfld.long 0x00 9. " GSB73 ,Group Status Bit 73" "Group 0,Group 1" bitfld.long 0x00 8. " GSB72 ,Group Status Bit 72" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB71 ,Group Status Bit 71" "Group 0,Group 1" bitfld.long 0x00 6. " GSB70 ,Group Status Bit 70" "Group 0,Group 1" bitfld.long 0x00 5. " GSB69 ,Group Status Bit 69" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB68 ,Group Status Bit 68" "Group 0,Group 1" bitfld.long 0x00 3. " GSB67 ,Group Status Bit 67" "Group 0,Group 1" bitfld.long 0x00 2. " GSB66 ,Group Status Bit 66" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB65 ,Group Status Bit 65" "Group 0,Group 1" bitfld.long 0x00 0. " GSB64 ,Group Status Bit 64" "Group 0,Group 1" else hgroup.long 0x0088++0x03 hide.long 0x0 "GICD_IGROUPR2 ,Interrupt Group Register 2 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x8C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x3)) group.long 0x008C++0x03 line.long 0x0 "GICD_IGROUPR3 ,Interrupt Group Register 3 (Secure Access)" bitfld.long 0x00 31. " GSB127 ,Group Status Bit 127" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB126 ,Group Status Bit 126" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB125 ,Group Status Bit 125" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB124 ,Group Status Bit 124" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB123 ,Group Status Bit 123" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB122 ,Group Status Bit 122" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB121 ,Group Status Bit 121" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB120 ,Group Status Bit 120" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB119 ,Group Status Bit 119" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB118 ,Group Status Bit 118" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB117 ,Group Status Bit 117" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB116 ,Group Status Bit 116" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB115 ,Group Status Bit 115" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB114 ,Group Status Bit 114" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB113 ,Group Status Bit 113" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB112 ,Group Status Bit 112" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB111 ,Group Status Bit 111" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB110 ,Group Status Bit 110" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB109 ,Group Status Bit 109" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB108 ,Group Status Bit 108" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB107 ,Group Status Bit 107" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB106 ,Group Status Bit 106" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB105 ,Group Status Bit 105" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB104 ,Group Status Bit 104" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB103 ,Group Status Bit 103" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB102 ,Group Status Bit 102" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB101 ,Group Status Bit 101" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB100 ,Group Status Bit 100" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB99 ,Group Status Bit 99" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB98 ,Group Status Bit 98" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB97 ,Group Status Bit 97" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB96 ,Group Status Bit 96" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x3)) group.long 0x008C++0x03 line.long 0x0 "GICD_IGROUPR3 ,Interrupt Group Register 3 " bitfld.long 0x00 31. " GSB127 ,Group Status Bit 127" "Group 0,Group 1" bitfld.long 0x00 30. " GSB126 ,Group Status Bit 126" "Group 0,Group 1" bitfld.long 0x00 29. " GSB125 ,Group Status Bit 125" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB124 ,Group Status Bit 124" "Group 0,Group 1" bitfld.long 0x00 27. " GSB123 ,Group Status Bit 123" "Group 0,Group 1" bitfld.long 0x00 26. " GSB122 ,Group Status Bit 122" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB121 ,Group Status Bit 121" "Group 0,Group 1" bitfld.long 0x00 24. " GSB120 ,Group Status Bit 120" "Group 0,Group 1" bitfld.long 0x00 23. " GSB119 ,Group Status Bit 119" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB118 ,Group Status Bit 118" "Group 0,Group 1" bitfld.long 0x00 21. " GSB117 ,Group Status Bit 117" "Group 0,Group 1" bitfld.long 0x00 20. " GSB116 ,Group Status Bit 116" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB115 ,Group Status Bit 115" "Group 0,Group 1" bitfld.long 0x00 18. " GSB114 ,Group Status Bit 114" "Group 0,Group 1" bitfld.long 0x00 17. " GSB113 ,Group Status Bit 113" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB112 ,Group Status Bit 112" "Group 0,Group 1" bitfld.long 0x00 15. " GSB111 ,Group Status Bit 111" "Group 0,Group 1" bitfld.long 0x00 14. " GSB110 ,Group Status Bit 110" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB109 ,Group Status Bit 109" "Group 0,Group 1" bitfld.long 0x00 12. " GSB108 ,Group Status Bit 108" "Group 0,Group 1" bitfld.long 0x00 11. " GSB107 ,Group Status Bit 107" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB106 ,Group Status Bit 106" "Group 0,Group 1" bitfld.long 0x00 9. " GSB105 ,Group Status Bit 105" "Group 0,Group 1" bitfld.long 0x00 8. " GSB104 ,Group Status Bit 104" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB103 ,Group Status Bit 103" "Group 0,Group 1" bitfld.long 0x00 6. " GSB102 ,Group Status Bit 102" "Group 0,Group 1" bitfld.long 0x00 5. " GSB101 ,Group Status Bit 101" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB100 ,Group Status Bit 100" "Group 0,Group 1" bitfld.long 0x00 3. " GSB99 ,Group Status Bit 99" "Group 0,Group 1" bitfld.long 0x00 2. " GSB98 ,Group Status Bit 98" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB97 ,Group Status Bit 97" "Group 0,Group 1" bitfld.long 0x00 0. " GSB96 ,Group Status Bit 96" "Group 0,Group 1" else hgroup.long 0x008C++0x03 hide.long 0x0 "GICD_IGROUPR3 ,Interrupt Group Register 3 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x90))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x4)) group.long 0x0090++0x03 line.long 0x0 "GICD_IGROUPR4 ,Interrupt Group Register 4 (Secure Access)" bitfld.long 0x00 31. " GSB159 ,Group Status Bit 159" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB158 ,Group Status Bit 158" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB157 ,Group Status Bit 157" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB156 ,Group Status Bit 156" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB155 ,Group Status Bit 155" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB154 ,Group Status Bit 154" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB153 ,Group Status Bit 153" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB152 ,Group Status Bit 152" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB151 ,Group Status Bit 151" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB150 ,Group Status Bit 150" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB149 ,Group Status Bit 149" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB148 ,Group Status Bit 148" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB147 ,Group Status Bit 147" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB146 ,Group Status Bit 146" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB145 ,Group Status Bit 145" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB144 ,Group Status Bit 144" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB143 ,Group Status Bit 143" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB142 ,Group Status Bit 142" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB141 ,Group Status Bit 141" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB140 ,Group Status Bit 140" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB139 ,Group Status Bit 139" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB138 ,Group Status Bit 138" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB137 ,Group Status Bit 137" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB136 ,Group Status Bit 136" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB135 ,Group Status Bit 135" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB134 ,Group Status Bit 134" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB133 ,Group Status Bit 133" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB132 ,Group Status Bit 132" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB131 ,Group Status Bit 131" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB130 ,Group Status Bit 130" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB129 ,Group Status Bit 129" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB128 ,Group Status Bit 128" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x4)) group.long 0x0090++0x03 line.long 0x0 "GICD_IGROUPR4 ,Interrupt Group Register 4 " bitfld.long 0x00 31. " GSB159 ,Group Status Bit 159" "Group 0,Group 1" bitfld.long 0x00 30. " GSB158 ,Group Status Bit 158" "Group 0,Group 1" bitfld.long 0x00 29. " GSB157 ,Group Status Bit 157" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB156 ,Group Status Bit 156" "Group 0,Group 1" bitfld.long 0x00 27. " GSB155 ,Group Status Bit 155" "Group 0,Group 1" bitfld.long 0x00 26. " GSB154 ,Group Status Bit 154" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB153 ,Group Status Bit 153" "Group 0,Group 1" bitfld.long 0x00 24. " GSB152 ,Group Status Bit 152" "Group 0,Group 1" bitfld.long 0x00 23. " GSB151 ,Group Status Bit 151" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB150 ,Group Status Bit 150" "Group 0,Group 1" bitfld.long 0x00 21. " GSB149 ,Group Status Bit 149" "Group 0,Group 1" bitfld.long 0x00 20. " GSB148 ,Group Status Bit 148" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB147 ,Group Status Bit 147" "Group 0,Group 1" bitfld.long 0x00 18. " GSB146 ,Group Status Bit 146" "Group 0,Group 1" bitfld.long 0x00 17. " GSB145 ,Group Status Bit 145" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB144 ,Group Status Bit 144" "Group 0,Group 1" bitfld.long 0x00 15. " GSB143 ,Group Status Bit 143" "Group 0,Group 1" bitfld.long 0x00 14. " GSB142 ,Group Status Bit 142" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB141 ,Group Status Bit 141" "Group 0,Group 1" bitfld.long 0x00 12. " GSB140 ,Group Status Bit 140" "Group 0,Group 1" bitfld.long 0x00 11. " GSB139 ,Group Status Bit 139" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB138 ,Group Status Bit 138" "Group 0,Group 1" bitfld.long 0x00 9. " GSB137 ,Group Status Bit 137" "Group 0,Group 1" bitfld.long 0x00 8. " GSB136 ,Group Status Bit 136" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB135 ,Group Status Bit 135" "Group 0,Group 1" bitfld.long 0x00 6. " GSB134 ,Group Status Bit 134" "Group 0,Group 1" bitfld.long 0x00 5. " GSB133 ,Group Status Bit 133" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB132 ,Group Status Bit 132" "Group 0,Group 1" bitfld.long 0x00 3. " GSB131 ,Group Status Bit 131" "Group 0,Group 1" bitfld.long 0x00 2. " GSB130 ,Group Status Bit 130" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB129 ,Group Status Bit 129" "Group 0,Group 1" bitfld.long 0x00 0. " GSB128 ,Group Status Bit 128" "Group 0,Group 1" else hgroup.long 0x0090++0x03 hide.long 0x0 "GICD_IGROUPR4 ,Interrupt Group Register 4 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x94))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x5)) group.long 0x0094++0x03 line.long 0x0 "GICD_IGROUPR5 ,Interrupt Group Register 5 (Secure Access)" bitfld.long 0x00 31. " GSB191 ,Group Status Bit 191" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB190 ,Group Status Bit 190" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB189 ,Group Status Bit 189" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB188 ,Group Status Bit 188" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB187 ,Group Status Bit 187" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB186 ,Group Status Bit 186" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB185 ,Group Status Bit 185" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB184 ,Group Status Bit 184" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB183 ,Group Status Bit 183" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB182 ,Group Status Bit 182" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB181 ,Group Status Bit 181" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB180 ,Group Status Bit 180" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB179 ,Group Status Bit 179" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB178 ,Group Status Bit 178" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB177 ,Group Status Bit 177" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB176 ,Group Status Bit 176" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB175 ,Group Status Bit 175" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB174 ,Group Status Bit 174" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB173 ,Group Status Bit 173" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB172 ,Group Status Bit 172" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB171 ,Group Status Bit 171" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB170 ,Group Status Bit 170" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB169 ,Group Status Bit 169" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB168 ,Group Status Bit 168" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB167 ,Group Status Bit 167" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB166 ,Group Status Bit 166" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB165 ,Group Status Bit 165" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB164 ,Group Status Bit 164" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB163 ,Group Status Bit 163" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB162 ,Group Status Bit 162" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB161 ,Group Status Bit 161" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB160 ,Group Status Bit 160" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x5)) group.long 0x0094++0x03 line.long 0x0 "GICD_IGROUPR5 ,Interrupt Group Register 5 " bitfld.long 0x00 31. " GSB191 ,Group Status Bit 191" "Group 0,Group 1" bitfld.long 0x00 30. " GSB190 ,Group Status Bit 190" "Group 0,Group 1" bitfld.long 0x00 29. " GSB189 ,Group Status Bit 189" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB188 ,Group Status Bit 188" "Group 0,Group 1" bitfld.long 0x00 27. " GSB187 ,Group Status Bit 187" "Group 0,Group 1" bitfld.long 0x00 26. " GSB186 ,Group Status Bit 186" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB185 ,Group Status Bit 185" "Group 0,Group 1" bitfld.long 0x00 24. " GSB184 ,Group Status Bit 184" "Group 0,Group 1" bitfld.long 0x00 23. " GSB183 ,Group Status Bit 183" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB182 ,Group Status Bit 182" "Group 0,Group 1" bitfld.long 0x00 21. " GSB181 ,Group Status Bit 181" "Group 0,Group 1" bitfld.long 0x00 20. " GSB180 ,Group Status Bit 180" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB179 ,Group Status Bit 179" "Group 0,Group 1" bitfld.long 0x00 18. " GSB178 ,Group Status Bit 178" "Group 0,Group 1" bitfld.long 0x00 17. " GSB177 ,Group Status Bit 177" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB176 ,Group Status Bit 176" "Group 0,Group 1" bitfld.long 0x00 15. " GSB175 ,Group Status Bit 175" "Group 0,Group 1" bitfld.long 0x00 14. " GSB174 ,Group Status Bit 174" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB173 ,Group Status Bit 173" "Group 0,Group 1" bitfld.long 0x00 12. " GSB172 ,Group Status Bit 172" "Group 0,Group 1" bitfld.long 0x00 11. " GSB171 ,Group Status Bit 171" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB170 ,Group Status Bit 170" "Group 0,Group 1" bitfld.long 0x00 9. " GSB169 ,Group Status Bit 169" "Group 0,Group 1" bitfld.long 0x00 8. " GSB168 ,Group Status Bit 168" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB167 ,Group Status Bit 167" "Group 0,Group 1" bitfld.long 0x00 6. " GSB166 ,Group Status Bit 166" "Group 0,Group 1" bitfld.long 0x00 5. " GSB165 ,Group Status Bit 165" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB164 ,Group Status Bit 164" "Group 0,Group 1" bitfld.long 0x00 3. " GSB163 ,Group Status Bit 163" "Group 0,Group 1" bitfld.long 0x00 2. " GSB162 ,Group Status Bit 162" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB161 ,Group Status Bit 161" "Group 0,Group 1" bitfld.long 0x00 0. " GSB160 ,Group Status Bit 160" "Group 0,Group 1" else hgroup.long 0x0094++0x03 hide.long 0x0 "GICD_IGROUPR5 ,Interrupt Group Register 5 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x98))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x6)) group.long 0x0098++0x03 line.long 0x0 "GICD_IGROUPR6 ,Interrupt Group Register 6 (Secure Access)" bitfld.long 0x00 31. " GSB223 ,Group Status Bit 223" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB222 ,Group Status Bit 222" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB221 ,Group Status Bit 221" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB220 ,Group Status Bit 220" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB219 ,Group Status Bit 219" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB218 ,Group Status Bit 218" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB217 ,Group Status Bit 217" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB216 ,Group Status Bit 216" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB215 ,Group Status Bit 215" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB214 ,Group Status Bit 214" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB213 ,Group Status Bit 213" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB212 ,Group Status Bit 212" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB211 ,Group Status Bit 211" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB210 ,Group Status Bit 210" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB209 ,Group Status Bit 209" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB208 ,Group Status Bit 208" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB207 ,Group Status Bit 207" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB206 ,Group Status Bit 206" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB205 ,Group Status Bit 205" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB204 ,Group Status Bit 204" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB203 ,Group Status Bit 203" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB202 ,Group Status Bit 202" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB201 ,Group Status Bit 201" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB200 ,Group Status Bit 200" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB199 ,Group Status Bit 199" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB198 ,Group Status Bit 198" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB197 ,Group Status Bit 197" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB196 ,Group Status Bit 196" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB195 ,Group Status Bit 195" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB194 ,Group Status Bit 194" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB193 ,Group Status Bit 193" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB192 ,Group Status Bit 192" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x6)) group.long 0x0098++0x03 line.long 0x0 "GICD_IGROUPR6 ,Interrupt Group Register 6 " bitfld.long 0x00 31. " GSB223 ,Group Status Bit 223" "Group 0,Group 1" bitfld.long 0x00 30. " GSB222 ,Group Status Bit 222" "Group 0,Group 1" bitfld.long 0x00 29. " GSB221 ,Group Status Bit 221" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB220 ,Group Status Bit 220" "Group 0,Group 1" bitfld.long 0x00 27. " GSB219 ,Group Status Bit 219" "Group 0,Group 1" bitfld.long 0x00 26. " GSB218 ,Group Status Bit 218" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB217 ,Group Status Bit 217" "Group 0,Group 1" bitfld.long 0x00 24. " GSB216 ,Group Status Bit 216" "Group 0,Group 1" bitfld.long 0x00 23. " GSB215 ,Group Status Bit 215" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB214 ,Group Status Bit 214" "Group 0,Group 1" bitfld.long 0x00 21. " GSB213 ,Group Status Bit 213" "Group 0,Group 1" bitfld.long 0x00 20. " GSB212 ,Group Status Bit 212" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB211 ,Group Status Bit 211" "Group 0,Group 1" bitfld.long 0x00 18. " GSB210 ,Group Status Bit 210" "Group 0,Group 1" bitfld.long 0x00 17. " GSB209 ,Group Status Bit 209" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB208 ,Group Status Bit 208" "Group 0,Group 1" bitfld.long 0x00 15. " GSB207 ,Group Status Bit 207" "Group 0,Group 1" bitfld.long 0x00 14. " GSB206 ,Group Status Bit 206" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB205 ,Group Status Bit 205" "Group 0,Group 1" bitfld.long 0x00 12. " GSB204 ,Group Status Bit 204" "Group 0,Group 1" bitfld.long 0x00 11. " GSB203 ,Group Status Bit 203" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB202 ,Group Status Bit 202" "Group 0,Group 1" bitfld.long 0x00 9. " GSB201 ,Group Status Bit 201" "Group 0,Group 1" bitfld.long 0x00 8. " GSB200 ,Group Status Bit 200" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB199 ,Group Status Bit 199" "Group 0,Group 1" bitfld.long 0x00 6. " GSB198 ,Group Status Bit 198" "Group 0,Group 1" bitfld.long 0x00 5. " GSB197 ,Group Status Bit 197" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB196 ,Group Status Bit 196" "Group 0,Group 1" bitfld.long 0x00 3. " GSB195 ,Group Status Bit 195" "Group 0,Group 1" bitfld.long 0x00 2. " GSB194 ,Group Status Bit 194" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB193 ,Group Status Bit 193" "Group 0,Group 1" bitfld.long 0x00 0. " GSB192 ,Group Status Bit 192" "Group 0,Group 1" else hgroup.long 0x0098++0x03 hide.long 0x0 "GICD_IGROUPR6 ,Interrupt Group Register 6 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x9C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x7)) group.long 0x009C++0x03 line.long 0x0 "GICD_IGROUPR7 ,Interrupt Group Register 7 (Secure Access)" bitfld.long 0x00 31. " GSB255 ,Group Status Bit 255" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB254 ,Group Status Bit 254" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB253 ,Group Status Bit 253" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB252 ,Group Status Bit 252" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB251 ,Group Status Bit 251" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB250 ,Group Status Bit 250" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB249 ,Group Status Bit 249" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB248 ,Group Status Bit 248" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB247 ,Group Status Bit 247" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB246 ,Group Status Bit 246" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB245 ,Group Status Bit 245" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB244 ,Group Status Bit 244" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB243 ,Group Status Bit 243" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB242 ,Group Status Bit 242" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB241 ,Group Status Bit 241" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB240 ,Group Status Bit 240" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB239 ,Group Status Bit 239" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB238 ,Group Status Bit 238" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB237 ,Group Status Bit 237" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB236 ,Group Status Bit 236" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB235 ,Group Status Bit 235" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB234 ,Group Status Bit 234" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB233 ,Group Status Bit 233" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB232 ,Group Status Bit 232" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB231 ,Group Status Bit 231" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB230 ,Group Status Bit 230" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB229 ,Group Status Bit 229" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB228 ,Group Status Bit 228" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB227 ,Group Status Bit 227" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB226 ,Group Status Bit 226" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB225 ,Group Status Bit 225" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB224 ,Group Status Bit 224" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x7)) group.long 0x009C++0x03 line.long 0x0 "GICD_IGROUPR7 ,Interrupt Group Register 7 " bitfld.long 0x00 31. " GSB255 ,Group Status Bit 255" "Group 0,Group 1" bitfld.long 0x00 30. " GSB254 ,Group Status Bit 254" "Group 0,Group 1" bitfld.long 0x00 29. " GSB253 ,Group Status Bit 253" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB252 ,Group Status Bit 252" "Group 0,Group 1" bitfld.long 0x00 27. " GSB251 ,Group Status Bit 251" "Group 0,Group 1" bitfld.long 0x00 26. " GSB250 ,Group Status Bit 250" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB249 ,Group Status Bit 249" "Group 0,Group 1" bitfld.long 0x00 24. " GSB248 ,Group Status Bit 248" "Group 0,Group 1" bitfld.long 0x00 23. " GSB247 ,Group Status Bit 247" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB246 ,Group Status Bit 246" "Group 0,Group 1" bitfld.long 0x00 21. " GSB245 ,Group Status Bit 245" "Group 0,Group 1" bitfld.long 0x00 20. " GSB244 ,Group Status Bit 244" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB243 ,Group Status Bit 243" "Group 0,Group 1" bitfld.long 0x00 18. " GSB242 ,Group Status Bit 242" "Group 0,Group 1" bitfld.long 0x00 17. " GSB241 ,Group Status Bit 241" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB240 ,Group Status Bit 240" "Group 0,Group 1" bitfld.long 0x00 15. " GSB239 ,Group Status Bit 239" "Group 0,Group 1" bitfld.long 0x00 14. " GSB238 ,Group Status Bit 238" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB237 ,Group Status Bit 237" "Group 0,Group 1" bitfld.long 0x00 12. " GSB236 ,Group Status Bit 236" "Group 0,Group 1" bitfld.long 0x00 11. " GSB235 ,Group Status Bit 235" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB234 ,Group Status Bit 234" "Group 0,Group 1" bitfld.long 0x00 9. " GSB233 ,Group Status Bit 233" "Group 0,Group 1" bitfld.long 0x00 8. " GSB232 ,Group Status Bit 232" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB231 ,Group Status Bit 231" "Group 0,Group 1" bitfld.long 0x00 6. " GSB230 ,Group Status Bit 230" "Group 0,Group 1" bitfld.long 0x00 5. " GSB229 ,Group Status Bit 229" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB228 ,Group Status Bit 228" "Group 0,Group 1" bitfld.long 0x00 3. " GSB227 ,Group Status Bit 227" "Group 0,Group 1" bitfld.long 0x00 2. " GSB226 ,Group Status Bit 226" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB225 ,Group Status Bit 225" "Group 0,Group 1" bitfld.long 0x00 0. " GSB224 ,Group Status Bit 224" "Group 0,Group 1" else hgroup.long 0x009C++0x03 hide.long 0x0 "GICD_IGROUPR7 ,Interrupt Group Register 7 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xA0))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x8)) group.long 0x00A0++0x03 line.long 0x0 "GICD_IGROUPR8 ,Interrupt Group Register 8 (Secure Access)" bitfld.long 0x00 31. " GSB287 ,Group Status Bit 287" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB286 ,Group Status Bit 286" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB285 ,Group Status Bit 285" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB284 ,Group Status Bit 284" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB283 ,Group Status Bit 283" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB282 ,Group Status Bit 282" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB281 ,Group Status Bit 281" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB280 ,Group Status Bit 280" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB279 ,Group Status Bit 279" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB278 ,Group Status Bit 278" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB277 ,Group Status Bit 277" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB276 ,Group Status Bit 276" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB275 ,Group Status Bit 275" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB274 ,Group Status Bit 274" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB273 ,Group Status Bit 273" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB272 ,Group Status Bit 272" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB271 ,Group Status Bit 271" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB270 ,Group Status Bit 270" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB269 ,Group Status Bit 269" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB268 ,Group Status Bit 268" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB267 ,Group Status Bit 267" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB266 ,Group Status Bit 266" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB265 ,Group Status Bit 265" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB264 ,Group Status Bit 264" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB263 ,Group Status Bit 263" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB262 ,Group Status Bit 262" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB261 ,Group Status Bit 261" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB260 ,Group Status Bit 260" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB259 ,Group Status Bit 259" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB258 ,Group Status Bit 258" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB257 ,Group Status Bit 257" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB256 ,Group Status Bit 256" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x8)) group.long 0x00A0++0x03 line.long 0x0 "GICD_IGROUPR8 ,Interrupt Group Register 8 " bitfld.long 0x00 31. " GSB287 ,Group Status Bit 287" "Group 0,Group 1" bitfld.long 0x00 30. " GSB286 ,Group Status Bit 286" "Group 0,Group 1" bitfld.long 0x00 29. " GSB285 ,Group Status Bit 285" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB284 ,Group Status Bit 284" "Group 0,Group 1" bitfld.long 0x00 27. " GSB283 ,Group Status Bit 283" "Group 0,Group 1" bitfld.long 0x00 26. " GSB282 ,Group Status Bit 282" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB281 ,Group Status Bit 281" "Group 0,Group 1" bitfld.long 0x00 24. " GSB280 ,Group Status Bit 280" "Group 0,Group 1" bitfld.long 0x00 23. " GSB279 ,Group Status Bit 279" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB278 ,Group Status Bit 278" "Group 0,Group 1" bitfld.long 0x00 21. " GSB277 ,Group Status Bit 277" "Group 0,Group 1" bitfld.long 0x00 20. " GSB276 ,Group Status Bit 276" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB275 ,Group Status Bit 275" "Group 0,Group 1" bitfld.long 0x00 18. " GSB274 ,Group Status Bit 274" "Group 0,Group 1" bitfld.long 0x00 17. " GSB273 ,Group Status Bit 273" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB272 ,Group Status Bit 272" "Group 0,Group 1" bitfld.long 0x00 15. " GSB271 ,Group Status Bit 271" "Group 0,Group 1" bitfld.long 0x00 14. " GSB270 ,Group Status Bit 270" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB269 ,Group Status Bit 269" "Group 0,Group 1" bitfld.long 0x00 12. " GSB268 ,Group Status Bit 268" "Group 0,Group 1" bitfld.long 0x00 11. " GSB267 ,Group Status Bit 267" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB266 ,Group Status Bit 266" "Group 0,Group 1" bitfld.long 0x00 9. " GSB265 ,Group Status Bit 265" "Group 0,Group 1" bitfld.long 0x00 8. " GSB264 ,Group Status Bit 264" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB263 ,Group Status Bit 263" "Group 0,Group 1" bitfld.long 0x00 6. " GSB262 ,Group Status Bit 262" "Group 0,Group 1" bitfld.long 0x00 5. " GSB261 ,Group Status Bit 261" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB260 ,Group Status Bit 260" "Group 0,Group 1" bitfld.long 0x00 3. " GSB259 ,Group Status Bit 259" "Group 0,Group 1" bitfld.long 0x00 2. " GSB258 ,Group Status Bit 258" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB257 ,Group Status Bit 257" "Group 0,Group 1" bitfld.long 0x00 0. " GSB256 ,Group Status Bit 256" "Group 0,Group 1" else hgroup.long 0x00A0++0x03 hide.long 0x0 "GICD_IGROUPR8 ,Interrupt Group Register 8 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xA4))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x9)) group.long 0x00A4++0x03 line.long 0x0 "GICD_IGROUPR9 ,Interrupt Group Register 9 (Secure Access)" bitfld.long 0x00 31. " GSB319 ,Group Status Bit 319" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB318 ,Group Status Bit 318" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB317 ,Group Status Bit 317" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB316 ,Group Status Bit 316" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB315 ,Group Status Bit 315" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB314 ,Group Status Bit 314" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB313 ,Group Status Bit 313" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB312 ,Group Status Bit 312" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB311 ,Group Status Bit 311" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB310 ,Group Status Bit 310" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB309 ,Group Status Bit 309" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB308 ,Group Status Bit 308" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB307 ,Group Status Bit 307" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB306 ,Group Status Bit 306" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB305 ,Group Status Bit 305" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB304 ,Group Status Bit 304" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB303 ,Group Status Bit 303" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB302 ,Group Status Bit 302" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB301 ,Group Status Bit 301" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB300 ,Group Status Bit 300" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB299 ,Group Status Bit 299" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB298 ,Group Status Bit 298" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB297 ,Group Status Bit 297" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB296 ,Group Status Bit 296" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB295 ,Group Status Bit 295" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB294 ,Group Status Bit 294" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB293 ,Group Status Bit 293" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB292 ,Group Status Bit 292" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB291 ,Group Status Bit 291" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB290 ,Group Status Bit 290" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB289 ,Group Status Bit 289" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB288 ,Group Status Bit 288" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x9)) group.long 0x00A4++0x03 line.long 0x0 "GICD_IGROUPR9 ,Interrupt Group Register 9 " bitfld.long 0x00 31. " GSB319 ,Group Status Bit 319" "Group 0,Group 1" bitfld.long 0x00 30. " GSB318 ,Group Status Bit 318" "Group 0,Group 1" bitfld.long 0x00 29. " GSB317 ,Group Status Bit 317" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB316 ,Group Status Bit 316" "Group 0,Group 1" bitfld.long 0x00 27. " GSB315 ,Group Status Bit 315" "Group 0,Group 1" bitfld.long 0x00 26. " GSB314 ,Group Status Bit 314" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB313 ,Group Status Bit 313" "Group 0,Group 1" bitfld.long 0x00 24. " GSB312 ,Group Status Bit 312" "Group 0,Group 1" bitfld.long 0x00 23. " GSB311 ,Group Status Bit 311" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB310 ,Group Status Bit 310" "Group 0,Group 1" bitfld.long 0x00 21. " GSB309 ,Group Status Bit 309" "Group 0,Group 1" bitfld.long 0x00 20. " GSB308 ,Group Status Bit 308" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB307 ,Group Status Bit 307" "Group 0,Group 1" bitfld.long 0x00 18. " GSB306 ,Group Status Bit 306" "Group 0,Group 1" bitfld.long 0x00 17. " GSB305 ,Group Status Bit 305" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB304 ,Group Status Bit 304" "Group 0,Group 1" bitfld.long 0x00 15. " GSB303 ,Group Status Bit 303" "Group 0,Group 1" bitfld.long 0x00 14. " GSB302 ,Group Status Bit 302" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB301 ,Group Status Bit 301" "Group 0,Group 1" bitfld.long 0x00 12. " GSB300 ,Group Status Bit 300" "Group 0,Group 1" bitfld.long 0x00 11. " GSB299 ,Group Status Bit 299" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB298 ,Group Status Bit 298" "Group 0,Group 1" bitfld.long 0x00 9. " GSB297 ,Group Status Bit 297" "Group 0,Group 1" bitfld.long 0x00 8. " GSB296 ,Group Status Bit 296" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB295 ,Group Status Bit 295" "Group 0,Group 1" bitfld.long 0x00 6. " GSB294 ,Group Status Bit 294" "Group 0,Group 1" bitfld.long 0x00 5. " GSB293 ,Group Status Bit 293" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB292 ,Group Status Bit 292" "Group 0,Group 1" bitfld.long 0x00 3. " GSB291 ,Group Status Bit 291" "Group 0,Group 1" bitfld.long 0x00 2. " GSB290 ,Group Status Bit 290" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB289 ,Group Status Bit 289" "Group 0,Group 1" bitfld.long 0x00 0. " GSB288 ,Group Status Bit 288" "Group 0,Group 1" else hgroup.long 0x00A4++0x03 hide.long 0x0 "GICD_IGROUPR9 ,Interrupt Group Register 9 " endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xA8))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xA)) group.long 0x00A8++0x03 line.long 0x0 "GICD_IGROUPR10,Interrupt Group Register 10 (Secure Access)" bitfld.long 0x00 31. " GSB351 ,Group Status Bit 351" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB350 ,Group Status Bit 350" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB349 ,Group Status Bit 349" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB348 ,Group Status Bit 348" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB347 ,Group Status Bit 347" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB346 ,Group Status Bit 346" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB345 ,Group Status Bit 345" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB344 ,Group Status Bit 344" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB343 ,Group Status Bit 343" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB342 ,Group Status Bit 342" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB341 ,Group Status Bit 341" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB340 ,Group Status Bit 340" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB339 ,Group Status Bit 339" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB338 ,Group Status Bit 338" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB337 ,Group Status Bit 337" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB336 ,Group Status Bit 336" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB335 ,Group Status Bit 335" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB334 ,Group Status Bit 334" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB333 ,Group Status Bit 333" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB332 ,Group Status Bit 332" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB331 ,Group Status Bit 331" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB330 ,Group Status Bit 330" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB329 ,Group Status Bit 329" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB328 ,Group Status Bit 328" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB327 ,Group Status Bit 327" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB326 ,Group Status Bit 326" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB325 ,Group Status Bit 325" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB324 ,Group Status Bit 324" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB323 ,Group Status Bit 323" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB322 ,Group Status Bit 322" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB321 ,Group Status Bit 321" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB320 ,Group Status Bit 320" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xA)) group.long 0x00A8++0x03 line.long 0x0 "GICD_IGROUPR10,Interrupt Group Register 10" bitfld.long 0x00 31. " GSB351 ,Group Status Bit 351" "Group 0,Group 1" bitfld.long 0x00 30. " GSB350 ,Group Status Bit 350" "Group 0,Group 1" bitfld.long 0x00 29. " GSB349 ,Group Status Bit 349" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB348 ,Group Status Bit 348" "Group 0,Group 1" bitfld.long 0x00 27. " GSB347 ,Group Status Bit 347" "Group 0,Group 1" bitfld.long 0x00 26. " GSB346 ,Group Status Bit 346" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB345 ,Group Status Bit 345" "Group 0,Group 1" bitfld.long 0x00 24. " GSB344 ,Group Status Bit 344" "Group 0,Group 1" bitfld.long 0x00 23. " GSB343 ,Group Status Bit 343" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB342 ,Group Status Bit 342" "Group 0,Group 1" bitfld.long 0x00 21. " GSB341 ,Group Status Bit 341" "Group 0,Group 1" bitfld.long 0x00 20. " GSB340 ,Group Status Bit 340" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB339 ,Group Status Bit 339" "Group 0,Group 1" bitfld.long 0x00 18. " GSB338 ,Group Status Bit 338" "Group 0,Group 1" bitfld.long 0x00 17. " GSB337 ,Group Status Bit 337" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB336 ,Group Status Bit 336" "Group 0,Group 1" bitfld.long 0x00 15. " GSB335 ,Group Status Bit 335" "Group 0,Group 1" bitfld.long 0x00 14. " GSB334 ,Group Status Bit 334" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB333 ,Group Status Bit 333" "Group 0,Group 1" bitfld.long 0x00 12. " GSB332 ,Group Status Bit 332" "Group 0,Group 1" bitfld.long 0x00 11. " GSB331 ,Group Status Bit 331" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB330 ,Group Status Bit 330" "Group 0,Group 1" bitfld.long 0x00 9. " GSB329 ,Group Status Bit 329" "Group 0,Group 1" bitfld.long 0x00 8. " GSB328 ,Group Status Bit 328" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB327 ,Group Status Bit 327" "Group 0,Group 1" bitfld.long 0x00 6. " GSB326 ,Group Status Bit 326" "Group 0,Group 1" bitfld.long 0x00 5. " GSB325 ,Group Status Bit 325" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB324 ,Group Status Bit 324" "Group 0,Group 1" bitfld.long 0x00 3. " GSB323 ,Group Status Bit 323" "Group 0,Group 1" bitfld.long 0x00 2. " GSB322 ,Group Status Bit 322" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB321 ,Group Status Bit 321" "Group 0,Group 1" bitfld.long 0x00 0. " GSB320 ,Group Status Bit 320" "Group 0,Group 1" else hgroup.long 0x00A8++0x03 hide.long 0x0 "GICD_IGROUPR10,Interrupt Group Register 10" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xAC))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xB)) group.long 0x00AC++0x03 line.long 0x0 "GICD_IGROUPR11,Interrupt Group Register 11 (Secure Access)" bitfld.long 0x00 31. " GSB383 ,Group Status Bit 383" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB382 ,Group Status Bit 382" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB381 ,Group Status Bit 381" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB380 ,Group Status Bit 380" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB379 ,Group Status Bit 379" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB378 ,Group Status Bit 378" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB377 ,Group Status Bit 377" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB376 ,Group Status Bit 376" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB375 ,Group Status Bit 375" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB374 ,Group Status Bit 374" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB373 ,Group Status Bit 373" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB372 ,Group Status Bit 372" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB371 ,Group Status Bit 371" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB370 ,Group Status Bit 370" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB369 ,Group Status Bit 369" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB368 ,Group Status Bit 368" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB367 ,Group Status Bit 367" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB366 ,Group Status Bit 366" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB365 ,Group Status Bit 365" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB364 ,Group Status Bit 364" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB363 ,Group Status Bit 363" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB362 ,Group Status Bit 362" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB361 ,Group Status Bit 361" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB360 ,Group Status Bit 360" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB359 ,Group Status Bit 359" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB358 ,Group Status Bit 358" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB357 ,Group Status Bit 357" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB356 ,Group Status Bit 356" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB355 ,Group Status Bit 355" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB354 ,Group Status Bit 354" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB353 ,Group Status Bit 353" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB352 ,Group Status Bit 352" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xB)) group.long 0x00AC++0x03 line.long 0x0 "GICD_IGROUPR11,Interrupt Group Register 11" bitfld.long 0x00 31. " GSB383 ,Group Status Bit 383" "Group 0,Group 1" bitfld.long 0x00 30. " GSB382 ,Group Status Bit 382" "Group 0,Group 1" bitfld.long 0x00 29. " GSB381 ,Group Status Bit 381" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB380 ,Group Status Bit 380" "Group 0,Group 1" bitfld.long 0x00 27. " GSB379 ,Group Status Bit 379" "Group 0,Group 1" bitfld.long 0x00 26. " GSB378 ,Group Status Bit 378" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB377 ,Group Status Bit 377" "Group 0,Group 1" bitfld.long 0x00 24. " GSB376 ,Group Status Bit 376" "Group 0,Group 1" bitfld.long 0x00 23. " GSB375 ,Group Status Bit 375" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB374 ,Group Status Bit 374" "Group 0,Group 1" bitfld.long 0x00 21. " GSB373 ,Group Status Bit 373" "Group 0,Group 1" bitfld.long 0x00 20. " GSB372 ,Group Status Bit 372" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB371 ,Group Status Bit 371" "Group 0,Group 1" bitfld.long 0x00 18. " GSB370 ,Group Status Bit 370" "Group 0,Group 1" bitfld.long 0x00 17. " GSB369 ,Group Status Bit 369" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB368 ,Group Status Bit 368" "Group 0,Group 1" bitfld.long 0x00 15. " GSB367 ,Group Status Bit 367" "Group 0,Group 1" bitfld.long 0x00 14. " GSB366 ,Group Status Bit 366" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB365 ,Group Status Bit 365" "Group 0,Group 1" bitfld.long 0x00 12. " GSB364 ,Group Status Bit 364" "Group 0,Group 1" bitfld.long 0x00 11. " GSB363 ,Group Status Bit 363" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB362 ,Group Status Bit 362" "Group 0,Group 1" bitfld.long 0x00 9. " GSB361 ,Group Status Bit 361" "Group 0,Group 1" bitfld.long 0x00 8. " GSB360 ,Group Status Bit 360" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB359 ,Group Status Bit 359" "Group 0,Group 1" bitfld.long 0x00 6. " GSB358 ,Group Status Bit 358" "Group 0,Group 1" bitfld.long 0x00 5. " GSB357 ,Group Status Bit 357" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB356 ,Group Status Bit 356" "Group 0,Group 1" bitfld.long 0x00 3. " GSB355 ,Group Status Bit 355" "Group 0,Group 1" bitfld.long 0x00 2. " GSB354 ,Group Status Bit 354" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB353 ,Group Status Bit 353" "Group 0,Group 1" bitfld.long 0x00 0. " GSB352 ,Group Status Bit 352" "Group 0,Group 1" else hgroup.long 0x00AC++0x03 hide.long 0x0 "GICD_IGROUPR11,Interrupt Group Register 11" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xB0))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xC)) group.long 0x00B0++0x03 line.long 0x0 "GICD_IGROUPR12,Interrupt Group Register 12 (Secure Access)" bitfld.long 0x00 31. " GSB415 ,Group Status Bit 415" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB414 ,Group Status Bit 414" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB413 ,Group Status Bit 413" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB412 ,Group Status Bit 412" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB411 ,Group Status Bit 411" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB410 ,Group Status Bit 410" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB409 ,Group Status Bit 409" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB408 ,Group Status Bit 408" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB407 ,Group Status Bit 407" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB406 ,Group Status Bit 406" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB405 ,Group Status Bit 405" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB404 ,Group Status Bit 404" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB403 ,Group Status Bit 403" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB402 ,Group Status Bit 402" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB401 ,Group Status Bit 401" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB400 ,Group Status Bit 400" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB399 ,Group Status Bit 399" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB398 ,Group Status Bit 398" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB397 ,Group Status Bit 397" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB396 ,Group Status Bit 396" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB395 ,Group Status Bit 395" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB394 ,Group Status Bit 394" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB393 ,Group Status Bit 393" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB392 ,Group Status Bit 392" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB391 ,Group Status Bit 391" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB390 ,Group Status Bit 390" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB389 ,Group Status Bit 389" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB388 ,Group Status Bit 388" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB387 ,Group Status Bit 387" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB386 ,Group Status Bit 386" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB385 ,Group Status Bit 385" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB384 ,Group Status Bit 384" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xC)) group.long 0x00B0++0x03 line.long 0x0 "GICD_IGROUPR12,Interrupt Group Register 12" bitfld.long 0x00 31. " GSB415 ,Group Status Bit 415" "Group 0,Group 1" bitfld.long 0x00 30. " GSB414 ,Group Status Bit 414" "Group 0,Group 1" bitfld.long 0x00 29. " GSB413 ,Group Status Bit 413" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB412 ,Group Status Bit 412" "Group 0,Group 1" bitfld.long 0x00 27. " GSB411 ,Group Status Bit 411" "Group 0,Group 1" bitfld.long 0x00 26. " GSB410 ,Group Status Bit 410" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB409 ,Group Status Bit 409" "Group 0,Group 1" bitfld.long 0x00 24. " GSB408 ,Group Status Bit 408" "Group 0,Group 1" bitfld.long 0x00 23. " GSB407 ,Group Status Bit 407" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB406 ,Group Status Bit 406" "Group 0,Group 1" bitfld.long 0x00 21. " GSB405 ,Group Status Bit 405" "Group 0,Group 1" bitfld.long 0x00 20. " GSB404 ,Group Status Bit 404" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB403 ,Group Status Bit 403" "Group 0,Group 1" bitfld.long 0x00 18. " GSB402 ,Group Status Bit 402" "Group 0,Group 1" bitfld.long 0x00 17. " GSB401 ,Group Status Bit 401" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB400 ,Group Status Bit 400" "Group 0,Group 1" bitfld.long 0x00 15. " GSB399 ,Group Status Bit 399" "Group 0,Group 1" bitfld.long 0x00 14. " GSB398 ,Group Status Bit 398" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB397 ,Group Status Bit 397" "Group 0,Group 1" bitfld.long 0x00 12. " GSB396 ,Group Status Bit 396" "Group 0,Group 1" bitfld.long 0x00 11. " GSB395 ,Group Status Bit 395" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB394 ,Group Status Bit 394" "Group 0,Group 1" bitfld.long 0x00 9. " GSB393 ,Group Status Bit 393" "Group 0,Group 1" bitfld.long 0x00 8. " GSB392 ,Group Status Bit 392" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB391 ,Group Status Bit 391" "Group 0,Group 1" bitfld.long 0x00 6. " GSB390 ,Group Status Bit 390" "Group 0,Group 1" bitfld.long 0x00 5. " GSB389 ,Group Status Bit 389" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB388 ,Group Status Bit 388" "Group 0,Group 1" bitfld.long 0x00 3. " GSB387 ,Group Status Bit 387" "Group 0,Group 1" bitfld.long 0x00 2. " GSB386 ,Group Status Bit 386" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB385 ,Group Status Bit 385" "Group 0,Group 1" bitfld.long 0x00 0. " GSB384 ,Group Status Bit 384" "Group 0,Group 1" else hgroup.long 0x00B0++0x03 hide.long 0x0 "GICD_IGROUPR12,Interrupt Group Register 12" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xB4))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xD)) group.long 0x00B4++0x03 line.long 0x0 "GICD_IGROUPR13,Interrupt Group Register 13 (Secure Access)" bitfld.long 0x00 31. " GSB447 ,Group Status Bit 447" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB446 ,Group Status Bit 446" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB445 ,Group Status Bit 445" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB444 ,Group Status Bit 444" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB443 ,Group Status Bit 443" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB442 ,Group Status Bit 442" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB441 ,Group Status Bit 441" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB440 ,Group Status Bit 440" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB439 ,Group Status Bit 439" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB438 ,Group Status Bit 438" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB437 ,Group Status Bit 437" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB436 ,Group Status Bit 436" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB435 ,Group Status Bit 435" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB434 ,Group Status Bit 434" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB433 ,Group Status Bit 433" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB432 ,Group Status Bit 432" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB431 ,Group Status Bit 431" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB430 ,Group Status Bit 430" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB429 ,Group Status Bit 429" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB428 ,Group Status Bit 428" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB427 ,Group Status Bit 427" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB426 ,Group Status Bit 426" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB425 ,Group Status Bit 425" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB424 ,Group Status Bit 424" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB423 ,Group Status Bit 423" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB422 ,Group Status Bit 422" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB421 ,Group Status Bit 421" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB420 ,Group Status Bit 420" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB419 ,Group Status Bit 419" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB418 ,Group Status Bit 418" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB417 ,Group Status Bit 417" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB416 ,Group Status Bit 416" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xD)) group.long 0x00B4++0x03 line.long 0x0 "GICD_IGROUPR13,Interrupt Group Register 13" bitfld.long 0x00 31. " GSB447 ,Group Status Bit 447" "Group 0,Group 1" bitfld.long 0x00 30. " GSB446 ,Group Status Bit 446" "Group 0,Group 1" bitfld.long 0x00 29. " GSB445 ,Group Status Bit 445" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB444 ,Group Status Bit 444" "Group 0,Group 1" bitfld.long 0x00 27. " GSB443 ,Group Status Bit 443" "Group 0,Group 1" bitfld.long 0x00 26. " GSB442 ,Group Status Bit 442" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB441 ,Group Status Bit 441" "Group 0,Group 1" bitfld.long 0x00 24. " GSB440 ,Group Status Bit 440" "Group 0,Group 1" bitfld.long 0x00 23. " GSB439 ,Group Status Bit 439" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB438 ,Group Status Bit 438" "Group 0,Group 1" bitfld.long 0x00 21. " GSB437 ,Group Status Bit 437" "Group 0,Group 1" bitfld.long 0x00 20. " GSB436 ,Group Status Bit 436" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB435 ,Group Status Bit 435" "Group 0,Group 1" bitfld.long 0x00 18. " GSB434 ,Group Status Bit 434" "Group 0,Group 1" bitfld.long 0x00 17. " GSB433 ,Group Status Bit 433" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB432 ,Group Status Bit 432" "Group 0,Group 1" bitfld.long 0x00 15. " GSB431 ,Group Status Bit 431" "Group 0,Group 1" bitfld.long 0x00 14. " GSB430 ,Group Status Bit 430" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB429 ,Group Status Bit 429" "Group 0,Group 1" bitfld.long 0x00 12. " GSB428 ,Group Status Bit 428" "Group 0,Group 1" bitfld.long 0x00 11. " GSB427 ,Group Status Bit 427" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB426 ,Group Status Bit 426" "Group 0,Group 1" bitfld.long 0x00 9. " GSB425 ,Group Status Bit 425" "Group 0,Group 1" bitfld.long 0x00 8. " GSB424 ,Group Status Bit 424" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB423 ,Group Status Bit 423" "Group 0,Group 1" bitfld.long 0x00 6. " GSB422 ,Group Status Bit 422" "Group 0,Group 1" bitfld.long 0x00 5. " GSB421 ,Group Status Bit 421" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB420 ,Group Status Bit 420" "Group 0,Group 1" bitfld.long 0x00 3. " GSB419 ,Group Status Bit 419" "Group 0,Group 1" bitfld.long 0x00 2. " GSB418 ,Group Status Bit 418" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB417 ,Group Status Bit 417" "Group 0,Group 1" bitfld.long 0x00 0. " GSB416 ,Group Status Bit 416" "Group 0,Group 1" else hgroup.long 0x00B4++0x03 hide.long 0x0 "GICD_IGROUPR13,Interrupt Group Register 13" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xB8))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xE)) group.long 0x00B8++0x03 line.long 0x0 "GICD_IGROUPR14,Interrupt Group Register 14 (Secure Access)" bitfld.long 0x00 31. " GSB479 ,Group Status Bit 479" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB478 ,Group Status Bit 478" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB477 ,Group Status Bit 477" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB476 ,Group Status Bit 476" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB475 ,Group Status Bit 475" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB474 ,Group Status Bit 474" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB473 ,Group Status Bit 473" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB472 ,Group Status Bit 472" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB471 ,Group Status Bit 471" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB470 ,Group Status Bit 470" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB469 ,Group Status Bit 469" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB468 ,Group Status Bit 468" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB467 ,Group Status Bit 467" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB466 ,Group Status Bit 466" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB465 ,Group Status Bit 465" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB464 ,Group Status Bit 464" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB463 ,Group Status Bit 463" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB462 ,Group Status Bit 462" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB461 ,Group Status Bit 461" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB460 ,Group Status Bit 460" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB459 ,Group Status Bit 459" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB458 ,Group Status Bit 458" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB457 ,Group Status Bit 457" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB456 ,Group Status Bit 456" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB455 ,Group Status Bit 455" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB454 ,Group Status Bit 454" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB453 ,Group Status Bit 453" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB452 ,Group Status Bit 452" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB451 ,Group Status Bit 451" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB450 ,Group Status Bit 450" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB449 ,Group Status Bit 449" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB448 ,Group Status Bit 448" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xE)) group.long 0x00B8++0x03 line.long 0x0 "GICD_IGROUPR14,Interrupt Group Register 14" bitfld.long 0x00 31. " GSB479 ,Group Status Bit 479" "Group 0,Group 1" bitfld.long 0x00 30. " GSB478 ,Group Status Bit 478" "Group 0,Group 1" bitfld.long 0x00 29. " GSB477 ,Group Status Bit 477" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB476 ,Group Status Bit 476" "Group 0,Group 1" bitfld.long 0x00 27. " GSB475 ,Group Status Bit 475" "Group 0,Group 1" bitfld.long 0x00 26. " GSB474 ,Group Status Bit 474" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB473 ,Group Status Bit 473" "Group 0,Group 1" bitfld.long 0x00 24. " GSB472 ,Group Status Bit 472" "Group 0,Group 1" bitfld.long 0x00 23. " GSB471 ,Group Status Bit 471" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB470 ,Group Status Bit 470" "Group 0,Group 1" bitfld.long 0x00 21. " GSB469 ,Group Status Bit 469" "Group 0,Group 1" bitfld.long 0x00 20. " GSB468 ,Group Status Bit 468" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB467 ,Group Status Bit 467" "Group 0,Group 1" bitfld.long 0x00 18. " GSB466 ,Group Status Bit 466" "Group 0,Group 1" bitfld.long 0x00 17. " GSB465 ,Group Status Bit 465" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB464 ,Group Status Bit 464" "Group 0,Group 1" bitfld.long 0x00 15. " GSB463 ,Group Status Bit 463" "Group 0,Group 1" bitfld.long 0x00 14. " GSB462 ,Group Status Bit 462" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB461 ,Group Status Bit 461" "Group 0,Group 1" bitfld.long 0x00 12. " GSB460 ,Group Status Bit 460" "Group 0,Group 1" bitfld.long 0x00 11. " GSB459 ,Group Status Bit 459" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB458 ,Group Status Bit 458" "Group 0,Group 1" bitfld.long 0x00 9. " GSB457 ,Group Status Bit 457" "Group 0,Group 1" bitfld.long 0x00 8. " GSB456 ,Group Status Bit 456" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB455 ,Group Status Bit 455" "Group 0,Group 1" bitfld.long 0x00 6. " GSB454 ,Group Status Bit 454" "Group 0,Group 1" bitfld.long 0x00 5. " GSB453 ,Group Status Bit 453" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB452 ,Group Status Bit 452" "Group 0,Group 1" bitfld.long 0x00 3. " GSB451 ,Group Status Bit 451" "Group 0,Group 1" bitfld.long 0x00 2. " GSB450 ,Group Status Bit 450" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB449 ,Group Status Bit 449" "Group 0,Group 1" bitfld.long 0x00 0. " GSB448 ,Group Status Bit 448" "Group 0,Group 1" else hgroup.long 0x00B8++0x03 hide.long 0x0 "GICD_IGROUPR14,Interrupt Group Register 14" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xBC))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xF)) group.long 0x00BC++0x03 line.long 0x0 "GICD_IGROUPR15,Interrupt Group Register 15 (Secure Access)" bitfld.long 0x00 31. " GSB511 ,Group Status Bit 511" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB510 ,Group Status Bit 510" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB509 ,Group Status Bit 509" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB508 ,Group Status Bit 508" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB507 ,Group Status Bit 507" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB506 ,Group Status Bit 506" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB505 ,Group Status Bit 505" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB504 ,Group Status Bit 504" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB503 ,Group Status Bit 503" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB502 ,Group Status Bit 502" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB501 ,Group Status Bit 501" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB500 ,Group Status Bit 500" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB499 ,Group Status Bit 499" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB498 ,Group Status Bit 498" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB497 ,Group Status Bit 497" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB496 ,Group Status Bit 496" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB495 ,Group Status Bit 495" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB494 ,Group Status Bit 494" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB493 ,Group Status Bit 493" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB492 ,Group Status Bit 492" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB491 ,Group Status Bit 491" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB490 ,Group Status Bit 490" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB489 ,Group Status Bit 489" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB488 ,Group Status Bit 488" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB487 ,Group Status Bit 487" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB486 ,Group Status Bit 486" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB485 ,Group Status Bit 485" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB484 ,Group Status Bit 484" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB483 ,Group Status Bit 483" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB482 ,Group Status Bit 482" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB481 ,Group Status Bit 481" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB480 ,Group Status Bit 480" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xF)) group.long 0x00BC++0x03 line.long 0x0 "GICD_IGROUPR15,Interrupt Group Register 15" bitfld.long 0x00 31. " GSB511 ,Group Status Bit 511" "Group 0,Group 1" bitfld.long 0x00 30. " GSB510 ,Group Status Bit 510" "Group 0,Group 1" bitfld.long 0x00 29. " GSB509 ,Group Status Bit 509" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB508 ,Group Status Bit 508" "Group 0,Group 1" bitfld.long 0x00 27. " GSB507 ,Group Status Bit 507" "Group 0,Group 1" bitfld.long 0x00 26. " GSB506 ,Group Status Bit 506" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB505 ,Group Status Bit 505" "Group 0,Group 1" bitfld.long 0x00 24. " GSB504 ,Group Status Bit 504" "Group 0,Group 1" bitfld.long 0x00 23. " GSB503 ,Group Status Bit 503" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB502 ,Group Status Bit 502" "Group 0,Group 1" bitfld.long 0x00 21. " GSB501 ,Group Status Bit 501" "Group 0,Group 1" bitfld.long 0x00 20. " GSB500 ,Group Status Bit 500" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB499 ,Group Status Bit 499" "Group 0,Group 1" bitfld.long 0x00 18. " GSB498 ,Group Status Bit 498" "Group 0,Group 1" bitfld.long 0x00 17. " GSB497 ,Group Status Bit 497" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB496 ,Group Status Bit 496" "Group 0,Group 1" bitfld.long 0x00 15. " GSB495 ,Group Status Bit 495" "Group 0,Group 1" bitfld.long 0x00 14. " GSB494 ,Group Status Bit 494" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB493 ,Group Status Bit 493" "Group 0,Group 1" bitfld.long 0x00 12. " GSB492 ,Group Status Bit 492" "Group 0,Group 1" bitfld.long 0x00 11. " GSB491 ,Group Status Bit 491" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB490 ,Group Status Bit 490" "Group 0,Group 1" bitfld.long 0x00 9. " GSB489 ,Group Status Bit 489" "Group 0,Group 1" bitfld.long 0x00 8. " GSB488 ,Group Status Bit 488" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB487 ,Group Status Bit 487" "Group 0,Group 1" bitfld.long 0x00 6. " GSB486 ,Group Status Bit 486" "Group 0,Group 1" bitfld.long 0x00 5. " GSB485 ,Group Status Bit 485" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB484 ,Group Status Bit 484" "Group 0,Group 1" bitfld.long 0x00 3. " GSB483 ,Group Status Bit 483" "Group 0,Group 1" bitfld.long 0x00 2. " GSB482 ,Group Status Bit 482" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB481 ,Group Status Bit 481" "Group 0,Group 1" bitfld.long 0x00 0. " GSB480 ,Group Status Bit 480" "Group 0,Group 1" else hgroup.long 0x00BC++0x03 hide.long 0x0 "GICD_IGROUPR15,Interrupt Group Register 15" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xC0))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10)) group.long 0x00C0++0x03 line.long 0x0 "GICD_IGROUPR16,Interrupt Group Register 16 (Secure Access)" bitfld.long 0x00 31. " GSB543 ,Group Status Bit 543" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB542 ,Group Status Bit 542" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB541 ,Group Status Bit 541" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB540 ,Group Status Bit 540" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB539 ,Group Status Bit 539" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB538 ,Group Status Bit 538" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB537 ,Group Status Bit 537" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB536 ,Group Status Bit 536" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB535 ,Group Status Bit 535" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB534 ,Group Status Bit 534" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB533 ,Group Status Bit 533" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB532 ,Group Status Bit 532" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB531 ,Group Status Bit 531" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB530 ,Group Status Bit 530" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB529 ,Group Status Bit 529" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB528 ,Group Status Bit 528" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB527 ,Group Status Bit 527" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB526 ,Group Status Bit 526" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB525 ,Group Status Bit 525" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB524 ,Group Status Bit 524" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB523 ,Group Status Bit 523" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB522 ,Group Status Bit 522" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB521 ,Group Status Bit 521" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB520 ,Group Status Bit 520" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB519 ,Group Status Bit 519" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB518 ,Group Status Bit 518" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB517 ,Group Status Bit 517" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB516 ,Group Status Bit 516" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB515 ,Group Status Bit 515" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB514 ,Group Status Bit 514" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB513 ,Group Status Bit 513" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB512 ,Group Status Bit 512" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10)) group.long 0x00C0++0x03 line.long 0x0 "GICD_IGROUPR16,Interrupt Group Register 16" bitfld.long 0x00 31. " GSB543 ,Group Status Bit 543" "Group 0,Group 1" bitfld.long 0x00 30. " GSB542 ,Group Status Bit 542" "Group 0,Group 1" bitfld.long 0x00 29. " GSB541 ,Group Status Bit 541" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB540 ,Group Status Bit 540" "Group 0,Group 1" bitfld.long 0x00 27. " GSB539 ,Group Status Bit 539" "Group 0,Group 1" bitfld.long 0x00 26. " GSB538 ,Group Status Bit 538" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB537 ,Group Status Bit 537" "Group 0,Group 1" bitfld.long 0x00 24. " GSB536 ,Group Status Bit 536" "Group 0,Group 1" bitfld.long 0x00 23. " GSB535 ,Group Status Bit 535" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB534 ,Group Status Bit 534" "Group 0,Group 1" bitfld.long 0x00 21. " GSB533 ,Group Status Bit 533" "Group 0,Group 1" bitfld.long 0x00 20. " GSB532 ,Group Status Bit 532" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB531 ,Group Status Bit 531" "Group 0,Group 1" bitfld.long 0x00 18. " GSB530 ,Group Status Bit 530" "Group 0,Group 1" bitfld.long 0x00 17. " GSB529 ,Group Status Bit 529" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB528 ,Group Status Bit 528" "Group 0,Group 1" bitfld.long 0x00 15. " GSB527 ,Group Status Bit 527" "Group 0,Group 1" bitfld.long 0x00 14. " GSB526 ,Group Status Bit 526" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB525 ,Group Status Bit 525" "Group 0,Group 1" bitfld.long 0x00 12. " GSB524 ,Group Status Bit 524" "Group 0,Group 1" bitfld.long 0x00 11. " GSB523 ,Group Status Bit 523" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB522 ,Group Status Bit 522" "Group 0,Group 1" bitfld.long 0x00 9. " GSB521 ,Group Status Bit 521" "Group 0,Group 1" bitfld.long 0x00 8. " GSB520 ,Group Status Bit 520" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB519 ,Group Status Bit 519" "Group 0,Group 1" bitfld.long 0x00 6. " GSB518 ,Group Status Bit 518" "Group 0,Group 1" bitfld.long 0x00 5. " GSB517 ,Group Status Bit 517" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB516 ,Group Status Bit 516" "Group 0,Group 1" bitfld.long 0x00 3. " GSB515 ,Group Status Bit 515" "Group 0,Group 1" bitfld.long 0x00 2. " GSB514 ,Group Status Bit 514" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB513 ,Group Status Bit 513" "Group 0,Group 1" bitfld.long 0x00 0. " GSB512 ,Group Status Bit 512" "Group 0,Group 1" else hgroup.long 0x00C0++0x03 hide.long 0x0 "GICD_IGROUPR16,Interrupt Group Register 16" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xC4))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11)) group.long 0x00C4++0x03 line.long 0x0 "GICD_IGROUPR17,Interrupt Group Register 17 (Secure Access)" bitfld.long 0x00 31. " GSB575 ,Group Status Bit 575" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB574 ,Group Status Bit 574" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB573 ,Group Status Bit 573" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB572 ,Group Status Bit 572" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB571 ,Group Status Bit 571" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB570 ,Group Status Bit 570" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB569 ,Group Status Bit 569" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB568 ,Group Status Bit 568" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB567 ,Group Status Bit 567" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB566 ,Group Status Bit 566" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB565 ,Group Status Bit 565" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB564 ,Group Status Bit 564" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB563 ,Group Status Bit 563" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB562 ,Group Status Bit 562" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB561 ,Group Status Bit 561" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB560 ,Group Status Bit 560" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB559 ,Group Status Bit 559" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB558 ,Group Status Bit 558" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB557 ,Group Status Bit 557" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB556 ,Group Status Bit 556" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB555 ,Group Status Bit 555" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB554 ,Group Status Bit 554" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB553 ,Group Status Bit 553" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB552 ,Group Status Bit 552" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB551 ,Group Status Bit 551" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB550 ,Group Status Bit 550" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB549 ,Group Status Bit 549" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB548 ,Group Status Bit 548" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB547 ,Group Status Bit 547" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB546 ,Group Status Bit 546" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB545 ,Group Status Bit 545" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB544 ,Group Status Bit 544" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11)) group.long 0x00C4++0x03 line.long 0x0 "GICD_IGROUPR17,Interrupt Group Register 17" bitfld.long 0x00 31. " GSB575 ,Group Status Bit 575" "Group 0,Group 1" bitfld.long 0x00 30. " GSB574 ,Group Status Bit 574" "Group 0,Group 1" bitfld.long 0x00 29. " GSB573 ,Group Status Bit 573" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB572 ,Group Status Bit 572" "Group 0,Group 1" bitfld.long 0x00 27. " GSB571 ,Group Status Bit 571" "Group 0,Group 1" bitfld.long 0x00 26. " GSB570 ,Group Status Bit 570" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB569 ,Group Status Bit 569" "Group 0,Group 1" bitfld.long 0x00 24. " GSB568 ,Group Status Bit 568" "Group 0,Group 1" bitfld.long 0x00 23. " GSB567 ,Group Status Bit 567" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB566 ,Group Status Bit 566" "Group 0,Group 1" bitfld.long 0x00 21. " GSB565 ,Group Status Bit 565" "Group 0,Group 1" bitfld.long 0x00 20. " GSB564 ,Group Status Bit 564" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB563 ,Group Status Bit 563" "Group 0,Group 1" bitfld.long 0x00 18. " GSB562 ,Group Status Bit 562" "Group 0,Group 1" bitfld.long 0x00 17. " GSB561 ,Group Status Bit 561" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB560 ,Group Status Bit 560" "Group 0,Group 1" bitfld.long 0x00 15. " GSB559 ,Group Status Bit 559" "Group 0,Group 1" bitfld.long 0x00 14. " GSB558 ,Group Status Bit 558" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB557 ,Group Status Bit 557" "Group 0,Group 1" bitfld.long 0x00 12. " GSB556 ,Group Status Bit 556" "Group 0,Group 1" bitfld.long 0x00 11. " GSB555 ,Group Status Bit 555" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB554 ,Group Status Bit 554" "Group 0,Group 1" bitfld.long 0x00 9. " GSB553 ,Group Status Bit 553" "Group 0,Group 1" bitfld.long 0x00 8. " GSB552 ,Group Status Bit 552" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB551 ,Group Status Bit 551" "Group 0,Group 1" bitfld.long 0x00 6. " GSB550 ,Group Status Bit 550" "Group 0,Group 1" bitfld.long 0x00 5. " GSB549 ,Group Status Bit 549" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB548 ,Group Status Bit 548" "Group 0,Group 1" bitfld.long 0x00 3. " GSB547 ,Group Status Bit 547" "Group 0,Group 1" bitfld.long 0x00 2. " GSB546 ,Group Status Bit 546" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB545 ,Group Status Bit 545" "Group 0,Group 1" bitfld.long 0x00 0. " GSB544 ,Group Status Bit 544" "Group 0,Group 1" else hgroup.long 0x00C4++0x03 hide.long 0x0 "GICD_IGROUPR17,Interrupt Group Register 17" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xC8))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12)) group.long 0x00C8++0x03 line.long 0x0 "GICD_IGROUPR18,Interrupt Group Register 18 (Secure Access)" bitfld.long 0x00 31. " GSB607 ,Group Status Bit 607" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB606 ,Group Status Bit 606" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB605 ,Group Status Bit 605" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB604 ,Group Status Bit 604" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB603 ,Group Status Bit 603" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB602 ,Group Status Bit 602" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB601 ,Group Status Bit 601" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB600 ,Group Status Bit 600" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB599 ,Group Status Bit 599" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB598 ,Group Status Bit 598" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB597 ,Group Status Bit 597" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB596 ,Group Status Bit 596" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB595 ,Group Status Bit 595" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB594 ,Group Status Bit 594" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB593 ,Group Status Bit 593" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB592 ,Group Status Bit 592" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB591 ,Group Status Bit 591" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB590 ,Group Status Bit 590" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB589 ,Group Status Bit 589" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB588 ,Group Status Bit 588" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB587 ,Group Status Bit 587" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB586 ,Group Status Bit 586" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB585 ,Group Status Bit 585" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB584 ,Group Status Bit 584" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB583 ,Group Status Bit 583" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB582 ,Group Status Bit 582" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB581 ,Group Status Bit 581" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB580 ,Group Status Bit 580" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB579 ,Group Status Bit 579" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB578 ,Group Status Bit 578" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB577 ,Group Status Bit 577" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB576 ,Group Status Bit 576" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12)) group.long 0x00C8++0x03 line.long 0x0 "GICD_IGROUPR18,Interrupt Group Register 18" bitfld.long 0x00 31. " GSB607 ,Group Status Bit 607" "Group 0,Group 1" bitfld.long 0x00 30. " GSB606 ,Group Status Bit 606" "Group 0,Group 1" bitfld.long 0x00 29. " GSB605 ,Group Status Bit 605" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB604 ,Group Status Bit 604" "Group 0,Group 1" bitfld.long 0x00 27. " GSB603 ,Group Status Bit 603" "Group 0,Group 1" bitfld.long 0x00 26. " GSB602 ,Group Status Bit 602" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB601 ,Group Status Bit 601" "Group 0,Group 1" bitfld.long 0x00 24. " GSB600 ,Group Status Bit 600" "Group 0,Group 1" bitfld.long 0x00 23. " GSB599 ,Group Status Bit 599" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB598 ,Group Status Bit 598" "Group 0,Group 1" bitfld.long 0x00 21. " GSB597 ,Group Status Bit 597" "Group 0,Group 1" bitfld.long 0x00 20. " GSB596 ,Group Status Bit 596" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB595 ,Group Status Bit 595" "Group 0,Group 1" bitfld.long 0x00 18. " GSB594 ,Group Status Bit 594" "Group 0,Group 1" bitfld.long 0x00 17. " GSB593 ,Group Status Bit 593" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB592 ,Group Status Bit 592" "Group 0,Group 1" bitfld.long 0x00 15. " GSB591 ,Group Status Bit 591" "Group 0,Group 1" bitfld.long 0x00 14. " GSB590 ,Group Status Bit 590" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB589 ,Group Status Bit 589" "Group 0,Group 1" bitfld.long 0x00 12. " GSB588 ,Group Status Bit 588" "Group 0,Group 1" bitfld.long 0x00 11. " GSB587 ,Group Status Bit 587" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB586 ,Group Status Bit 586" "Group 0,Group 1" bitfld.long 0x00 9. " GSB585 ,Group Status Bit 585" "Group 0,Group 1" bitfld.long 0x00 8. " GSB584 ,Group Status Bit 584" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB583 ,Group Status Bit 583" "Group 0,Group 1" bitfld.long 0x00 6. " GSB582 ,Group Status Bit 582" "Group 0,Group 1" bitfld.long 0x00 5. " GSB581 ,Group Status Bit 581" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB580 ,Group Status Bit 580" "Group 0,Group 1" bitfld.long 0x00 3. " GSB579 ,Group Status Bit 579" "Group 0,Group 1" bitfld.long 0x00 2. " GSB578 ,Group Status Bit 578" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB577 ,Group Status Bit 577" "Group 0,Group 1" bitfld.long 0x00 0. " GSB576 ,Group Status Bit 576" "Group 0,Group 1" else hgroup.long 0x00C8++0x03 hide.long 0x0 "GICD_IGROUPR18,Interrupt Group Register 18" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xCC))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13)) group.long 0x00CC++0x03 line.long 0x0 "GICD_IGROUPR19,Interrupt Group Register 19 (Secure Access)" bitfld.long 0x00 31. " GSB639 ,Group Status Bit 639" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB638 ,Group Status Bit 638" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB637 ,Group Status Bit 637" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB636 ,Group Status Bit 636" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB635 ,Group Status Bit 635" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB634 ,Group Status Bit 634" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB633 ,Group Status Bit 633" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB632 ,Group Status Bit 632" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB631 ,Group Status Bit 631" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB630 ,Group Status Bit 630" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB629 ,Group Status Bit 629" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB628 ,Group Status Bit 628" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB627 ,Group Status Bit 627" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB626 ,Group Status Bit 626" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB625 ,Group Status Bit 625" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB624 ,Group Status Bit 624" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB623 ,Group Status Bit 623" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB622 ,Group Status Bit 622" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB621 ,Group Status Bit 621" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB620 ,Group Status Bit 620" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB619 ,Group Status Bit 619" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB618 ,Group Status Bit 618" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB617 ,Group Status Bit 617" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB616 ,Group Status Bit 616" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB615 ,Group Status Bit 615" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB614 ,Group Status Bit 614" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB613 ,Group Status Bit 613" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB612 ,Group Status Bit 612" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB611 ,Group Status Bit 611" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB610 ,Group Status Bit 610" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB609 ,Group Status Bit 609" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB608 ,Group Status Bit 608" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13)) group.long 0x00CC++0x03 line.long 0x0 "GICD_IGROUPR19,Interrupt Group Register 19" bitfld.long 0x00 31. " GSB639 ,Group Status Bit 639" "Group 0,Group 1" bitfld.long 0x00 30. " GSB638 ,Group Status Bit 638" "Group 0,Group 1" bitfld.long 0x00 29. " GSB637 ,Group Status Bit 637" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB636 ,Group Status Bit 636" "Group 0,Group 1" bitfld.long 0x00 27. " GSB635 ,Group Status Bit 635" "Group 0,Group 1" bitfld.long 0x00 26. " GSB634 ,Group Status Bit 634" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB633 ,Group Status Bit 633" "Group 0,Group 1" bitfld.long 0x00 24. " GSB632 ,Group Status Bit 632" "Group 0,Group 1" bitfld.long 0x00 23. " GSB631 ,Group Status Bit 631" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB630 ,Group Status Bit 630" "Group 0,Group 1" bitfld.long 0x00 21. " GSB629 ,Group Status Bit 629" "Group 0,Group 1" bitfld.long 0x00 20. " GSB628 ,Group Status Bit 628" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB627 ,Group Status Bit 627" "Group 0,Group 1" bitfld.long 0x00 18. " GSB626 ,Group Status Bit 626" "Group 0,Group 1" bitfld.long 0x00 17. " GSB625 ,Group Status Bit 625" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB624 ,Group Status Bit 624" "Group 0,Group 1" bitfld.long 0x00 15. " GSB623 ,Group Status Bit 623" "Group 0,Group 1" bitfld.long 0x00 14. " GSB622 ,Group Status Bit 622" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB621 ,Group Status Bit 621" "Group 0,Group 1" bitfld.long 0x00 12. " GSB620 ,Group Status Bit 620" "Group 0,Group 1" bitfld.long 0x00 11. " GSB619 ,Group Status Bit 619" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB618 ,Group Status Bit 618" "Group 0,Group 1" bitfld.long 0x00 9. " GSB617 ,Group Status Bit 617" "Group 0,Group 1" bitfld.long 0x00 8. " GSB616 ,Group Status Bit 616" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB615 ,Group Status Bit 615" "Group 0,Group 1" bitfld.long 0x00 6. " GSB614 ,Group Status Bit 614" "Group 0,Group 1" bitfld.long 0x00 5. " GSB613 ,Group Status Bit 613" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB612 ,Group Status Bit 612" "Group 0,Group 1" bitfld.long 0x00 3. " GSB611 ,Group Status Bit 611" "Group 0,Group 1" bitfld.long 0x00 2. " GSB610 ,Group Status Bit 610" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB609 ,Group Status Bit 609" "Group 0,Group 1" bitfld.long 0x00 0. " GSB608 ,Group Status Bit 608" "Group 0,Group 1" else hgroup.long 0x00CC++0x03 hide.long 0x0 "GICD_IGROUPR19,Interrupt Group Register 19" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xD0))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14)) group.long 0x00D0++0x03 line.long 0x0 "GICD_IGROUPR20,Interrupt Group Register 20 (Secure Access)" bitfld.long 0x00 31. " GSB671 ,Group Status Bit 671" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB670 ,Group Status Bit 670" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB669 ,Group Status Bit 669" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB668 ,Group Status Bit 668" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB667 ,Group Status Bit 667" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB666 ,Group Status Bit 666" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB665 ,Group Status Bit 665" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB664 ,Group Status Bit 664" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB663 ,Group Status Bit 663" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB662 ,Group Status Bit 662" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB661 ,Group Status Bit 661" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB660 ,Group Status Bit 660" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB659 ,Group Status Bit 659" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB658 ,Group Status Bit 658" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB657 ,Group Status Bit 657" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB656 ,Group Status Bit 656" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB655 ,Group Status Bit 655" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB654 ,Group Status Bit 654" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB653 ,Group Status Bit 653" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB652 ,Group Status Bit 652" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB651 ,Group Status Bit 651" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB650 ,Group Status Bit 650" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB649 ,Group Status Bit 649" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB648 ,Group Status Bit 648" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB647 ,Group Status Bit 647" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB646 ,Group Status Bit 646" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB645 ,Group Status Bit 645" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB644 ,Group Status Bit 644" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB643 ,Group Status Bit 643" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB642 ,Group Status Bit 642" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB641 ,Group Status Bit 641" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB640 ,Group Status Bit 640" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14)) group.long 0x00D0++0x03 line.long 0x0 "GICD_IGROUPR20,Interrupt Group Register 20" bitfld.long 0x00 31. " GSB671 ,Group Status Bit 671" "Group 0,Group 1" bitfld.long 0x00 30. " GSB670 ,Group Status Bit 670" "Group 0,Group 1" bitfld.long 0x00 29. " GSB669 ,Group Status Bit 669" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB668 ,Group Status Bit 668" "Group 0,Group 1" bitfld.long 0x00 27. " GSB667 ,Group Status Bit 667" "Group 0,Group 1" bitfld.long 0x00 26. " GSB666 ,Group Status Bit 666" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB665 ,Group Status Bit 665" "Group 0,Group 1" bitfld.long 0x00 24. " GSB664 ,Group Status Bit 664" "Group 0,Group 1" bitfld.long 0x00 23. " GSB663 ,Group Status Bit 663" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB662 ,Group Status Bit 662" "Group 0,Group 1" bitfld.long 0x00 21. " GSB661 ,Group Status Bit 661" "Group 0,Group 1" bitfld.long 0x00 20. " GSB660 ,Group Status Bit 660" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB659 ,Group Status Bit 659" "Group 0,Group 1" bitfld.long 0x00 18. " GSB658 ,Group Status Bit 658" "Group 0,Group 1" bitfld.long 0x00 17. " GSB657 ,Group Status Bit 657" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB656 ,Group Status Bit 656" "Group 0,Group 1" bitfld.long 0x00 15. " GSB655 ,Group Status Bit 655" "Group 0,Group 1" bitfld.long 0x00 14. " GSB654 ,Group Status Bit 654" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB653 ,Group Status Bit 653" "Group 0,Group 1" bitfld.long 0x00 12. " GSB652 ,Group Status Bit 652" "Group 0,Group 1" bitfld.long 0x00 11. " GSB651 ,Group Status Bit 651" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB650 ,Group Status Bit 650" "Group 0,Group 1" bitfld.long 0x00 9. " GSB649 ,Group Status Bit 649" "Group 0,Group 1" bitfld.long 0x00 8. " GSB648 ,Group Status Bit 648" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB647 ,Group Status Bit 647" "Group 0,Group 1" bitfld.long 0x00 6. " GSB646 ,Group Status Bit 646" "Group 0,Group 1" bitfld.long 0x00 5. " GSB645 ,Group Status Bit 645" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB644 ,Group Status Bit 644" "Group 0,Group 1" bitfld.long 0x00 3. " GSB643 ,Group Status Bit 643" "Group 0,Group 1" bitfld.long 0x00 2. " GSB642 ,Group Status Bit 642" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB641 ,Group Status Bit 641" "Group 0,Group 1" bitfld.long 0x00 0. " GSB640 ,Group Status Bit 640" "Group 0,Group 1" else hgroup.long 0x00D0++0x03 hide.long 0x0 "GICD_IGROUPR20,Interrupt Group Register 20" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xD4))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15)) group.long 0x00D4++0x03 line.long 0x0 "GICD_IGROUPR21,Interrupt Group Register 21 (Secure Access)" bitfld.long 0x00 31. " GSB703 ,Group Status Bit 703" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB702 ,Group Status Bit 702" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB701 ,Group Status Bit 701" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB700 ,Group Status Bit 700" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB699 ,Group Status Bit 699" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB698 ,Group Status Bit 698" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB697 ,Group Status Bit 697" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB696 ,Group Status Bit 696" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB695 ,Group Status Bit 695" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB694 ,Group Status Bit 694" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB693 ,Group Status Bit 693" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB692 ,Group Status Bit 692" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB691 ,Group Status Bit 691" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB690 ,Group Status Bit 690" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB689 ,Group Status Bit 689" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB688 ,Group Status Bit 688" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB687 ,Group Status Bit 687" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB686 ,Group Status Bit 686" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB685 ,Group Status Bit 685" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB684 ,Group Status Bit 684" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB683 ,Group Status Bit 683" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB682 ,Group Status Bit 682" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB681 ,Group Status Bit 681" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB680 ,Group Status Bit 680" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB679 ,Group Status Bit 679" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB678 ,Group Status Bit 678" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB677 ,Group Status Bit 677" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB676 ,Group Status Bit 676" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB675 ,Group Status Bit 675" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB674 ,Group Status Bit 674" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB673 ,Group Status Bit 673" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB672 ,Group Status Bit 672" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15)) group.long 0x00D4++0x03 line.long 0x0 "GICD_IGROUPR21,Interrupt Group Register 21" bitfld.long 0x00 31. " GSB703 ,Group Status Bit 703" "Group 0,Group 1" bitfld.long 0x00 30. " GSB702 ,Group Status Bit 702" "Group 0,Group 1" bitfld.long 0x00 29. " GSB701 ,Group Status Bit 701" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB700 ,Group Status Bit 700" "Group 0,Group 1" bitfld.long 0x00 27. " GSB699 ,Group Status Bit 699" "Group 0,Group 1" bitfld.long 0x00 26. " GSB698 ,Group Status Bit 698" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB697 ,Group Status Bit 697" "Group 0,Group 1" bitfld.long 0x00 24. " GSB696 ,Group Status Bit 696" "Group 0,Group 1" bitfld.long 0x00 23. " GSB695 ,Group Status Bit 695" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB694 ,Group Status Bit 694" "Group 0,Group 1" bitfld.long 0x00 21. " GSB693 ,Group Status Bit 693" "Group 0,Group 1" bitfld.long 0x00 20. " GSB692 ,Group Status Bit 692" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB691 ,Group Status Bit 691" "Group 0,Group 1" bitfld.long 0x00 18. " GSB690 ,Group Status Bit 690" "Group 0,Group 1" bitfld.long 0x00 17. " GSB689 ,Group Status Bit 689" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB688 ,Group Status Bit 688" "Group 0,Group 1" bitfld.long 0x00 15. " GSB687 ,Group Status Bit 687" "Group 0,Group 1" bitfld.long 0x00 14. " GSB686 ,Group Status Bit 686" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB685 ,Group Status Bit 685" "Group 0,Group 1" bitfld.long 0x00 12. " GSB684 ,Group Status Bit 684" "Group 0,Group 1" bitfld.long 0x00 11. " GSB683 ,Group Status Bit 683" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB682 ,Group Status Bit 682" "Group 0,Group 1" bitfld.long 0x00 9. " GSB681 ,Group Status Bit 681" "Group 0,Group 1" bitfld.long 0x00 8. " GSB680 ,Group Status Bit 680" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB679 ,Group Status Bit 679" "Group 0,Group 1" bitfld.long 0x00 6. " GSB678 ,Group Status Bit 678" "Group 0,Group 1" bitfld.long 0x00 5. " GSB677 ,Group Status Bit 677" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB676 ,Group Status Bit 676" "Group 0,Group 1" bitfld.long 0x00 3. " GSB675 ,Group Status Bit 675" "Group 0,Group 1" bitfld.long 0x00 2. " GSB674 ,Group Status Bit 674" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB673 ,Group Status Bit 673" "Group 0,Group 1" bitfld.long 0x00 0. " GSB672 ,Group Status Bit 672" "Group 0,Group 1" else hgroup.long 0x00D4++0x03 hide.long 0x0 "GICD_IGROUPR21,Interrupt Group Register 21" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xD8))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16)) group.long 0x00D8++0x03 line.long 0x0 "GICD_IGROUPR22,Interrupt Group Register 22 (Secure Access)" bitfld.long 0x00 31. " GSB735 ,Group Status Bit 735" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB734 ,Group Status Bit 734" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB733 ,Group Status Bit 733" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB732 ,Group Status Bit 732" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB731 ,Group Status Bit 731" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB730 ,Group Status Bit 730" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB729 ,Group Status Bit 729" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB728 ,Group Status Bit 728" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB727 ,Group Status Bit 727" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB726 ,Group Status Bit 726" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB725 ,Group Status Bit 725" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB724 ,Group Status Bit 724" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB723 ,Group Status Bit 723" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB722 ,Group Status Bit 722" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB721 ,Group Status Bit 721" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB720 ,Group Status Bit 720" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB719 ,Group Status Bit 719" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB718 ,Group Status Bit 718" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB717 ,Group Status Bit 717" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB716 ,Group Status Bit 716" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB715 ,Group Status Bit 715" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB714 ,Group Status Bit 714" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB713 ,Group Status Bit 713" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB712 ,Group Status Bit 712" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB711 ,Group Status Bit 711" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB710 ,Group Status Bit 710" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB709 ,Group Status Bit 709" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB708 ,Group Status Bit 708" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB707 ,Group Status Bit 707" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB706 ,Group Status Bit 706" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB705 ,Group Status Bit 705" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB704 ,Group Status Bit 704" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16)) group.long 0x00D8++0x03 line.long 0x0 "GICD_IGROUPR22,Interrupt Group Register 22" bitfld.long 0x00 31. " GSB735 ,Group Status Bit 735" "Group 0,Group 1" bitfld.long 0x00 30. " GSB734 ,Group Status Bit 734" "Group 0,Group 1" bitfld.long 0x00 29. " GSB733 ,Group Status Bit 733" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB732 ,Group Status Bit 732" "Group 0,Group 1" bitfld.long 0x00 27. " GSB731 ,Group Status Bit 731" "Group 0,Group 1" bitfld.long 0x00 26. " GSB730 ,Group Status Bit 730" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB729 ,Group Status Bit 729" "Group 0,Group 1" bitfld.long 0x00 24. " GSB728 ,Group Status Bit 728" "Group 0,Group 1" bitfld.long 0x00 23. " GSB727 ,Group Status Bit 727" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB726 ,Group Status Bit 726" "Group 0,Group 1" bitfld.long 0x00 21. " GSB725 ,Group Status Bit 725" "Group 0,Group 1" bitfld.long 0x00 20. " GSB724 ,Group Status Bit 724" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB723 ,Group Status Bit 723" "Group 0,Group 1" bitfld.long 0x00 18. " GSB722 ,Group Status Bit 722" "Group 0,Group 1" bitfld.long 0x00 17. " GSB721 ,Group Status Bit 721" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB720 ,Group Status Bit 720" "Group 0,Group 1" bitfld.long 0x00 15. " GSB719 ,Group Status Bit 719" "Group 0,Group 1" bitfld.long 0x00 14. " GSB718 ,Group Status Bit 718" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB717 ,Group Status Bit 717" "Group 0,Group 1" bitfld.long 0x00 12. " GSB716 ,Group Status Bit 716" "Group 0,Group 1" bitfld.long 0x00 11. " GSB715 ,Group Status Bit 715" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB714 ,Group Status Bit 714" "Group 0,Group 1" bitfld.long 0x00 9. " GSB713 ,Group Status Bit 713" "Group 0,Group 1" bitfld.long 0x00 8. " GSB712 ,Group Status Bit 712" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB711 ,Group Status Bit 711" "Group 0,Group 1" bitfld.long 0x00 6. " GSB710 ,Group Status Bit 710" "Group 0,Group 1" bitfld.long 0x00 5. " GSB709 ,Group Status Bit 709" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB708 ,Group Status Bit 708" "Group 0,Group 1" bitfld.long 0x00 3. " GSB707 ,Group Status Bit 707" "Group 0,Group 1" bitfld.long 0x00 2. " GSB706 ,Group Status Bit 706" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB705 ,Group Status Bit 705" "Group 0,Group 1" bitfld.long 0x00 0. " GSB704 ,Group Status Bit 704" "Group 0,Group 1" else hgroup.long 0x00D8++0x03 hide.long 0x0 "GICD_IGROUPR22,Interrupt Group Register 22" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xDC))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17)) group.long 0x00DC++0x03 line.long 0x0 "GICD_IGROUPR23,Interrupt Group Register 23 (Secure Access)" bitfld.long 0x00 31. " GSB767 ,Group Status Bit 767" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB766 ,Group Status Bit 766" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB765 ,Group Status Bit 765" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB764 ,Group Status Bit 764" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB763 ,Group Status Bit 763" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB762 ,Group Status Bit 762" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB761 ,Group Status Bit 761" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB760 ,Group Status Bit 760" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB759 ,Group Status Bit 759" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB758 ,Group Status Bit 758" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB757 ,Group Status Bit 757" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB756 ,Group Status Bit 756" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB755 ,Group Status Bit 755" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB754 ,Group Status Bit 754" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB753 ,Group Status Bit 753" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB752 ,Group Status Bit 752" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB751 ,Group Status Bit 751" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB750 ,Group Status Bit 750" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB749 ,Group Status Bit 749" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB748 ,Group Status Bit 748" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB747 ,Group Status Bit 747" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB746 ,Group Status Bit 746" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB745 ,Group Status Bit 745" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB744 ,Group Status Bit 744" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB743 ,Group Status Bit 743" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB742 ,Group Status Bit 742" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB741 ,Group Status Bit 741" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB740 ,Group Status Bit 740" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB739 ,Group Status Bit 739" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB738 ,Group Status Bit 738" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB737 ,Group Status Bit 737" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB736 ,Group Status Bit 736" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17)) group.long 0x00DC++0x03 line.long 0x0 "GICD_IGROUPR23,Interrupt Group Register 23" bitfld.long 0x00 31. " GSB767 ,Group Status Bit 767" "Group 0,Group 1" bitfld.long 0x00 30. " GSB766 ,Group Status Bit 766" "Group 0,Group 1" bitfld.long 0x00 29. " GSB765 ,Group Status Bit 765" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB764 ,Group Status Bit 764" "Group 0,Group 1" bitfld.long 0x00 27. " GSB763 ,Group Status Bit 763" "Group 0,Group 1" bitfld.long 0x00 26. " GSB762 ,Group Status Bit 762" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB761 ,Group Status Bit 761" "Group 0,Group 1" bitfld.long 0x00 24. " GSB760 ,Group Status Bit 760" "Group 0,Group 1" bitfld.long 0x00 23. " GSB759 ,Group Status Bit 759" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB758 ,Group Status Bit 758" "Group 0,Group 1" bitfld.long 0x00 21. " GSB757 ,Group Status Bit 757" "Group 0,Group 1" bitfld.long 0x00 20. " GSB756 ,Group Status Bit 756" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB755 ,Group Status Bit 755" "Group 0,Group 1" bitfld.long 0x00 18. " GSB754 ,Group Status Bit 754" "Group 0,Group 1" bitfld.long 0x00 17. " GSB753 ,Group Status Bit 753" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB752 ,Group Status Bit 752" "Group 0,Group 1" bitfld.long 0x00 15. " GSB751 ,Group Status Bit 751" "Group 0,Group 1" bitfld.long 0x00 14. " GSB750 ,Group Status Bit 750" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB749 ,Group Status Bit 749" "Group 0,Group 1" bitfld.long 0x00 12. " GSB748 ,Group Status Bit 748" "Group 0,Group 1" bitfld.long 0x00 11. " GSB747 ,Group Status Bit 747" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB746 ,Group Status Bit 746" "Group 0,Group 1" bitfld.long 0x00 9. " GSB745 ,Group Status Bit 745" "Group 0,Group 1" bitfld.long 0x00 8. " GSB744 ,Group Status Bit 744" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB743 ,Group Status Bit 743" "Group 0,Group 1" bitfld.long 0x00 6. " GSB742 ,Group Status Bit 742" "Group 0,Group 1" bitfld.long 0x00 5. " GSB741 ,Group Status Bit 741" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB740 ,Group Status Bit 740" "Group 0,Group 1" bitfld.long 0x00 3. " GSB739 ,Group Status Bit 739" "Group 0,Group 1" bitfld.long 0x00 2. " GSB738 ,Group Status Bit 738" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB737 ,Group Status Bit 737" "Group 0,Group 1" bitfld.long 0x00 0. " GSB736 ,Group Status Bit 736" "Group 0,Group 1" else hgroup.long 0x00DC++0x03 hide.long 0x0 "GICD_IGROUPR23,Interrupt Group Register 23" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE0))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18)) group.long 0x00E0++0x03 line.long 0x0 "GICD_IGROUPR24,Interrupt Group Register 24 (Secure Access)" bitfld.long 0x00 31. " GSB799 ,Group Status Bit 799" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB798 ,Group Status Bit 798" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB797 ,Group Status Bit 797" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB796 ,Group Status Bit 796" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB795 ,Group Status Bit 795" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB794 ,Group Status Bit 794" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB793 ,Group Status Bit 793" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB792 ,Group Status Bit 792" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB791 ,Group Status Bit 791" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB790 ,Group Status Bit 790" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB789 ,Group Status Bit 789" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB788 ,Group Status Bit 788" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB787 ,Group Status Bit 787" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB786 ,Group Status Bit 786" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB785 ,Group Status Bit 785" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB784 ,Group Status Bit 784" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB783 ,Group Status Bit 783" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB782 ,Group Status Bit 782" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB781 ,Group Status Bit 781" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB780 ,Group Status Bit 780" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB779 ,Group Status Bit 779" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB778 ,Group Status Bit 778" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB777 ,Group Status Bit 777" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB776 ,Group Status Bit 776" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB775 ,Group Status Bit 775" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB774 ,Group Status Bit 774" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB773 ,Group Status Bit 773" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB772 ,Group Status Bit 772" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB771 ,Group Status Bit 771" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB770 ,Group Status Bit 770" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB769 ,Group Status Bit 769" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB768 ,Group Status Bit 768" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18)) group.long 0x00E0++0x03 line.long 0x0 "GICD_IGROUPR24,Interrupt Group Register 24" bitfld.long 0x00 31. " GSB799 ,Group Status Bit 799" "Group 0,Group 1" bitfld.long 0x00 30. " GSB798 ,Group Status Bit 798" "Group 0,Group 1" bitfld.long 0x00 29. " GSB797 ,Group Status Bit 797" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB796 ,Group Status Bit 796" "Group 0,Group 1" bitfld.long 0x00 27. " GSB795 ,Group Status Bit 795" "Group 0,Group 1" bitfld.long 0x00 26. " GSB794 ,Group Status Bit 794" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB793 ,Group Status Bit 793" "Group 0,Group 1" bitfld.long 0x00 24. " GSB792 ,Group Status Bit 792" "Group 0,Group 1" bitfld.long 0x00 23. " GSB791 ,Group Status Bit 791" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB790 ,Group Status Bit 790" "Group 0,Group 1" bitfld.long 0x00 21. " GSB789 ,Group Status Bit 789" "Group 0,Group 1" bitfld.long 0x00 20. " GSB788 ,Group Status Bit 788" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB787 ,Group Status Bit 787" "Group 0,Group 1" bitfld.long 0x00 18. " GSB786 ,Group Status Bit 786" "Group 0,Group 1" bitfld.long 0x00 17. " GSB785 ,Group Status Bit 785" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB784 ,Group Status Bit 784" "Group 0,Group 1" bitfld.long 0x00 15. " GSB783 ,Group Status Bit 783" "Group 0,Group 1" bitfld.long 0x00 14. " GSB782 ,Group Status Bit 782" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB781 ,Group Status Bit 781" "Group 0,Group 1" bitfld.long 0x00 12. " GSB780 ,Group Status Bit 780" "Group 0,Group 1" bitfld.long 0x00 11. " GSB779 ,Group Status Bit 779" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB778 ,Group Status Bit 778" "Group 0,Group 1" bitfld.long 0x00 9. " GSB777 ,Group Status Bit 777" "Group 0,Group 1" bitfld.long 0x00 8. " GSB776 ,Group Status Bit 776" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB775 ,Group Status Bit 775" "Group 0,Group 1" bitfld.long 0x00 6. " GSB774 ,Group Status Bit 774" "Group 0,Group 1" bitfld.long 0x00 5. " GSB773 ,Group Status Bit 773" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB772 ,Group Status Bit 772" "Group 0,Group 1" bitfld.long 0x00 3. " GSB771 ,Group Status Bit 771" "Group 0,Group 1" bitfld.long 0x00 2. " GSB770 ,Group Status Bit 770" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB769 ,Group Status Bit 769" "Group 0,Group 1" bitfld.long 0x00 0. " GSB768 ,Group Status Bit 768" "Group 0,Group 1" else hgroup.long 0x00E0++0x03 hide.long 0x0 "GICD_IGROUPR24,Interrupt Group Register 24" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE4))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19)) group.long 0x00E4++0x03 line.long 0x0 "GICD_IGROUPR25,Interrupt Group Register 25 (Secure Access)" bitfld.long 0x00 31. " GSB831 ,Group Status Bit 831" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB830 ,Group Status Bit 830" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB829 ,Group Status Bit 829" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB828 ,Group Status Bit 828" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB827 ,Group Status Bit 827" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB826 ,Group Status Bit 826" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB825 ,Group Status Bit 825" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB824 ,Group Status Bit 824" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB823 ,Group Status Bit 823" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB822 ,Group Status Bit 822" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB821 ,Group Status Bit 821" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB820 ,Group Status Bit 820" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB819 ,Group Status Bit 819" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB818 ,Group Status Bit 818" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB817 ,Group Status Bit 817" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB816 ,Group Status Bit 816" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB815 ,Group Status Bit 815" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB814 ,Group Status Bit 814" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB813 ,Group Status Bit 813" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB812 ,Group Status Bit 812" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB811 ,Group Status Bit 811" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB810 ,Group Status Bit 810" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB809 ,Group Status Bit 809" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB808 ,Group Status Bit 808" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB807 ,Group Status Bit 807" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB806 ,Group Status Bit 806" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB805 ,Group Status Bit 805" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB804 ,Group Status Bit 804" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB803 ,Group Status Bit 803" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB802 ,Group Status Bit 802" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB801 ,Group Status Bit 801" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB800 ,Group Status Bit 800" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19)) group.long 0x00E4++0x03 line.long 0x0 "GICD_IGROUPR25,Interrupt Group Register 25" bitfld.long 0x00 31. " GSB831 ,Group Status Bit 831" "Group 0,Group 1" bitfld.long 0x00 30. " GSB830 ,Group Status Bit 830" "Group 0,Group 1" bitfld.long 0x00 29. " GSB829 ,Group Status Bit 829" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB828 ,Group Status Bit 828" "Group 0,Group 1" bitfld.long 0x00 27. " GSB827 ,Group Status Bit 827" "Group 0,Group 1" bitfld.long 0x00 26. " GSB826 ,Group Status Bit 826" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB825 ,Group Status Bit 825" "Group 0,Group 1" bitfld.long 0x00 24. " GSB824 ,Group Status Bit 824" "Group 0,Group 1" bitfld.long 0x00 23. " GSB823 ,Group Status Bit 823" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB822 ,Group Status Bit 822" "Group 0,Group 1" bitfld.long 0x00 21. " GSB821 ,Group Status Bit 821" "Group 0,Group 1" bitfld.long 0x00 20. " GSB820 ,Group Status Bit 820" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB819 ,Group Status Bit 819" "Group 0,Group 1" bitfld.long 0x00 18. " GSB818 ,Group Status Bit 818" "Group 0,Group 1" bitfld.long 0x00 17. " GSB817 ,Group Status Bit 817" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB816 ,Group Status Bit 816" "Group 0,Group 1" bitfld.long 0x00 15. " GSB815 ,Group Status Bit 815" "Group 0,Group 1" bitfld.long 0x00 14. " GSB814 ,Group Status Bit 814" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB813 ,Group Status Bit 813" "Group 0,Group 1" bitfld.long 0x00 12. " GSB812 ,Group Status Bit 812" "Group 0,Group 1" bitfld.long 0x00 11. " GSB811 ,Group Status Bit 811" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB810 ,Group Status Bit 810" "Group 0,Group 1" bitfld.long 0x00 9. " GSB809 ,Group Status Bit 809" "Group 0,Group 1" bitfld.long 0x00 8. " GSB808 ,Group Status Bit 808" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB807 ,Group Status Bit 807" "Group 0,Group 1" bitfld.long 0x00 6. " GSB806 ,Group Status Bit 806" "Group 0,Group 1" bitfld.long 0x00 5. " GSB805 ,Group Status Bit 805" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB804 ,Group Status Bit 804" "Group 0,Group 1" bitfld.long 0x00 3. " GSB803 ,Group Status Bit 803" "Group 0,Group 1" bitfld.long 0x00 2. " GSB802 ,Group Status Bit 802" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB801 ,Group Status Bit 801" "Group 0,Group 1" bitfld.long 0x00 0. " GSB800 ,Group Status Bit 800" "Group 0,Group 1" else hgroup.long 0x00E4++0x03 hide.long 0x0 "GICD_IGROUPR25,Interrupt Group Register 25" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE8))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A)) group.long 0x00E8++0x03 line.long 0x0 "GICD_IGROUPR26,Interrupt Group Register 26 (Secure Access)" bitfld.long 0x00 31. " GSB863 ,Group Status Bit 863" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB862 ,Group Status Bit 862" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB861 ,Group Status Bit 861" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB860 ,Group Status Bit 860" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB859 ,Group Status Bit 859" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB858 ,Group Status Bit 858" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB857 ,Group Status Bit 857" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB856 ,Group Status Bit 856" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB855 ,Group Status Bit 855" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB854 ,Group Status Bit 854" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB853 ,Group Status Bit 853" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB852 ,Group Status Bit 852" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB851 ,Group Status Bit 851" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB850 ,Group Status Bit 850" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB849 ,Group Status Bit 849" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB848 ,Group Status Bit 848" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB847 ,Group Status Bit 847" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB846 ,Group Status Bit 846" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB845 ,Group Status Bit 845" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB844 ,Group Status Bit 844" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB843 ,Group Status Bit 843" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB842 ,Group Status Bit 842" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB841 ,Group Status Bit 841" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB840 ,Group Status Bit 840" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB839 ,Group Status Bit 839" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB838 ,Group Status Bit 838" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB837 ,Group Status Bit 837" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB836 ,Group Status Bit 836" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB835 ,Group Status Bit 835" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB834 ,Group Status Bit 834" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB833 ,Group Status Bit 833" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB832 ,Group Status Bit 832" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A)) group.long 0x00E8++0x03 line.long 0x0 "GICD_IGROUPR26,Interrupt Group Register 26" bitfld.long 0x00 31. " GSB863 ,Group Status Bit 863" "Group 0,Group 1" bitfld.long 0x00 30. " GSB862 ,Group Status Bit 862" "Group 0,Group 1" bitfld.long 0x00 29. " GSB861 ,Group Status Bit 861" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB860 ,Group Status Bit 860" "Group 0,Group 1" bitfld.long 0x00 27. " GSB859 ,Group Status Bit 859" "Group 0,Group 1" bitfld.long 0x00 26. " GSB858 ,Group Status Bit 858" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB857 ,Group Status Bit 857" "Group 0,Group 1" bitfld.long 0x00 24. " GSB856 ,Group Status Bit 856" "Group 0,Group 1" bitfld.long 0x00 23. " GSB855 ,Group Status Bit 855" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB854 ,Group Status Bit 854" "Group 0,Group 1" bitfld.long 0x00 21. " GSB853 ,Group Status Bit 853" "Group 0,Group 1" bitfld.long 0x00 20. " GSB852 ,Group Status Bit 852" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB851 ,Group Status Bit 851" "Group 0,Group 1" bitfld.long 0x00 18. " GSB850 ,Group Status Bit 850" "Group 0,Group 1" bitfld.long 0x00 17. " GSB849 ,Group Status Bit 849" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB848 ,Group Status Bit 848" "Group 0,Group 1" bitfld.long 0x00 15. " GSB847 ,Group Status Bit 847" "Group 0,Group 1" bitfld.long 0x00 14. " GSB846 ,Group Status Bit 846" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB845 ,Group Status Bit 845" "Group 0,Group 1" bitfld.long 0x00 12. " GSB844 ,Group Status Bit 844" "Group 0,Group 1" bitfld.long 0x00 11. " GSB843 ,Group Status Bit 843" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB842 ,Group Status Bit 842" "Group 0,Group 1" bitfld.long 0x00 9. " GSB841 ,Group Status Bit 841" "Group 0,Group 1" bitfld.long 0x00 8. " GSB840 ,Group Status Bit 840" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB839 ,Group Status Bit 839" "Group 0,Group 1" bitfld.long 0x00 6. " GSB838 ,Group Status Bit 838" "Group 0,Group 1" bitfld.long 0x00 5. " GSB837 ,Group Status Bit 837" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB836 ,Group Status Bit 836" "Group 0,Group 1" bitfld.long 0x00 3. " GSB835 ,Group Status Bit 835" "Group 0,Group 1" bitfld.long 0x00 2. " GSB834 ,Group Status Bit 834" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB833 ,Group Status Bit 833" "Group 0,Group 1" bitfld.long 0x00 0. " GSB832 ,Group Status Bit 832" "Group 0,Group 1" else hgroup.long 0x00E8++0x03 hide.long 0x0 "GICD_IGROUPR26,Interrupt Group Register 26" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEC))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B)) group.long 0x00EC++0x03 line.long 0x0 "GICD_IGROUPR27,Interrupt Group Register 27 (Secure Access)" bitfld.long 0x00 31. " GSB895 ,Group Status Bit 895" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB894 ,Group Status Bit 894" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB893 ,Group Status Bit 893" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB892 ,Group Status Bit 892" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB891 ,Group Status Bit 891" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB890 ,Group Status Bit 890" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB889 ,Group Status Bit 889" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB888 ,Group Status Bit 888" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB887 ,Group Status Bit 887" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB886 ,Group Status Bit 886" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB885 ,Group Status Bit 885" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB884 ,Group Status Bit 884" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB883 ,Group Status Bit 883" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB882 ,Group Status Bit 882" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB881 ,Group Status Bit 881" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB880 ,Group Status Bit 880" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB879 ,Group Status Bit 879" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB878 ,Group Status Bit 878" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB877 ,Group Status Bit 877" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB876 ,Group Status Bit 876" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB875 ,Group Status Bit 875" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB874 ,Group Status Bit 874" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB873 ,Group Status Bit 873" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB872 ,Group Status Bit 872" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB871 ,Group Status Bit 871" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB870 ,Group Status Bit 870" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB869 ,Group Status Bit 869" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB868 ,Group Status Bit 868" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB867 ,Group Status Bit 867" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB866 ,Group Status Bit 866" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB865 ,Group Status Bit 865" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB864 ,Group Status Bit 864" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B)) group.long 0x00EC++0x03 line.long 0x0 "GICD_IGROUPR27,Interrupt Group Register 27" bitfld.long 0x00 31. " GSB895 ,Group Status Bit 895" "Group 0,Group 1" bitfld.long 0x00 30. " GSB894 ,Group Status Bit 894" "Group 0,Group 1" bitfld.long 0x00 29. " GSB893 ,Group Status Bit 893" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB892 ,Group Status Bit 892" "Group 0,Group 1" bitfld.long 0x00 27. " GSB891 ,Group Status Bit 891" "Group 0,Group 1" bitfld.long 0x00 26. " GSB890 ,Group Status Bit 890" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB889 ,Group Status Bit 889" "Group 0,Group 1" bitfld.long 0x00 24. " GSB888 ,Group Status Bit 888" "Group 0,Group 1" bitfld.long 0x00 23. " GSB887 ,Group Status Bit 887" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB886 ,Group Status Bit 886" "Group 0,Group 1" bitfld.long 0x00 21. " GSB885 ,Group Status Bit 885" "Group 0,Group 1" bitfld.long 0x00 20. " GSB884 ,Group Status Bit 884" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB883 ,Group Status Bit 883" "Group 0,Group 1" bitfld.long 0x00 18. " GSB882 ,Group Status Bit 882" "Group 0,Group 1" bitfld.long 0x00 17. " GSB881 ,Group Status Bit 881" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB880 ,Group Status Bit 880" "Group 0,Group 1" bitfld.long 0x00 15. " GSB879 ,Group Status Bit 879" "Group 0,Group 1" bitfld.long 0x00 14. " GSB878 ,Group Status Bit 878" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB877 ,Group Status Bit 877" "Group 0,Group 1" bitfld.long 0x00 12. " GSB876 ,Group Status Bit 876" "Group 0,Group 1" bitfld.long 0x00 11. " GSB875 ,Group Status Bit 875" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB874 ,Group Status Bit 874" "Group 0,Group 1" bitfld.long 0x00 9. " GSB873 ,Group Status Bit 873" "Group 0,Group 1" bitfld.long 0x00 8. " GSB872 ,Group Status Bit 872" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB871 ,Group Status Bit 871" "Group 0,Group 1" bitfld.long 0x00 6. " GSB870 ,Group Status Bit 870" "Group 0,Group 1" bitfld.long 0x00 5. " GSB869 ,Group Status Bit 869" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB868 ,Group Status Bit 868" "Group 0,Group 1" bitfld.long 0x00 3. " GSB867 ,Group Status Bit 867" "Group 0,Group 1" bitfld.long 0x00 2. " GSB866 ,Group Status Bit 866" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB865 ,Group Status Bit 865" "Group 0,Group 1" bitfld.long 0x00 0. " GSB864 ,Group Status Bit 864" "Group 0,Group 1" else hgroup.long 0x00EC++0x03 hide.long 0x0 "GICD_IGROUPR27,Interrupt Group Register 27" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xF0))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C)) group.long 0x00F0++0x03 line.long 0x0 "GICD_IGROUPR28,Interrupt Group Register 28 (Secure Access)" bitfld.long 0x00 31. " GSB927 ,Group Status Bit 927" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB926 ,Group Status Bit 926" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB925 ,Group Status Bit 925" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB924 ,Group Status Bit 924" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB923 ,Group Status Bit 923" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB922 ,Group Status Bit 922" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB921 ,Group Status Bit 921" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB920 ,Group Status Bit 920" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB919 ,Group Status Bit 919" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB918 ,Group Status Bit 918" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB917 ,Group Status Bit 917" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB916 ,Group Status Bit 916" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB915 ,Group Status Bit 915" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB914 ,Group Status Bit 914" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB913 ,Group Status Bit 913" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB912 ,Group Status Bit 912" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB911 ,Group Status Bit 911" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB910 ,Group Status Bit 910" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB909 ,Group Status Bit 909" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB908 ,Group Status Bit 908" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB907 ,Group Status Bit 907" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB906 ,Group Status Bit 906" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB905 ,Group Status Bit 905" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB904 ,Group Status Bit 904" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB903 ,Group Status Bit 903" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB902 ,Group Status Bit 902" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB901 ,Group Status Bit 901" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB900 ,Group Status Bit 900" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB899 ,Group Status Bit 899" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB898 ,Group Status Bit 898" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB897 ,Group Status Bit 897" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB896 ,Group Status Bit 896" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C)) group.long 0x00F0++0x03 line.long 0x0 "GICD_IGROUPR28,Interrupt Group Register 28" bitfld.long 0x00 31. " GSB927 ,Group Status Bit 927" "Group 0,Group 1" bitfld.long 0x00 30. " GSB926 ,Group Status Bit 926" "Group 0,Group 1" bitfld.long 0x00 29. " GSB925 ,Group Status Bit 925" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB924 ,Group Status Bit 924" "Group 0,Group 1" bitfld.long 0x00 27. " GSB923 ,Group Status Bit 923" "Group 0,Group 1" bitfld.long 0x00 26. " GSB922 ,Group Status Bit 922" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB921 ,Group Status Bit 921" "Group 0,Group 1" bitfld.long 0x00 24. " GSB920 ,Group Status Bit 920" "Group 0,Group 1" bitfld.long 0x00 23. " GSB919 ,Group Status Bit 919" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB918 ,Group Status Bit 918" "Group 0,Group 1" bitfld.long 0x00 21. " GSB917 ,Group Status Bit 917" "Group 0,Group 1" bitfld.long 0x00 20. " GSB916 ,Group Status Bit 916" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB915 ,Group Status Bit 915" "Group 0,Group 1" bitfld.long 0x00 18. " GSB914 ,Group Status Bit 914" "Group 0,Group 1" bitfld.long 0x00 17. " GSB913 ,Group Status Bit 913" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB912 ,Group Status Bit 912" "Group 0,Group 1" bitfld.long 0x00 15. " GSB911 ,Group Status Bit 911" "Group 0,Group 1" bitfld.long 0x00 14. " GSB910 ,Group Status Bit 910" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB909 ,Group Status Bit 909" "Group 0,Group 1" bitfld.long 0x00 12. " GSB908 ,Group Status Bit 908" "Group 0,Group 1" bitfld.long 0x00 11. " GSB907 ,Group Status Bit 907" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB906 ,Group Status Bit 906" "Group 0,Group 1" bitfld.long 0x00 9. " GSB905 ,Group Status Bit 905" "Group 0,Group 1" bitfld.long 0x00 8. " GSB904 ,Group Status Bit 904" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB903 ,Group Status Bit 903" "Group 0,Group 1" bitfld.long 0x00 6. " GSB902 ,Group Status Bit 902" "Group 0,Group 1" bitfld.long 0x00 5. " GSB901 ,Group Status Bit 901" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB900 ,Group Status Bit 900" "Group 0,Group 1" bitfld.long 0x00 3. " GSB899 ,Group Status Bit 899" "Group 0,Group 1" bitfld.long 0x00 2. " GSB898 ,Group Status Bit 898" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB897 ,Group Status Bit 897" "Group 0,Group 1" bitfld.long 0x00 0. " GSB896 ,Group Status Bit 896" "Group 0,Group 1" else hgroup.long 0x00F0++0x03 hide.long 0x0 "GICD_IGROUPR28,Interrupt Group Register 28" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xF4))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D)) group.long 0x00F4++0x03 line.long 0x0 "GICD_IGROUPR29,Interrupt Group Register 29 (Secure Access)" bitfld.long 0x00 31. " GSB959 ,Group Status Bit 959" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB958 ,Group Status Bit 958" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB957 ,Group Status Bit 957" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB956 ,Group Status Bit 956" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB955 ,Group Status Bit 955" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB954 ,Group Status Bit 954" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB953 ,Group Status Bit 953" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB952 ,Group Status Bit 952" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB951 ,Group Status Bit 951" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB950 ,Group Status Bit 950" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB949 ,Group Status Bit 949" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB948 ,Group Status Bit 948" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB947 ,Group Status Bit 947" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB946 ,Group Status Bit 946" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB945 ,Group Status Bit 945" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB944 ,Group Status Bit 944" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB943 ,Group Status Bit 943" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB942 ,Group Status Bit 942" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB941 ,Group Status Bit 941" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB940 ,Group Status Bit 940" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB939 ,Group Status Bit 939" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB938 ,Group Status Bit 938" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB937 ,Group Status Bit 937" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB936 ,Group Status Bit 936" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB935 ,Group Status Bit 935" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB934 ,Group Status Bit 934" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB933 ,Group Status Bit 933" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB932 ,Group Status Bit 932" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB931 ,Group Status Bit 931" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB930 ,Group Status Bit 930" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB929 ,Group Status Bit 929" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB928 ,Group Status Bit 928" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D)) group.long 0x00F4++0x03 line.long 0x0 "GICD_IGROUPR29,Interrupt Group Register 29" bitfld.long 0x00 31. " GSB959 ,Group Status Bit 959" "Group 0,Group 1" bitfld.long 0x00 30. " GSB958 ,Group Status Bit 958" "Group 0,Group 1" bitfld.long 0x00 29. " GSB957 ,Group Status Bit 957" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB956 ,Group Status Bit 956" "Group 0,Group 1" bitfld.long 0x00 27. " GSB955 ,Group Status Bit 955" "Group 0,Group 1" bitfld.long 0x00 26. " GSB954 ,Group Status Bit 954" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB953 ,Group Status Bit 953" "Group 0,Group 1" bitfld.long 0x00 24. " GSB952 ,Group Status Bit 952" "Group 0,Group 1" bitfld.long 0x00 23. " GSB951 ,Group Status Bit 951" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB950 ,Group Status Bit 950" "Group 0,Group 1" bitfld.long 0x00 21. " GSB949 ,Group Status Bit 949" "Group 0,Group 1" bitfld.long 0x00 20. " GSB948 ,Group Status Bit 948" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB947 ,Group Status Bit 947" "Group 0,Group 1" bitfld.long 0x00 18. " GSB946 ,Group Status Bit 946" "Group 0,Group 1" bitfld.long 0x00 17. " GSB945 ,Group Status Bit 945" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB944 ,Group Status Bit 944" "Group 0,Group 1" bitfld.long 0x00 15. " GSB943 ,Group Status Bit 943" "Group 0,Group 1" bitfld.long 0x00 14. " GSB942 ,Group Status Bit 942" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB941 ,Group Status Bit 941" "Group 0,Group 1" bitfld.long 0x00 12. " GSB940 ,Group Status Bit 940" "Group 0,Group 1" bitfld.long 0x00 11. " GSB939 ,Group Status Bit 939" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB938 ,Group Status Bit 938" "Group 0,Group 1" bitfld.long 0x00 9. " GSB937 ,Group Status Bit 937" "Group 0,Group 1" bitfld.long 0x00 8. " GSB936 ,Group Status Bit 936" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB935 ,Group Status Bit 935" "Group 0,Group 1" bitfld.long 0x00 6. " GSB934 ,Group Status Bit 934" "Group 0,Group 1" bitfld.long 0x00 5. " GSB933 ,Group Status Bit 933" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB932 ,Group Status Bit 932" "Group 0,Group 1" bitfld.long 0x00 3. " GSB931 ,Group Status Bit 931" "Group 0,Group 1" bitfld.long 0x00 2. " GSB930 ,Group Status Bit 930" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB929 ,Group Status Bit 929" "Group 0,Group 1" bitfld.long 0x00 0. " GSB928 ,Group Status Bit 928" "Group 0,Group 1" else hgroup.long 0x00F4++0x03 hide.long 0x0 "GICD_IGROUPR29,Interrupt Group Register 29" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xF8))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E)) group.long 0x00F8++0x03 line.long 0x0 "GICD_IGROUPR30,Interrupt Group Register 30 (Secure Access)" bitfld.long 0x00 31. " GSB991 ,Group Status Bit 991" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB990 ,Group Status Bit 990" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB989 ,Group Status Bit 989" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB988 ,Group Status Bit 988" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB987 ,Group Status Bit 987" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB986 ,Group Status Bit 986" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB985 ,Group Status Bit 985" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB984 ,Group Status Bit 984" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB983 ,Group Status Bit 983" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB982 ,Group Status Bit 982" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB981 ,Group Status Bit 981" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB980 ,Group Status Bit 980" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB979 ,Group Status Bit 979" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB978 ,Group Status Bit 978" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB977 ,Group Status Bit 977" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB976 ,Group Status Bit 976" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB975 ,Group Status Bit 975" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB974 ,Group Status Bit 974" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB973 ,Group Status Bit 973" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB972 ,Group Status Bit 972" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB971 ,Group Status Bit 971" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB970 ,Group Status Bit 970" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB969 ,Group Status Bit 969" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB968 ,Group Status Bit 968" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB967 ,Group Status Bit 967" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB966 ,Group Status Bit 966" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB965 ,Group Status Bit 965" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB964 ,Group Status Bit 964" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB963 ,Group Status Bit 963" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB962 ,Group Status Bit 962" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB961 ,Group Status Bit 961" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB960 ,Group Status Bit 960" "Secure,Non-secure Group 1" elif ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E)) group.long 0x00F8++0x03 line.long 0x0 "GICD_IGROUPR30,Interrupt Group Register 30" bitfld.long 0x00 31. " GSB991 ,Group Status Bit 991" "Group 0,Group 1" bitfld.long 0x00 30. " GSB990 ,Group Status Bit 990" "Group 0,Group 1" bitfld.long 0x00 29. " GSB989 ,Group Status Bit 989" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB988 ,Group Status Bit 988" "Group 0,Group 1" bitfld.long 0x00 27. " GSB987 ,Group Status Bit 987" "Group 0,Group 1" bitfld.long 0x00 26. " GSB986 ,Group Status Bit 986" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB985 ,Group Status Bit 985" "Group 0,Group 1" bitfld.long 0x00 24. " GSB984 ,Group Status Bit 984" "Group 0,Group 1" bitfld.long 0x00 23. " GSB983 ,Group Status Bit 983" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB982 ,Group Status Bit 982" "Group 0,Group 1" bitfld.long 0x00 21. " GSB981 ,Group Status Bit 981" "Group 0,Group 1" bitfld.long 0x00 20. " GSB980 ,Group Status Bit 980" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB979 ,Group Status Bit 979" "Group 0,Group 1" bitfld.long 0x00 18. " GSB978 ,Group Status Bit 978" "Group 0,Group 1" bitfld.long 0x00 17. " GSB977 ,Group Status Bit 977" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB976 ,Group Status Bit 976" "Group 0,Group 1" bitfld.long 0x00 15. " GSB975 ,Group Status Bit 975" "Group 0,Group 1" bitfld.long 0x00 14. " GSB974 ,Group Status Bit 974" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB973 ,Group Status Bit 973" "Group 0,Group 1" bitfld.long 0x00 12. " GSB972 ,Group Status Bit 972" "Group 0,Group 1" bitfld.long 0x00 11. " GSB971 ,Group Status Bit 971" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB970 ,Group Status Bit 970" "Group 0,Group 1" bitfld.long 0x00 9. " GSB969 ,Group Status Bit 969" "Group 0,Group 1" bitfld.long 0x00 8. " GSB968 ,Group Status Bit 968" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB967 ,Group Status Bit 967" "Group 0,Group 1" bitfld.long 0x00 6. " GSB966 ,Group Status Bit 966" "Group 0,Group 1" bitfld.long 0x00 5. " GSB965 ,Group Status Bit 965" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB964 ,Group Status Bit 964" "Group 0,Group 1" bitfld.long 0x00 3. " GSB963 ,Group Status Bit 963" "Group 0,Group 1" bitfld.long 0x00 2. " GSB962 ,Group Status Bit 962" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB961 ,Group Status Bit 961" "Group 0,Group 1" bitfld.long 0x00 0. " GSB960 ,Group Status Bit 960" "Group 0,Group 1" else hgroup.long 0x00F8++0x03 hide.long 0x0 "GICD_IGROUPR30,Interrupt Group Register 30" endif tree.end width 24. tree "Set/Clear Enable Registers" if (((per.l(COMP.BASE("GICD",-1.)))&0x10)==0x10) hgroup.long 0x0100++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER0,Interrupt Set/Clear Enable Register 0" newline newline newline newline newline newline newline newline newline newline else group.long 0x0100++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER0,Interrupt Set/Clear Enable Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB31 ,Set/Clear Enable Bit 31" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB30 ,Set/Clear Enable Bit 30" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB29 ,Set/Clear Enable Bit 29" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB28 ,Set/Clear Enable Bit 28" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB27 ,Set/Clear Enable Bit 27" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB26 ,Set/Clear Enable Bit 26" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB25 ,Set/Clear Enable Bit 25" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB24 ,Set/Clear Enable Bit 24" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB23 ,Set/Clear Enable Bit 23" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB22 ,Set/Clear Enable Bit 22" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB21 ,Set/Clear Enable Bit 21" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB20 ,Set/Clear Enable Bit 20" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB19 ,Set/Clear Enable Bit 19" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB18 ,Set/Clear Enable Bit 18" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB17 ,Set/Clear Enable Bit 17" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB16 ,Set/Clear Enable Bit 16" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB15 ,Set/Clear Enable Bit 15" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB14 ,Set/Clear Enable Bit 14" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB13 ,Set/Clear Enable Bit 13" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB12 ,Set/Clear Enable Bit 12" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB11 ,Set/Clear Enable Bit 11" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB10 ,Set/Clear Enable Bit 10" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB9 ,Set/Clear Enable Bit 9" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB8 ,Set/Clear Enable Bit 8" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB7 ,Set/Clear Enable Bit 7" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB6 ,Set/Clear Enable Bit 6" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB5 ,Set/Clear Enable Bit 5" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB4 ,Set/Clear Enable Bit 4" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB3 ,Set/Clear Enable Bit 3" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB2 ,Set/Clear Enable Bit 2" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB1 ,Set/Clear Enable Bit 1" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB0 ,Set/Clear Enable Bit 0" "Disabled,Enabled" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x01) group.long 0x0104++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER1,Interrupt Set/Clear Enable Register 1" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB63 ,Set/Clear Enable Bit 63" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB62 ,Set/Clear Enable Bit 62" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB61 ,Set/Clear Enable Bit 61" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB60 ,Set/Clear Enable Bit 60" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB59 ,Set/Clear Enable Bit 59" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB58 ,Set/Clear Enable Bit 58" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB57 ,Set/Clear Enable Bit 57" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB56 ,Set/Clear Enable Bit 56" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB55 ,Set/Clear Enable Bit 55" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB54 ,Set/Clear Enable Bit 54" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB53 ,Set/Clear Enable Bit 53" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB52 ,Set/Clear Enable Bit 52" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB51 ,Set/Clear Enable Bit 51" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB50 ,Set/Clear Enable Bit 50" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB49 ,Set/Clear Enable Bit 49" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB48 ,Set/Clear Enable Bit 48" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB47 ,Set/Clear Enable Bit 47" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB46 ,Set/Clear Enable Bit 46" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB45 ,Set/Clear Enable Bit 45" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB44 ,Set/Clear Enable Bit 44" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB43 ,Set/Clear Enable Bit 43" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB42 ,Set/Clear Enable Bit 42" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB41 ,Set/Clear Enable Bit 41" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB40 ,Set/Clear Enable Bit 40" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB39 ,Set/Clear Enable Bit 39" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB38 ,Set/Clear Enable Bit 38" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB37 ,Set/Clear Enable Bit 37" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB36 ,Set/Clear Enable Bit 36" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB35 ,Set/Clear Enable Bit 35" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB34 ,Set/Clear Enable Bit 34" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB33 ,Set/Clear Enable Bit 33" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB32 ,Set/Clear Enable Bit 32" "Disabled,Enabled" else hgroup.long 0x0104++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER1,Interrupt Set/Clear Enable Register 1" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x02) group.long 0x0108++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER2,Interrupt Set/Clear Enable Register 2" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB95 ,Set/Clear Enable Bit 95" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB94 ,Set/Clear Enable Bit 94" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB93 ,Set/Clear Enable Bit 93" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB92 ,Set/Clear Enable Bit 92" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB91 ,Set/Clear Enable Bit 91" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB90 ,Set/Clear Enable Bit 90" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB89 ,Set/Clear Enable Bit 89" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB88 ,Set/Clear Enable Bit 88" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB87 ,Set/Clear Enable Bit 87" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB86 ,Set/Clear Enable Bit 86" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB85 ,Set/Clear Enable Bit 85" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB84 ,Set/Clear Enable Bit 84" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB83 ,Set/Clear Enable Bit 83" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB82 ,Set/Clear Enable Bit 82" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB81 ,Set/Clear Enable Bit 81" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB80 ,Set/Clear Enable Bit 80" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB79 ,Set/Clear Enable Bit 79" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB78 ,Set/Clear Enable Bit 78" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB77 ,Set/Clear Enable Bit 77" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB76 ,Set/Clear Enable Bit 76" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB75 ,Set/Clear Enable Bit 75" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB74 ,Set/Clear Enable Bit 74" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB73 ,Set/Clear Enable Bit 73" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB72 ,Set/Clear Enable Bit 72" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB71 ,Set/Clear Enable Bit 71" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB70 ,Set/Clear Enable Bit 70" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB69 ,Set/Clear Enable Bit 69" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB68 ,Set/Clear Enable Bit 68" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB67 ,Set/Clear Enable Bit 67" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB66 ,Set/Clear Enable Bit 66" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB65 ,Set/Clear Enable Bit 65" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB64 ,Set/Clear Enable Bit 64" "Disabled,Enabled" else hgroup.long 0x0108++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER2,Interrupt Set/Clear Enable Register 2" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x03) group.long 0x010C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER3,Interrupt Set/Clear Enable Register 3" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB127 ,Set/Clear Enable Bit 127" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB126 ,Set/Clear Enable Bit 126" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB125 ,Set/Clear Enable Bit 125" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB124 ,Set/Clear Enable Bit 124" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB123 ,Set/Clear Enable Bit 123" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB122 ,Set/Clear Enable Bit 122" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB121 ,Set/Clear Enable Bit 121" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB120 ,Set/Clear Enable Bit 120" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB119 ,Set/Clear Enable Bit 119" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB118 ,Set/Clear Enable Bit 118" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB117 ,Set/Clear Enable Bit 117" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB116 ,Set/Clear Enable Bit 116" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB115 ,Set/Clear Enable Bit 115" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB114 ,Set/Clear Enable Bit 114" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB113 ,Set/Clear Enable Bit 113" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB112 ,Set/Clear Enable Bit 112" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB111 ,Set/Clear Enable Bit 111" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB110 ,Set/Clear Enable Bit 110" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB109 ,Set/Clear Enable Bit 109" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB108 ,Set/Clear Enable Bit 108" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB107 ,Set/Clear Enable Bit 107" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB106 ,Set/Clear Enable Bit 106" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB105 ,Set/Clear Enable Bit 105" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB104 ,Set/Clear Enable Bit 104" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB103 ,Set/Clear Enable Bit 103" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB102 ,Set/Clear Enable Bit 102" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB101 ,Set/Clear Enable Bit 101" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB100 ,Set/Clear Enable Bit 100" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB99 ,Set/Clear Enable Bit 99" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB98 ,Set/Clear Enable Bit 98" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB97 ,Set/Clear Enable Bit 97" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB96 ,Set/Clear Enable Bit 96" "Disabled,Enabled" else hgroup.long 0x010C++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER3,Interrupt Set/Clear Enable Register 3" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x04) group.long 0x0110++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER4,Interrupt Set/Clear Enable Register 4" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB159 ,Set/Clear Enable Bit 159" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB158 ,Set/Clear Enable Bit 158" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB157 ,Set/Clear Enable Bit 157" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB156 ,Set/Clear Enable Bit 156" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB155 ,Set/Clear Enable Bit 155" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB154 ,Set/Clear Enable Bit 154" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB153 ,Set/Clear Enable Bit 153" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB152 ,Set/Clear Enable Bit 152" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB151 ,Set/Clear Enable Bit 151" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB150 ,Set/Clear Enable Bit 150" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB149 ,Set/Clear Enable Bit 149" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB148 ,Set/Clear Enable Bit 148" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB147 ,Set/Clear Enable Bit 147" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB146 ,Set/Clear Enable Bit 146" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB145 ,Set/Clear Enable Bit 145" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB144 ,Set/Clear Enable Bit 144" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB143 ,Set/Clear Enable Bit 143" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB142 ,Set/Clear Enable Bit 142" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB141 ,Set/Clear Enable Bit 141" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB140 ,Set/Clear Enable Bit 140" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB139 ,Set/Clear Enable Bit 139" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB138 ,Set/Clear Enable Bit 138" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB137 ,Set/Clear Enable Bit 137" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB136 ,Set/Clear Enable Bit 136" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB135 ,Set/Clear Enable Bit 135" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB134 ,Set/Clear Enable Bit 134" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB133 ,Set/Clear Enable Bit 133" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB132 ,Set/Clear Enable Bit 132" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB131 ,Set/Clear Enable Bit 131" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB130 ,Set/Clear Enable Bit 130" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB129 ,Set/Clear Enable Bit 129" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB128 ,Set/Clear Enable Bit 128" "Disabled,Enabled" else hgroup.long 0x0110++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER4,Interrupt Set/Clear Enable Register 4" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x05) group.long 0x0114++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER5,Interrupt Set/Clear Enable Register 5" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB191 ,Set/Clear Enable Bit 191" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB190 ,Set/Clear Enable Bit 190" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB189 ,Set/Clear Enable Bit 189" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB188 ,Set/Clear Enable Bit 188" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB187 ,Set/Clear Enable Bit 187" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB186 ,Set/Clear Enable Bit 186" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB185 ,Set/Clear Enable Bit 185" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB184 ,Set/Clear Enable Bit 184" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB183 ,Set/Clear Enable Bit 183" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB182 ,Set/Clear Enable Bit 182" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB181 ,Set/Clear Enable Bit 181" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB180 ,Set/Clear Enable Bit 180" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB179 ,Set/Clear Enable Bit 179" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB178 ,Set/Clear Enable Bit 178" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB177 ,Set/Clear Enable Bit 177" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB176 ,Set/Clear Enable Bit 176" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB175 ,Set/Clear Enable Bit 175" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB174 ,Set/Clear Enable Bit 174" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB173 ,Set/Clear Enable Bit 173" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB172 ,Set/Clear Enable Bit 172" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB171 ,Set/Clear Enable Bit 171" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB170 ,Set/Clear Enable Bit 170" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB169 ,Set/Clear Enable Bit 169" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB168 ,Set/Clear Enable Bit 168" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB167 ,Set/Clear Enable Bit 167" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB166 ,Set/Clear Enable Bit 166" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB165 ,Set/Clear Enable Bit 165" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB164 ,Set/Clear Enable Bit 164" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB163 ,Set/Clear Enable Bit 163" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB162 ,Set/Clear Enable Bit 162" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB161 ,Set/Clear Enable Bit 161" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB160 ,Set/Clear Enable Bit 160" "Disabled,Enabled" else hgroup.long 0x0114++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER5,Interrupt Set/Clear Enable Register 5" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x06) group.long 0x0118++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER6,Interrupt Set/Clear Enable Register 6" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB223 ,Set/Clear Enable Bit 223" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB222 ,Set/Clear Enable Bit 222" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB221 ,Set/Clear Enable Bit 221" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB220 ,Set/Clear Enable Bit 220" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB219 ,Set/Clear Enable Bit 219" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB218 ,Set/Clear Enable Bit 218" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB217 ,Set/Clear Enable Bit 217" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB216 ,Set/Clear Enable Bit 216" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB215 ,Set/Clear Enable Bit 215" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB214 ,Set/Clear Enable Bit 214" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB213 ,Set/Clear Enable Bit 213" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB212 ,Set/Clear Enable Bit 212" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB211 ,Set/Clear Enable Bit 211" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB210 ,Set/Clear Enable Bit 210" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB209 ,Set/Clear Enable Bit 209" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB208 ,Set/Clear Enable Bit 208" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB207 ,Set/Clear Enable Bit 207" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB206 ,Set/Clear Enable Bit 206" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB205 ,Set/Clear Enable Bit 205" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB204 ,Set/Clear Enable Bit 204" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB203 ,Set/Clear Enable Bit 203" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB202 ,Set/Clear Enable Bit 202" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB201 ,Set/Clear Enable Bit 201" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB200 ,Set/Clear Enable Bit 200" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB199 ,Set/Clear Enable Bit 199" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB198 ,Set/Clear Enable Bit 198" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB197 ,Set/Clear Enable Bit 197" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB196 ,Set/Clear Enable Bit 196" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB195 ,Set/Clear Enable Bit 195" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB194 ,Set/Clear Enable Bit 194" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB193 ,Set/Clear Enable Bit 193" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB192 ,Set/Clear Enable Bit 192" "Disabled,Enabled" else hgroup.long 0x0118++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER6,Interrupt Set/Clear Enable Register 6" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x07) group.long 0x011C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER7,Interrupt Set/Clear Enable Register 7" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB255 ,Set/Clear Enable Bit 255" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB254 ,Set/Clear Enable Bit 254" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB253 ,Set/Clear Enable Bit 253" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB252 ,Set/Clear Enable Bit 252" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB251 ,Set/Clear Enable Bit 251" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB250 ,Set/Clear Enable Bit 250" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB249 ,Set/Clear Enable Bit 249" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB248 ,Set/Clear Enable Bit 248" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB247 ,Set/Clear Enable Bit 247" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB246 ,Set/Clear Enable Bit 246" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB245 ,Set/Clear Enable Bit 245" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB244 ,Set/Clear Enable Bit 244" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB243 ,Set/Clear Enable Bit 243" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB242 ,Set/Clear Enable Bit 242" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB241 ,Set/Clear Enable Bit 241" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB240 ,Set/Clear Enable Bit 240" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB239 ,Set/Clear Enable Bit 239" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB238 ,Set/Clear Enable Bit 238" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB237 ,Set/Clear Enable Bit 237" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB236 ,Set/Clear Enable Bit 236" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB235 ,Set/Clear Enable Bit 235" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB234 ,Set/Clear Enable Bit 234" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB233 ,Set/Clear Enable Bit 233" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB232 ,Set/Clear Enable Bit 232" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB231 ,Set/Clear Enable Bit 231" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB230 ,Set/Clear Enable Bit 230" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB229 ,Set/Clear Enable Bit 229" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB228 ,Set/Clear Enable Bit 228" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB227 ,Set/Clear Enable Bit 227" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB226 ,Set/Clear Enable Bit 226" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB225 ,Set/Clear Enable Bit 225" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB224 ,Set/Clear Enable Bit 224" "Disabled,Enabled" else hgroup.long 0x011C++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER7,Interrupt Set/Clear Enable Register 7" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x08) group.long 0x0120++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER8,Interrupt Set/Clear Enable Register 8" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB287 ,Set/Clear Enable Bit 287" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB286 ,Set/Clear Enable Bit 286" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB285 ,Set/Clear Enable Bit 285" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB284 ,Set/Clear Enable Bit 284" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB283 ,Set/Clear Enable Bit 283" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB282 ,Set/Clear Enable Bit 282" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB281 ,Set/Clear Enable Bit 281" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB280 ,Set/Clear Enable Bit 280" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB279 ,Set/Clear Enable Bit 279" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB278 ,Set/Clear Enable Bit 278" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB277 ,Set/Clear Enable Bit 277" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB276 ,Set/Clear Enable Bit 276" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB275 ,Set/Clear Enable Bit 275" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB274 ,Set/Clear Enable Bit 274" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB273 ,Set/Clear Enable Bit 273" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB272 ,Set/Clear Enable Bit 272" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB271 ,Set/Clear Enable Bit 271" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB270 ,Set/Clear Enable Bit 270" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB269 ,Set/Clear Enable Bit 269" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB268 ,Set/Clear Enable Bit 268" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB267 ,Set/Clear Enable Bit 267" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB266 ,Set/Clear Enable Bit 266" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB265 ,Set/Clear Enable Bit 265" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB264 ,Set/Clear Enable Bit 264" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB263 ,Set/Clear Enable Bit 263" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB262 ,Set/Clear Enable Bit 262" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB261 ,Set/Clear Enable Bit 261" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB260 ,Set/Clear Enable Bit 260" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB259 ,Set/Clear Enable Bit 259" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB258 ,Set/Clear Enable Bit 258" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB257 ,Set/Clear Enable Bit 257" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB256 ,Set/Clear Enable Bit 256" "Disabled,Enabled" else hgroup.long 0x0120++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER8,Interrupt Set/Clear Enable Register 8" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x09) group.long 0x0124++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER9,Interrupt Set/Clear Enable Register 9" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB319 ,Set/Clear Enable Bit 319" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB318 ,Set/Clear Enable Bit 318" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB317 ,Set/Clear Enable Bit 317" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB316 ,Set/Clear Enable Bit 316" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB315 ,Set/Clear Enable Bit 315" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB314 ,Set/Clear Enable Bit 314" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB313 ,Set/Clear Enable Bit 313" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB312 ,Set/Clear Enable Bit 312" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB311 ,Set/Clear Enable Bit 311" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB310 ,Set/Clear Enable Bit 310" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB309 ,Set/Clear Enable Bit 309" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB308 ,Set/Clear Enable Bit 308" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB307 ,Set/Clear Enable Bit 307" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB306 ,Set/Clear Enable Bit 306" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB305 ,Set/Clear Enable Bit 305" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB304 ,Set/Clear Enable Bit 304" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB303 ,Set/Clear Enable Bit 303" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB302 ,Set/Clear Enable Bit 302" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB301 ,Set/Clear Enable Bit 301" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB300 ,Set/Clear Enable Bit 300" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB299 ,Set/Clear Enable Bit 299" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB298 ,Set/Clear Enable Bit 298" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB297 ,Set/Clear Enable Bit 297" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB296 ,Set/Clear Enable Bit 296" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB295 ,Set/Clear Enable Bit 295" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB294 ,Set/Clear Enable Bit 294" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB293 ,Set/Clear Enable Bit 293" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB292 ,Set/Clear Enable Bit 292" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB291 ,Set/Clear Enable Bit 291" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB290 ,Set/Clear Enable Bit 290" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB289 ,Set/Clear Enable Bit 289" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB288 ,Set/Clear Enable Bit 288" "Disabled,Enabled" else hgroup.long 0x0124++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER9,Interrupt Set/Clear Enable Register 9" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0A) group.long 0x0128++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER10,Interrupt Set/Clear Enable Register 10" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB351 ,Set/Clear Enable Bit 351" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB350 ,Set/Clear Enable Bit 350" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB349 ,Set/Clear Enable Bit 349" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB348 ,Set/Clear Enable Bit 348" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB347 ,Set/Clear Enable Bit 347" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB346 ,Set/Clear Enable Bit 346" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB345 ,Set/Clear Enable Bit 345" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB344 ,Set/Clear Enable Bit 344" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB343 ,Set/Clear Enable Bit 343" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB342 ,Set/Clear Enable Bit 342" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB341 ,Set/Clear Enable Bit 341" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB340 ,Set/Clear Enable Bit 340" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB339 ,Set/Clear Enable Bit 339" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB338 ,Set/Clear Enable Bit 338" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB337 ,Set/Clear Enable Bit 337" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB336 ,Set/Clear Enable Bit 336" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB335 ,Set/Clear Enable Bit 335" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB334 ,Set/Clear Enable Bit 334" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB333 ,Set/Clear Enable Bit 333" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB332 ,Set/Clear Enable Bit 332" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB331 ,Set/Clear Enable Bit 331" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB330 ,Set/Clear Enable Bit 330" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB329 ,Set/Clear Enable Bit 329" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB328 ,Set/Clear Enable Bit 328" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB327 ,Set/Clear Enable Bit 327" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB326 ,Set/Clear Enable Bit 326" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB325 ,Set/Clear Enable Bit 325" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB324 ,Set/Clear Enable Bit 324" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB323 ,Set/Clear Enable Bit 323" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB322 ,Set/Clear Enable Bit 322" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB321 ,Set/Clear Enable Bit 321" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB320 ,Set/Clear Enable Bit 320" "Disabled,Enabled" else hgroup.long 0x0128++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER10,Interrupt Set/Clear Enable Register 10" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0B) group.long 0x012C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER11,Interrupt Set/Clear Enable Register 11" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB383 ,Set/Clear Enable Bit 383" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB382 ,Set/Clear Enable Bit 382" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB381 ,Set/Clear Enable Bit 381" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB380 ,Set/Clear Enable Bit 380" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB379 ,Set/Clear Enable Bit 379" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB378 ,Set/Clear Enable Bit 378" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB377 ,Set/Clear Enable Bit 377" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB376 ,Set/Clear Enable Bit 376" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB375 ,Set/Clear Enable Bit 375" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB374 ,Set/Clear Enable Bit 374" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB373 ,Set/Clear Enable Bit 373" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB372 ,Set/Clear Enable Bit 372" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB371 ,Set/Clear Enable Bit 371" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB370 ,Set/Clear Enable Bit 370" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB369 ,Set/Clear Enable Bit 369" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB368 ,Set/Clear Enable Bit 368" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB367 ,Set/Clear Enable Bit 367" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB366 ,Set/Clear Enable Bit 366" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB365 ,Set/Clear Enable Bit 365" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB364 ,Set/Clear Enable Bit 364" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB363 ,Set/Clear Enable Bit 363" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB362 ,Set/Clear Enable Bit 362" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB361 ,Set/Clear Enable Bit 361" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB360 ,Set/Clear Enable Bit 360" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB359 ,Set/Clear Enable Bit 359" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB358 ,Set/Clear Enable Bit 358" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB357 ,Set/Clear Enable Bit 357" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB356 ,Set/Clear Enable Bit 356" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB355 ,Set/Clear Enable Bit 355" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB354 ,Set/Clear Enable Bit 354" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB353 ,Set/Clear Enable Bit 353" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB352 ,Set/Clear Enable Bit 352" "Disabled,Enabled" else hgroup.long 0x012C++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER11,Interrupt Set/Clear Enable Register 11" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0C) group.long 0x0130++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER12,Interrupt Set/Clear Enable Register 12" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB415 ,Set/Clear Enable Bit 415" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB414 ,Set/Clear Enable Bit 414" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB413 ,Set/Clear Enable Bit 413" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB412 ,Set/Clear Enable Bit 412" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB411 ,Set/Clear Enable Bit 411" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB410 ,Set/Clear Enable Bit 410" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB409 ,Set/Clear Enable Bit 409" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB408 ,Set/Clear Enable Bit 408" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB407 ,Set/Clear Enable Bit 407" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB406 ,Set/Clear Enable Bit 406" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB405 ,Set/Clear Enable Bit 405" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB404 ,Set/Clear Enable Bit 404" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB403 ,Set/Clear Enable Bit 403" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB402 ,Set/Clear Enable Bit 402" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB401 ,Set/Clear Enable Bit 401" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB400 ,Set/Clear Enable Bit 400" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB399 ,Set/Clear Enable Bit 399" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB398 ,Set/Clear Enable Bit 398" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB397 ,Set/Clear Enable Bit 397" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB396 ,Set/Clear Enable Bit 396" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB395 ,Set/Clear Enable Bit 395" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB394 ,Set/Clear Enable Bit 394" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB393 ,Set/Clear Enable Bit 393" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB392 ,Set/Clear Enable Bit 392" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB391 ,Set/Clear Enable Bit 391" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB390 ,Set/Clear Enable Bit 390" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB389 ,Set/Clear Enable Bit 389" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB388 ,Set/Clear Enable Bit 388" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB387 ,Set/Clear Enable Bit 387" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB386 ,Set/Clear Enable Bit 386" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB385 ,Set/Clear Enable Bit 385" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB384 ,Set/Clear Enable Bit 384" "Disabled,Enabled" else hgroup.long 0x0130++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER12,Interrupt Set/Clear Enable Register 12" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0D) group.long 0x0134++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER13,Interrupt Set/Clear Enable Register 13" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB447 ,Set/Clear Enable Bit 447" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB446 ,Set/Clear Enable Bit 446" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB445 ,Set/Clear Enable Bit 445" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB444 ,Set/Clear Enable Bit 444" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB443 ,Set/Clear Enable Bit 443" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB442 ,Set/Clear Enable Bit 442" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB441 ,Set/Clear Enable Bit 441" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB440 ,Set/Clear Enable Bit 440" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB439 ,Set/Clear Enable Bit 439" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB438 ,Set/Clear Enable Bit 438" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB437 ,Set/Clear Enable Bit 437" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB436 ,Set/Clear Enable Bit 436" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB435 ,Set/Clear Enable Bit 435" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB434 ,Set/Clear Enable Bit 434" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB433 ,Set/Clear Enable Bit 433" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB432 ,Set/Clear Enable Bit 432" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB431 ,Set/Clear Enable Bit 431" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB430 ,Set/Clear Enable Bit 430" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB429 ,Set/Clear Enable Bit 429" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB428 ,Set/Clear Enable Bit 428" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB427 ,Set/Clear Enable Bit 427" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB426 ,Set/Clear Enable Bit 426" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB425 ,Set/Clear Enable Bit 425" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB424 ,Set/Clear Enable Bit 424" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB423 ,Set/Clear Enable Bit 423" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB422 ,Set/Clear Enable Bit 422" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB421 ,Set/Clear Enable Bit 421" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB420 ,Set/Clear Enable Bit 420" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB419 ,Set/Clear Enable Bit 419" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB418 ,Set/Clear Enable Bit 418" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB417 ,Set/Clear Enable Bit 417" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB416 ,Set/Clear Enable Bit 416" "Disabled,Enabled" else hgroup.long 0x0134++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER13,Interrupt Set/Clear Enable Register 13" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0E) group.long 0x0138++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER14,Interrupt Set/Clear Enable Register 14" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB479 ,Set/Clear Enable Bit 479" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB478 ,Set/Clear Enable Bit 478" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB477 ,Set/Clear Enable Bit 477" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB476 ,Set/Clear Enable Bit 476" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB475 ,Set/Clear Enable Bit 475" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB474 ,Set/Clear Enable Bit 474" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB473 ,Set/Clear Enable Bit 473" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB472 ,Set/Clear Enable Bit 472" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB471 ,Set/Clear Enable Bit 471" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB470 ,Set/Clear Enable Bit 470" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB469 ,Set/Clear Enable Bit 469" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB468 ,Set/Clear Enable Bit 468" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB467 ,Set/Clear Enable Bit 467" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB466 ,Set/Clear Enable Bit 466" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB465 ,Set/Clear Enable Bit 465" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB464 ,Set/Clear Enable Bit 464" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB463 ,Set/Clear Enable Bit 463" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB462 ,Set/Clear Enable Bit 462" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB461 ,Set/Clear Enable Bit 461" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB460 ,Set/Clear Enable Bit 460" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB459 ,Set/Clear Enable Bit 459" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB458 ,Set/Clear Enable Bit 458" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB457 ,Set/Clear Enable Bit 457" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB456 ,Set/Clear Enable Bit 456" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB455 ,Set/Clear Enable Bit 455" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB454 ,Set/Clear Enable Bit 454" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB453 ,Set/Clear Enable Bit 453" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB452 ,Set/Clear Enable Bit 452" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB451 ,Set/Clear Enable Bit 451" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB450 ,Set/Clear Enable Bit 450" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB449 ,Set/Clear Enable Bit 449" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB448 ,Set/Clear Enable Bit 448" "Disabled,Enabled" else hgroup.long 0x0138++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER14,Interrupt Set/Clear Enable Register 14" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0F) group.long 0x013C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER15,Interrupt Set/Clear Enable Register 15" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB511 ,Set/Clear Enable Bit 511" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB510 ,Set/Clear Enable Bit 510" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB509 ,Set/Clear Enable Bit 509" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB508 ,Set/Clear Enable Bit 508" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB507 ,Set/Clear Enable Bit 507" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB506 ,Set/Clear Enable Bit 506" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB505 ,Set/Clear Enable Bit 505" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB504 ,Set/Clear Enable Bit 504" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB503 ,Set/Clear Enable Bit 503" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB502 ,Set/Clear Enable Bit 502" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB501 ,Set/Clear Enable Bit 501" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB500 ,Set/Clear Enable Bit 500" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB499 ,Set/Clear Enable Bit 499" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB498 ,Set/Clear Enable Bit 498" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB497 ,Set/Clear Enable Bit 497" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB496 ,Set/Clear Enable Bit 496" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB495 ,Set/Clear Enable Bit 495" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB494 ,Set/Clear Enable Bit 494" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB493 ,Set/Clear Enable Bit 493" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB492 ,Set/Clear Enable Bit 492" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB491 ,Set/Clear Enable Bit 491" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB490 ,Set/Clear Enable Bit 490" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB489 ,Set/Clear Enable Bit 489" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB488 ,Set/Clear Enable Bit 488" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB487 ,Set/Clear Enable Bit 487" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB486 ,Set/Clear Enable Bit 486" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB485 ,Set/Clear Enable Bit 485" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB484 ,Set/Clear Enable Bit 484" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB483 ,Set/Clear Enable Bit 483" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB482 ,Set/Clear Enable Bit 482" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB481 ,Set/Clear Enable Bit 481" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB480 ,Set/Clear Enable Bit 480" "Disabled,Enabled" else hgroup.long 0x013C++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER15,Interrupt Set/Clear Enable Register 15" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10) group.long 0x0140++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER16,Interrupt Set/Clear Enable Register 16" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB543 ,Set/Clear Enable Bit 543" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB542 ,Set/Clear Enable Bit 542" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB541 ,Set/Clear Enable Bit 541" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB540 ,Set/Clear Enable Bit 540" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB539 ,Set/Clear Enable Bit 539" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB538 ,Set/Clear Enable Bit 538" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB537 ,Set/Clear Enable Bit 537" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB536 ,Set/Clear Enable Bit 536" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB535 ,Set/Clear Enable Bit 535" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB534 ,Set/Clear Enable Bit 534" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB533 ,Set/Clear Enable Bit 533" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB532 ,Set/Clear Enable Bit 532" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB531 ,Set/Clear Enable Bit 531" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB530 ,Set/Clear Enable Bit 530" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB529 ,Set/Clear Enable Bit 529" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB528 ,Set/Clear Enable Bit 528" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB527 ,Set/Clear Enable Bit 527" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB526 ,Set/Clear Enable Bit 526" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB525 ,Set/Clear Enable Bit 525" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB524 ,Set/Clear Enable Bit 524" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB523 ,Set/Clear Enable Bit 523" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB522 ,Set/Clear Enable Bit 522" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB521 ,Set/Clear Enable Bit 521" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB520 ,Set/Clear Enable Bit 520" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB519 ,Set/Clear Enable Bit 519" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB518 ,Set/Clear Enable Bit 518" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB517 ,Set/Clear Enable Bit 517" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB516 ,Set/Clear Enable Bit 516" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB515 ,Set/Clear Enable Bit 515" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB514 ,Set/Clear Enable Bit 514" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB513 ,Set/Clear Enable Bit 513" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB512 ,Set/Clear Enable Bit 512" "Disabled,Enabled" else hgroup.long 0x0140++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER16,Interrupt Set/Clear Enable Register 16" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11) group.long 0x0144++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER17,Interrupt Set/Clear Enable Register 17" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB575 ,Set/Clear Enable Bit 575" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB574 ,Set/Clear Enable Bit 574" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB573 ,Set/Clear Enable Bit 573" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB572 ,Set/Clear Enable Bit 572" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB571 ,Set/Clear Enable Bit 571" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB570 ,Set/Clear Enable Bit 570" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB569 ,Set/Clear Enable Bit 569" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB568 ,Set/Clear Enable Bit 568" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB567 ,Set/Clear Enable Bit 567" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB566 ,Set/Clear Enable Bit 566" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB565 ,Set/Clear Enable Bit 565" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB564 ,Set/Clear Enable Bit 564" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB563 ,Set/Clear Enable Bit 563" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB562 ,Set/Clear Enable Bit 562" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB561 ,Set/Clear Enable Bit 561" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB560 ,Set/Clear Enable Bit 560" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB559 ,Set/Clear Enable Bit 559" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB558 ,Set/Clear Enable Bit 558" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB557 ,Set/Clear Enable Bit 557" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB556 ,Set/Clear Enable Bit 556" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB555 ,Set/Clear Enable Bit 555" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB554 ,Set/Clear Enable Bit 554" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB553 ,Set/Clear Enable Bit 553" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB552 ,Set/Clear Enable Bit 552" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB551 ,Set/Clear Enable Bit 551" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB550 ,Set/Clear Enable Bit 550" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB549 ,Set/Clear Enable Bit 549" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB548 ,Set/Clear Enable Bit 548" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB547 ,Set/Clear Enable Bit 547" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB546 ,Set/Clear Enable Bit 546" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB545 ,Set/Clear Enable Bit 545" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB544 ,Set/Clear Enable Bit 544" "Disabled,Enabled" else hgroup.long 0x0144++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER17,Interrupt Set/Clear Enable Register 17" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12) group.long 0x0148++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER18,Interrupt Set/Clear Enable Register 18" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB607 ,Set/Clear Enable Bit 607" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB606 ,Set/Clear Enable Bit 606" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB605 ,Set/Clear Enable Bit 605" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB604 ,Set/Clear Enable Bit 604" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB603 ,Set/Clear Enable Bit 603" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB602 ,Set/Clear Enable Bit 602" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB601 ,Set/Clear Enable Bit 601" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB600 ,Set/Clear Enable Bit 600" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB599 ,Set/Clear Enable Bit 599" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB598 ,Set/Clear Enable Bit 598" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB597 ,Set/Clear Enable Bit 597" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB596 ,Set/Clear Enable Bit 596" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB595 ,Set/Clear Enable Bit 595" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB594 ,Set/Clear Enable Bit 594" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB593 ,Set/Clear Enable Bit 593" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB592 ,Set/Clear Enable Bit 592" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB591 ,Set/Clear Enable Bit 591" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB590 ,Set/Clear Enable Bit 590" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB589 ,Set/Clear Enable Bit 589" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB588 ,Set/Clear Enable Bit 588" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB587 ,Set/Clear Enable Bit 587" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB586 ,Set/Clear Enable Bit 586" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB585 ,Set/Clear Enable Bit 585" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB584 ,Set/Clear Enable Bit 584" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB583 ,Set/Clear Enable Bit 583" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB582 ,Set/Clear Enable Bit 582" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB581 ,Set/Clear Enable Bit 581" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB580 ,Set/Clear Enable Bit 580" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB579 ,Set/Clear Enable Bit 579" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB578 ,Set/Clear Enable Bit 578" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB577 ,Set/Clear Enable Bit 577" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB576 ,Set/Clear Enable Bit 576" "Disabled,Enabled" else hgroup.long 0x0148++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER18,Interrupt Set/Clear Enable Register 18" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13) group.long 0x014C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER19,Interrupt Set/Clear Enable Register 19" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB639 ,Set/Clear Enable Bit 639" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB638 ,Set/Clear Enable Bit 638" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB637 ,Set/Clear Enable Bit 637" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB636 ,Set/Clear Enable Bit 636" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB635 ,Set/Clear Enable Bit 635" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB634 ,Set/Clear Enable Bit 634" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB633 ,Set/Clear Enable Bit 633" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB632 ,Set/Clear Enable Bit 632" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB631 ,Set/Clear Enable Bit 631" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB630 ,Set/Clear Enable Bit 630" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB629 ,Set/Clear Enable Bit 629" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB628 ,Set/Clear Enable Bit 628" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB627 ,Set/Clear Enable Bit 627" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB626 ,Set/Clear Enable Bit 626" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB625 ,Set/Clear Enable Bit 625" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB624 ,Set/Clear Enable Bit 624" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB623 ,Set/Clear Enable Bit 623" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB622 ,Set/Clear Enable Bit 622" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB621 ,Set/Clear Enable Bit 621" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB620 ,Set/Clear Enable Bit 620" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB619 ,Set/Clear Enable Bit 619" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB618 ,Set/Clear Enable Bit 618" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB617 ,Set/Clear Enable Bit 617" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB616 ,Set/Clear Enable Bit 616" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB615 ,Set/Clear Enable Bit 615" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB614 ,Set/Clear Enable Bit 614" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB613 ,Set/Clear Enable Bit 613" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB612 ,Set/Clear Enable Bit 612" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB611 ,Set/Clear Enable Bit 611" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB610 ,Set/Clear Enable Bit 610" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB609 ,Set/Clear Enable Bit 609" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB608 ,Set/Clear Enable Bit 608" "Disabled,Enabled" else hgroup.long 0x014C++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER19,Interrupt Set/Clear Enable Register 19" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14) group.long 0x0150++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER20,Interrupt Set/Clear Enable Register 20" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB671 ,Set/Clear Enable Bit 671" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB670 ,Set/Clear Enable Bit 670" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB669 ,Set/Clear Enable Bit 669" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB668 ,Set/Clear Enable Bit 668" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB667 ,Set/Clear Enable Bit 667" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB666 ,Set/Clear Enable Bit 666" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB665 ,Set/Clear Enable Bit 665" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB664 ,Set/Clear Enable Bit 664" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB663 ,Set/Clear Enable Bit 663" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB662 ,Set/Clear Enable Bit 662" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB661 ,Set/Clear Enable Bit 661" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB660 ,Set/Clear Enable Bit 660" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB659 ,Set/Clear Enable Bit 659" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB658 ,Set/Clear Enable Bit 658" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB657 ,Set/Clear Enable Bit 657" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB656 ,Set/Clear Enable Bit 656" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB655 ,Set/Clear Enable Bit 655" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB654 ,Set/Clear Enable Bit 654" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB653 ,Set/Clear Enable Bit 653" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB652 ,Set/Clear Enable Bit 652" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB651 ,Set/Clear Enable Bit 651" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB650 ,Set/Clear Enable Bit 650" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB649 ,Set/Clear Enable Bit 649" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB648 ,Set/Clear Enable Bit 648" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB647 ,Set/Clear Enable Bit 647" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB646 ,Set/Clear Enable Bit 646" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB645 ,Set/Clear Enable Bit 645" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB644 ,Set/Clear Enable Bit 644" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB643 ,Set/Clear Enable Bit 643" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB642 ,Set/Clear Enable Bit 642" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB641 ,Set/Clear Enable Bit 641" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB640 ,Set/Clear Enable Bit 640" "Disabled,Enabled" else hgroup.long 0x0150++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER20,Interrupt Set/Clear Enable Register 20" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15) group.long 0x0154++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER21,Interrupt Set/Clear Enable Register 21" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB703 ,Set/Clear Enable Bit 703" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB702 ,Set/Clear Enable Bit 702" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB701 ,Set/Clear Enable Bit 701" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB700 ,Set/Clear Enable Bit 700" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB699 ,Set/Clear Enable Bit 699" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB698 ,Set/Clear Enable Bit 698" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB697 ,Set/Clear Enable Bit 697" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB696 ,Set/Clear Enable Bit 696" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB695 ,Set/Clear Enable Bit 695" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB694 ,Set/Clear Enable Bit 694" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB693 ,Set/Clear Enable Bit 693" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB692 ,Set/Clear Enable Bit 692" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB691 ,Set/Clear Enable Bit 691" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB690 ,Set/Clear Enable Bit 690" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB689 ,Set/Clear Enable Bit 689" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB688 ,Set/Clear Enable Bit 688" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB687 ,Set/Clear Enable Bit 687" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB686 ,Set/Clear Enable Bit 686" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB685 ,Set/Clear Enable Bit 685" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB684 ,Set/Clear Enable Bit 684" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB683 ,Set/Clear Enable Bit 683" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB682 ,Set/Clear Enable Bit 682" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB681 ,Set/Clear Enable Bit 681" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB680 ,Set/Clear Enable Bit 680" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB679 ,Set/Clear Enable Bit 679" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB678 ,Set/Clear Enable Bit 678" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB677 ,Set/Clear Enable Bit 677" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB676 ,Set/Clear Enable Bit 676" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB675 ,Set/Clear Enable Bit 675" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB674 ,Set/Clear Enable Bit 674" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB673 ,Set/Clear Enable Bit 673" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB672 ,Set/Clear Enable Bit 672" "Disabled,Enabled" else hgroup.long 0x0154++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER21,Interrupt Set/Clear Enable Register 21" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16) group.long 0x0158++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER22,Interrupt Set/Clear Enable Register 22" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB735 ,Set/Clear Enable Bit 735" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB734 ,Set/Clear Enable Bit 734" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB733 ,Set/Clear Enable Bit 733" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB732 ,Set/Clear Enable Bit 732" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB731 ,Set/Clear Enable Bit 731" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB730 ,Set/Clear Enable Bit 730" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB729 ,Set/Clear Enable Bit 729" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB728 ,Set/Clear Enable Bit 728" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB727 ,Set/Clear Enable Bit 727" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB726 ,Set/Clear Enable Bit 726" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB725 ,Set/Clear Enable Bit 725" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB724 ,Set/Clear Enable Bit 724" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB723 ,Set/Clear Enable Bit 723" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB722 ,Set/Clear Enable Bit 722" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB721 ,Set/Clear Enable Bit 721" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB720 ,Set/Clear Enable Bit 720" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB719 ,Set/Clear Enable Bit 719" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB718 ,Set/Clear Enable Bit 718" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB717 ,Set/Clear Enable Bit 717" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB716 ,Set/Clear Enable Bit 716" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB715 ,Set/Clear Enable Bit 715" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB714 ,Set/Clear Enable Bit 714" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB713 ,Set/Clear Enable Bit 713" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB712 ,Set/Clear Enable Bit 712" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB711 ,Set/Clear Enable Bit 711" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB710 ,Set/Clear Enable Bit 710" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB709 ,Set/Clear Enable Bit 709" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB708 ,Set/Clear Enable Bit 708" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB707 ,Set/Clear Enable Bit 707" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB706 ,Set/Clear Enable Bit 706" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB705 ,Set/Clear Enable Bit 705" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB704 ,Set/Clear Enable Bit 704" "Disabled,Enabled" else hgroup.long 0x0158++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER22,Interrupt Set/Clear Enable Register 22" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17) group.long 0x015C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER23,Interrupt Set/Clear Enable Register 23" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB767 ,Set/Clear Enable Bit 767" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB766 ,Set/Clear Enable Bit 766" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB765 ,Set/Clear Enable Bit 765" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB764 ,Set/Clear Enable Bit 764" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB763 ,Set/Clear Enable Bit 763" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB762 ,Set/Clear Enable Bit 762" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB761 ,Set/Clear Enable Bit 761" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB760 ,Set/Clear Enable Bit 760" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB759 ,Set/Clear Enable Bit 759" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB758 ,Set/Clear Enable Bit 758" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB757 ,Set/Clear Enable Bit 757" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB756 ,Set/Clear Enable Bit 756" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB755 ,Set/Clear Enable Bit 755" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB754 ,Set/Clear Enable Bit 754" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB753 ,Set/Clear Enable Bit 753" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB752 ,Set/Clear Enable Bit 752" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB751 ,Set/Clear Enable Bit 751" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB750 ,Set/Clear Enable Bit 750" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB749 ,Set/Clear Enable Bit 749" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB748 ,Set/Clear Enable Bit 748" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB747 ,Set/Clear Enable Bit 747" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB746 ,Set/Clear Enable Bit 746" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB745 ,Set/Clear Enable Bit 745" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB744 ,Set/Clear Enable Bit 744" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB743 ,Set/Clear Enable Bit 743" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB742 ,Set/Clear Enable Bit 742" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB741 ,Set/Clear Enable Bit 741" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB740 ,Set/Clear Enable Bit 740" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB739 ,Set/Clear Enable Bit 739" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB738 ,Set/Clear Enable Bit 738" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB737 ,Set/Clear Enable Bit 737" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB736 ,Set/Clear Enable Bit 736" "Disabled,Enabled" else hgroup.long 0x015C++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER23,Interrupt Set/Clear Enable Register 23" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18) group.long 0x0160++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER24,Interrupt Set/Clear Enable Register 24" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB799 ,Set/Clear Enable Bit 799" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB798 ,Set/Clear Enable Bit 798" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB797 ,Set/Clear Enable Bit 797" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB796 ,Set/Clear Enable Bit 796" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB795 ,Set/Clear Enable Bit 795" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB794 ,Set/Clear Enable Bit 794" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB793 ,Set/Clear Enable Bit 793" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB792 ,Set/Clear Enable Bit 792" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB791 ,Set/Clear Enable Bit 791" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB790 ,Set/Clear Enable Bit 790" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB789 ,Set/Clear Enable Bit 789" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB788 ,Set/Clear Enable Bit 788" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB787 ,Set/Clear Enable Bit 787" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB786 ,Set/Clear Enable Bit 786" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB785 ,Set/Clear Enable Bit 785" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB784 ,Set/Clear Enable Bit 784" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB783 ,Set/Clear Enable Bit 783" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB782 ,Set/Clear Enable Bit 782" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB781 ,Set/Clear Enable Bit 781" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB780 ,Set/Clear Enable Bit 780" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB779 ,Set/Clear Enable Bit 779" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB778 ,Set/Clear Enable Bit 778" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB777 ,Set/Clear Enable Bit 777" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB776 ,Set/Clear Enable Bit 776" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB775 ,Set/Clear Enable Bit 775" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB774 ,Set/Clear Enable Bit 774" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB773 ,Set/Clear Enable Bit 773" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB772 ,Set/Clear Enable Bit 772" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB771 ,Set/Clear Enable Bit 771" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB770 ,Set/Clear Enable Bit 770" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB769 ,Set/Clear Enable Bit 769" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB768 ,Set/Clear Enable Bit 768" "Disabled,Enabled" else hgroup.long 0x0160++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER24,Interrupt Set/Clear Enable Register 24" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19) group.long 0x0164++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER25,Interrupt Set/Clear Enable Register 25" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB831 ,Set/Clear Enable Bit 831" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB830 ,Set/Clear Enable Bit 830" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB829 ,Set/Clear Enable Bit 829" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB828 ,Set/Clear Enable Bit 828" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB827 ,Set/Clear Enable Bit 827" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB826 ,Set/Clear Enable Bit 826" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB825 ,Set/Clear Enable Bit 825" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB824 ,Set/Clear Enable Bit 824" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB823 ,Set/Clear Enable Bit 823" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB822 ,Set/Clear Enable Bit 822" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB821 ,Set/Clear Enable Bit 821" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB820 ,Set/Clear Enable Bit 820" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB819 ,Set/Clear Enable Bit 819" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB818 ,Set/Clear Enable Bit 818" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB817 ,Set/Clear Enable Bit 817" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB816 ,Set/Clear Enable Bit 816" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB815 ,Set/Clear Enable Bit 815" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB814 ,Set/Clear Enable Bit 814" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB813 ,Set/Clear Enable Bit 813" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB812 ,Set/Clear Enable Bit 812" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB811 ,Set/Clear Enable Bit 811" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB810 ,Set/Clear Enable Bit 810" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB809 ,Set/Clear Enable Bit 809" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB808 ,Set/Clear Enable Bit 808" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB807 ,Set/Clear Enable Bit 807" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB806 ,Set/Clear Enable Bit 806" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB805 ,Set/Clear Enable Bit 805" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB804 ,Set/Clear Enable Bit 804" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB803 ,Set/Clear Enable Bit 803" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB802 ,Set/Clear Enable Bit 802" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB801 ,Set/Clear Enable Bit 801" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB800 ,Set/Clear Enable Bit 800" "Disabled,Enabled" else hgroup.long 0x0164++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER25,Interrupt Set/Clear Enable Register 25" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A) group.long 0x0168++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER26,Interrupt Set/Clear Enable Register 26" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB863 ,Set/Clear Enable Bit 863" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB862 ,Set/Clear Enable Bit 862" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB861 ,Set/Clear Enable Bit 861" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB860 ,Set/Clear Enable Bit 860" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB859 ,Set/Clear Enable Bit 859" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB858 ,Set/Clear Enable Bit 858" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB857 ,Set/Clear Enable Bit 857" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB856 ,Set/Clear Enable Bit 856" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB855 ,Set/Clear Enable Bit 855" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB854 ,Set/Clear Enable Bit 854" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB853 ,Set/Clear Enable Bit 853" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB852 ,Set/Clear Enable Bit 852" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB851 ,Set/Clear Enable Bit 851" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB850 ,Set/Clear Enable Bit 850" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB849 ,Set/Clear Enable Bit 849" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB848 ,Set/Clear Enable Bit 848" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB847 ,Set/Clear Enable Bit 847" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB846 ,Set/Clear Enable Bit 846" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB845 ,Set/Clear Enable Bit 845" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB844 ,Set/Clear Enable Bit 844" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB843 ,Set/Clear Enable Bit 843" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB842 ,Set/Clear Enable Bit 842" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB841 ,Set/Clear Enable Bit 841" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB840 ,Set/Clear Enable Bit 840" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB839 ,Set/Clear Enable Bit 839" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB838 ,Set/Clear Enable Bit 838" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB837 ,Set/Clear Enable Bit 837" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB836 ,Set/Clear Enable Bit 836" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB835 ,Set/Clear Enable Bit 835" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB834 ,Set/Clear Enable Bit 834" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB833 ,Set/Clear Enable Bit 833" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB832 ,Set/Clear Enable Bit 832" "Disabled,Enabled" else hgroup.long 0x0168++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER26,Interrupt Set/Clear Enable Register 26" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B) group.long 0x016C++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER27,Interrupt Set/Clear Enable Register 27" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB895 ,Set/Clear Enable Bit 895" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB894 ,Set/Clear Enable Bit 894" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB893 ,Set/Clear Enable Bit 893" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB892 ,Set/Clear Enable Bit 892" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB891 ,Set/Clear Enable Bit 891" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB890 ,Set/Clear Enable Bit 890" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB889 ,Set/Clear Enable Bit 889" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB888 ,Set/Clear Enable Bit 888" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB887 ,Set/Clear Enable Bit 887" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB886 ,Set/Clear Enable Bit 886" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB885 ,Set/Clear Enable Bit 885" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB884 ,Set/Clear Enable Bit 884" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB883 ,Set/Clear Enable Bit 883" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB882 ,Set/Clear Enable Bit 882" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB881 ,Set/Clear Enable Bit 881" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB880 ,Set/Clear Enable Bit 880" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB879 ,Set/Clear Enable Bit 879" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB878 ,Set/Clear Enable Bit 878" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB877 ,Set/Clear Enable Bit 877" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB876 ,Set/Clear Enable Bit 876" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB875 ,Set/Clear Enable Bit 875" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB874 ,Set/Clear Enable Bit 874" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB873 ,Set/Clear Enable Bit 873" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB872 ,Set/Clear Enable Bit 872" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB871 ,Set/Clear Enable Bit 871" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB870 ,Set/Clear Enable Bit 870" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB869 ,Set/Clear Enable Bit 869" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB868 ,Set/Clear Enable Bit 868" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB867 ,Set/Clear Enable Bit 867" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB866 ,Set/Clear Enable Bit 866" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB865 ,Set/Clear Enable Bit 865" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB864 ,Set/Clear Enable Bit 864" "Disabled,Enabled" else hgroup.long 0x016C++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER27,Interrupt Set/Clear Enable Register 27" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C) group.long 0x0170++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER28,Interrupt Set/Clear Enable Register 28" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB927 ,Set/Clear Enable Bit 927" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB926 ,Set/Clear Enable Bit 926" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB925 ,Set/Clear Enable Bit 925" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB924 ,Set/Clear Enable Bit 924" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB923 ,Set/Clear Enable Bit 923" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB922 ,Set/Clear Enable Bit 922" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB921 ,Set/Clear Enable Bit 921" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB920 ,Set/Clear Enable Bit 920" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB919 ,Set/Clear Enable Bit 919" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB918 ,Set/Clear Enable Bit 918" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB917 ,Set/Clear Enable Bit 917" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB916 ,Set/Clear Enable Bit 916" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB915 ,Set/Clear Enable Bit 915" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB914 ,Set/Clear Enable Bit 914" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB913 ,Set/Clear Enable Bit 913" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB912 ,Set/Clear Enable Bit 912" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB911 ,Set/Clear Enable Bit 911" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB910 ,Set/Clear Enable Bit 910" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB909 ,Set/Clear Enable Bit 909" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB908 ,Set/Clear Enable Bit 908" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB907 ,Set/Clear Enable Bit 907" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB906 ,Set/Clear Enable Bit 906" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB905 ,Set/Clear Enable Bit 905" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB904 ,Set/Clear Enable Bit 904" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB903 ,Set/Clear Enable Bit 903" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB902 ,Set/Clear Enable Bit 902" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB901 ,Set/Clear Enable Bit 901" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB900 ,Set/Clear Enable Bit 900" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB899 ,Set/Clear Enable Bit 899" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB898 ,Set/Clear Enable Bit 898" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB897 ,Set/Clear Enable Bit 897" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB896 ,Set/Clear Enable Bit 896" "Disabled,Enabled" else hgroup.long 0x0170++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER28,Interrupt Set/Clear Enable Register 28" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D) group.long 0x0174++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER29,Interrupt Set/Clear Enable Register 29" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB959 ,Set/Clear Enable Bit 959" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB958 ,Set/Clear Enable Bit 958" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB957 ,Set/Clear Enable Bit 957" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB956 ,Set/Clear Enable Bit 956" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB955 ,Set/Clear Enable Bit 955" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB954 ,Set/Clear Enable Bit 954" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB953 ,Set/Clear Enable Bit 953" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB952 ,Set/Clear Enable Bit 952" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB951 ,Set/Clear Enable Bit 951" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB950 ,Set/Clear Enable Bit 950" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB949 ,Set/Clear Enable Bit 949" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB948 ,Set/Clear Enable Bit 948" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB947 ,Set/Clear Enable Bit 947" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB946 ,Set/Clear Enable Bit 946" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB945 ,Set/Clear Enable Bit 945" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB944 ,Set/Clear Enable Bit 944" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB943 ,Set/Clear Enable Bit 943" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB942 ,Set/Clear Enable Bit 942" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB941 ,Set/Clear Enable Bit 941" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB940 ,Set/Clear Enable Bit 940" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB939 ,Set/Clear Enable Bit 939" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB938 ,Set/Clear Enable Bit 938" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB937 ,Set/Clear Enable Bit 937" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB936 ,Set/Clear Enable Bit 936" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB935 ,Set/Clear Enable Bit 935" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB934 ,Set/Clear Enable Bit 934" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB933 ,Set/Clear Enable Bit 933" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB932 ,Set/Clear Enable Bit 932" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB931 ,Set/Clear Enable Bit 931" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB930 ,Set/Clear Enable Bit 930" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB929 ,Set/Clear Enable Bit 929" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB928 ,Set/Clear Enable Bit 928" "Disabled,Enabled" else hgroup.long 0x0174++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER29,Interrupt Set/Clear Enable Register 29" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E) group.long 0x0178++0x03 line.long 0x0 "GICD_SET/CLR_ENABLER30,Interrupt Set/Clear Enable Register 30" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB991 ,Set/Clear Enable Bit 991" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB990 ,Set/Clear Enable Bit 990" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB989 ,Set/Clear Enable Bit 989" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB988 ,Set/Clear Enable Bit 988" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB987 ,Set/Clear Enable Bit 987" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB986 ,Set/Clear Enable Bit 986" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB985 ,Set/Clear Enable Bit 985" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB984 ,Set/Clear Enable Bit 984" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB983 ,Set/Clear Enable Bit 983" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB982 ,Set/Clear Enable Bit 982" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB981 ,Set/Clear Enable Bit 981" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB980 ,Set/Clear Enable Bit 980" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB979 ,Set/Clear Enable Bit 979" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB978 ,Set/Clear Enable Bit 978" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB977 ,Set/Clear Enable Bit 977" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB976 ,Set/Clear Enable Bit 976" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB975 ,Set/Clear Enable Bit 975" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB974 ,Set/Clear Enable Bit 974" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB973 ,Set/Clear Enable Bit 973" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB972 ,Set/Clear Enable Bit 972" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB971 ,Set/Clear Enable Bit 971" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB970 ,Set/Clear Enable Bit 970" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB969 ,Set/Clear Enable Bit 969" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB968 ,Set/Clear Enable Bit 968" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB967 ,Set/Clear Enable Bit 967" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB966 ,Set/Clear Enable Bit 966" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB965 ,Set/Clear Enable Bit 965" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB964 ,Set/Clear Enable Bit 964" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB963 ,Set/Clear Enable Bit 963" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB962 ,Set/Clear Enable Bit 962" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB961 ,Set/Clear Enable Bit 961" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB960 ,Set/Clear Enable Bit 960" "Disabled,Enabled" else hgroup.long 0x0178++0x03 hide.long 0x0 "GICD_SET/CLR_ENABLER30,Interrupt Set/Clear Enable Register 30" endif tree.end width 22. tree "Set/Clear Pending Registers" if (((per.l(COMP.BASE("GICD",-1.)))&0x10)==0x10) hgroup.long 0x0200++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR0,Interrupt Set/Clear Pending Register 0" newline newline newline newline newline newline newline newline newline newline else group.long 0x0200++0x03 line.long 0x0 "GICD_SET/CLR_PENDR0,Interrupt Set/Clear Pending Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND31 ,Set/Clear Pending Bit 31" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND30 ,Set/Clear Pending Bit 30" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND29 ,Set/Clear Pending Bit 29" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND28 ,Set/Clear Pending Bit 28" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND27 ,Set/Clear Pending Bit 27" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND26 ,Set/Clear Pending Bit 26" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND25 ,Set/Clear Pending Bit 25" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND24 ,Set/Clear Pending Bit 24" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND23 ,Set/Clear Pending Bit 23" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND22 ,Set/Clear Pending Bit 22" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND21 ,Set/Clear Pending Bit 21" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND20 ,Set/Clear Pending Bit 20" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND19 ,Set/Clear Pending Bit 19" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND18 ,Set/Clear Pending Bit 18" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND17 ,Set/Clear Pending Bit 17" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND16 ,Set/Clear Pending Bit 16" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND15 ,Set/Clear Pending Bit 15" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND14 ,Set/Clear Pending Bit 14" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND13 ,Set/Clear Pending Bit 13" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND12 ,Set/Clear Pending Bit 12" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND11 ,Set/Clear Pending Bit 11" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND10 ,Set/Clear Pending Bit 10" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND9 ,Set/Clear Pending Bit 9" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND8 ,Set/Clear Pending Bit 8" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND7 ,Set/Clear Pending Bit 7" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND6 ,Set/Clear Pending Bit 6" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND5 ,Set/Clear Pending Bit 5" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND4 ,Set/Clear Pending Bit 4" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND3 ,Set/Clear Pending Bit 3" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND2 ,Set/Clear Pending Bit 2" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND1 ,Set/Clear Pending Bit 1" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND0 ,Set/Clear Pending Bit 0" "Not pending,Pending" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x01) group.long 0x0204++0x03 line.long 0x0 "GICD_SET/CLR_PENDR1,Interrupt Set/Clear Pending Register 1" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND63 ,Set/Clear Pending Bit 63" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND62 ,Set/Clear Pending Bit 62" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND61 ,Set/Clear Pending Bit 61" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND60 ,Set/Clear Pending Bit 60" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND59 ,Set/Clear Pending Bit 59" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND58 ,Set/Clear Pending Bit 58" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND57 ,Set/Clear Pending Bit 57" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND56 ,Set/Clear Pending Bit 56" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND55 ,Set/Clear Pending Bit 55" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND54 ,Set/Clear Pending Bit 54" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND53 ,Set/Clear Pending Bit 53" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND52 ,Set/Clear Pending Bit 52" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND51 ,Set/Clear Pending Bit 51" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND50 ,Set/Clear Pending Bit 50" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND49 ,Set/Clear Pending Bit 49" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND48 ,Set/Clear Pending Bit 48" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND47 ,Set/Clear Pending Bit 47" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND46 ,Set/Clear Pending Bit 46" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND45 ,Set/Clear Pending Bit 45" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND44 ,Set/Clear Pending Bit 44" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND43 ,Set/Clear Pending Bit 43" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND42 ,Set/Clear Pending Bit 42" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND41 ,Set/Clear Pending Bit 41" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND40 ,Set/Clear Pending Bit 40" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND39 ,Set/Clear Pending Bit 39" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND38 ,Set/Clear Pending Bit 38" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND37 ,Set/Clear Pending Bit 37" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND36 ,Set/Clear Pending Bit 36" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND35 ,Set/Clear Pending Bit 35" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND34 ,Set/Clear Pending Bit 34" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND33 ,Set/Clear Pending Bit 33" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND32 ,Set/Clear Pending Bit 32" "Not pending,Pending" else hgroup.long 0x0204++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR1,Interrupt Set/Clear Pending Register 1" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x02) group.long 0x0208++0x03 line.long 0x0 "GICD_SET/CLR_PENDR2,Interrupt Set/Clear Pending Register 2" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND95 ,Set/Clear Pending Bit 95" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND94 ,Set/Clear Pending Bit 94" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND93 ,Set/Clear Pending Bit 93" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND92 ,Set/Clear Pending Bit 92" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND91 ,Set/Clear Pending Bit 91" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND90 ,Set/Clear Pending Bit 90" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND89 ,Set/Clear Pending Bit 89" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND88 ,Set/Clear Pending Bit 88" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND87 ,Set/Clear Pending Bit 87" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND86 ,Set/Clear Pending Bit 86" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND85 ,Set/Clear Pending Bit 85" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND84 ,Set/Clear Pending Bit 84" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND83 ,Set/Clear Pending Bit 83" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND82 ,Set/Clear Pending Bit 82" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND81 ,Set/Clear Pending Bit 81" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND80 ,Set/Clear Pending Bit 80" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND79 ,Set/Clear Pending Bit 79" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND78 ,Set/Clear Pending Bit 78" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND77 ,Set/Clear Pending Bit 77" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND76 ,Set/Clear Pending Bit 76" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND75 ,Set/Clear Pending Bit 75" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND74 ,Set/Clear Pending Bit 74" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND73 ,Set/Clear Pending Bit 73" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND72 ,Set/Clear Pending Bit 72" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND71 ,Set/Clear Pending Bit 71" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND70 ,Set/Clear Pending Bit 70" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND69 ,Set/Clear Pending Bit 69" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND68 ,Set/Clear Pending Bit 68" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND67 ,Set/Clear Pending Bit 67" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND66 ,Set/Clear Pending Bit 66" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND65 ,Set/Clear Pending Bit 65" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND64 ,Set/Clear Pending Bit 64" "Not pending,Pending" else hgroup.long 0x0208++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR2,Interrupt Set/Clear Pending Register 2" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x03) group.long 0x020C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR3,Interrupt Set/Clear Pending Register 3" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND127 ,Set/Clear Pending Bit 127" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND126 ,Set/Clear Pending Bit 126" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND125 ,Set/Clear Pending Bit 125" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND124 ,Set/Clear Pending Bit 124" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND123 ,Set/Clear Pending Bit 123" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND122 ,Set/Clear Pending Bit 122" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND121 ,Set/Clear Pending Bit 121" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND120 ,Set/Clear Pending Bit 120" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND119 ,Set/Clear Pending Bit 119" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND118 ,Set/Clear Pending Bit 118" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND117 ,Set/Clear Pending Bit 117" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND116 ,Set/Clear Pending Bit 116" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND115 ,Set/Clear Pending Bit 115" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND114 ,Set/Clear Pending Bit 114" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND113 ,Set/Clear Pending Bit 113" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND112 ,Set/Clear Pending Bit 112" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND111 ,Set/Clear Pending Bit 111" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND110 ,Set/Clear Pending Bit 110" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND109 ,Set/Clear Pending Bit 109" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND108 ,Set/Clear Pending Bit 108" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND107 ,Set/Clear Pending Bit 107" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND106 ,Set/Clear Pending Bit 106" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND105 ,Set/Clear Pending Bit 105" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND104 ,Set/Clear Pending Bit 104" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND103 ,Set/Clear Pending Bit 103" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND102 ,Set/Clear Pending Bit 102" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND101 ,Set/Clear Pending Bit 101" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND100 ,Set/Clear Pending Bit 100" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND99 ,Set/Clear Pending Bit 99" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND98 ,Set/Clear Pending Bit 98" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND97 ,Set/Clear Pending Bit 97" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND96 ,Set/Clear Pending Bit 96" "Not pending,Pending" else hgroup.long 0x020C++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR3,Interrupt Set/Clear Pending Register 3" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x04) group.long 0x0210++0x03 line.long 0x0 "GICD_SET/CLR_PENDR4,Interrupt Set/Clear Pending Register 4" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND159 ,Set/Clear Pending Bit 159" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND158 ,Set/Clear Pending Bit 158" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND157 ,Set/Clear Pending Bit 157" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND156 ,Set/Clear Pending Bit 156" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND155 ,Set/Clear Pending Bit 155" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND154 ,Set/Clear Pending Bit 154" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND153 ,Set/Clear Pending Bit 153" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND152 ,Set/Clear Pending Bit 152" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND151 ,Set/Clear Pending Bit 151" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND150 ,Set/Clear Pending Bit 150" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND149 ,Set/Clear Pending Bit 149" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND148 ,Set/Clear Pending Bit 148" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND147 ,Set/Clear Pending Bit 147" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND146 ,Set/Clear Pending Bit 146" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND145 ,Set/Clear Pending Bit 145" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND144 ,Set/Clear Pending Bit 144" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND143 ,Set/Clear Pending Bit 143" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND142 ,Set/Clear Pending Bit 142" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND141 ,Set/Clear Pending Bit 141" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND140 ,Set/Clear Pending Bit 140" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND139 ,Set/Clear Pending Bit 139" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND138 ,Set/Clear Pending Bit 138" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND137 ,Set/Clear Pending Bit 137" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND136 ,Set/Clear Pending Bit 136" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND135 ,Set/Clear Pending Bit 135" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND134 ,Set/Clear Pending Bit 134" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND133 ,Set/Clear Pending Bit 133" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND132 ,Set/Clear Pending Bit 132" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND131 ,Set/Clear Pending Bit 131" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND130 ,Set/Clear Pending Bit 130" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND129 ,Set/Clear Pending Bit 129" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND128 ,Set/Clear Pending Bit 128" "Not pending,Pending" else hgroup.long 0x0210++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR4,Interrupt Set/Clear Pending Register 4" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x05) group.long 0x0214++0x03 line.long 0x0 "GICD_SET/CLR_PENDR5,Interrupt Set/Clear Pending Register 5" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND191 ,Set/Clear Pending Bit 191" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND190 ,Set/Clear Pending Bit 190" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND189 ,Set/Clear Pending Bit 189" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND188 ,Set/Clear Pending Bit 188" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND187 ,Set/Clear Pending Bit 187" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND186 ,Set/Clear Pending Bit 186" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND185 ,Set/Clear Pending Bit 185" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND184 ,Set/Clear Pending Bit 184" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND183 ,Set/Clear Pending Bit 183" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND182 ,Set/Clear Pending Bit 182" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND181 ,Set/Clear Pending Bit 181" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND180 ,Set/Clear Pending Bit 180" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND179 ,Set/Clear Pending Bit 179" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND178 ,Set/Clear Pending Bit 178" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND177 ,Set/Clear Pending Bit 177" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND176 ,Set/Clear Pending Bit 176" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND175 ,Set/Clear Pending Bit 175" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND174 ,Set/Clear Pending Bit 174" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND173 ,Set/Clear Pending Bit 173" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND172 ,Set/Clear Pending Bit 172" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND171 ,Set/Clear Pending Bit 171" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND170 ,Set/Clear Pending Bit 170" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND169 ,Set/Clear Pending Bit 169" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND168 ,Set/Clear Pending Bit 168" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND167 ,Set/Clear Pending Bit 167" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND166 ,Set/Clear Pending Bit 166" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND165 ,Set/Clear Pending Bit 165" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND164 ,Set/Clear Pending Bit 164" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND163 ,Set/Clear Pending Bit 163" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND162 ,Set/Clear Pending Bit 162" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND161 ,Set/Clear Pending Bit 161" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND160 ,Set/Clear Pending Bit 160" "Not pending,Pending" else hgroup.long 0x0214++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR5,Interrupt Set/Clear Pending Register 5" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x06) group.long 0x0218++0x03 line.long 0x0 "GICD_SET/CLR_PENDR6,Interrupt Set/Clear Pending Register 6" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND223 ,Set/Clear Pending Bit 223" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND222 ,Set/Clear Pending Bit 222" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND221 ,Set/Clear Pending Bit 221" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND220 ,Set/Clear Pending Bit 220" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND219 ,Set/Clear Pending Bit 219" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND218 ,Set/Clear Pending Bit 218" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND217 ,Set/Clear Pending Bit 217" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND216 ,Set/Clear Pending Bit 216" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND215 ,Set/Clear Pending Bit 215" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND214 ,Set/Clear Pending Bit 214" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND213 ,Set/Clear Pending Bit 213" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND212 ,Set/Clear Pending Bit 212" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND211 ,Set/Clear Pending Bit 211" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND210 ,Set/Clear Pending Bit 210" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND209 ,Set/Clear Pending Bit 209" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND208 ,Set/Clear Pending Bit 208" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND207 ,Set/Clear Pending Bit 207" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND206 ,Set/Clear Pending Bit 206" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND205 ,Set/Clear Pending Bit 205" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND204 ,Set/Clear Pending Bit 204" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND203 ,Set/Clear Pending Bit 203" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND202 ,Set/Clear Pending Bit 202" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND201 ,Set/Clear Pending Bit 201" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND200 ,Set/Clear Pending Bit 200" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND199 ,Set/Clear Pending Bit 199" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND198 ,Set/Clear Pending Bit 198" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND197 ,Set/Clear Pending Bit 197" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND196 ,Set/Clear Pending Bit 196" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND195 ,Set/Clear Pending Bit 195" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND194 ,Set/Clear Pending Bit 194" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND193 ,Set/Clear Pending Bit 193" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND192 ,Set/Clear Pending Bit 192" "Not pending,Pending" else hgroup.long 0x0218++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR6,Interrupt Set/Clear Pending Register 6" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x07) group.long 0x021C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR7,Interrupt Set/Clear Pending Register 7" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND255 ,Set/Clear Pending Bit 255" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND254 ,Set/Clear Pending Bit 254" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND253 ,Set/Clear Pending Bit 253" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND252 ,Set/Clear Pending Bit 252" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND251 ,Set/Clear Pending Bit 251" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND250 ,Set/Clear Pending Bit 250" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND249 ,Set/Clear Pending Bit 249" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND248 ,Set/Clear Pending Bit 248" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND247 ,Set/Clear Pending Bit 247" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND246 ,Set/Clear Pending Bit 246" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND245 ,Set/Clear Pending Bit 245" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND244 ,Set/Clear Pending Bit 244" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND243 ,Set/Clear Pending Bit 243" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND242 ,Set/Clear Pending Bit 242" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND241 ,Set/Clear Pending Bit 241" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND240 ,Set/Clear Pending Bit 240" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND239 ,Set/Clear Pending Bit 239" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND238 ,Set/Clear Pending Bit 238" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND237 ,Set/Clear Pending Bit 237" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND236 ,Set/Clear Pending Bit 236" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND235 ,Set/Clear Pending Bit 235" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND234 ,Set/Clear Pending Bit 234" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND233 ,Set/Clear Pending Bit 233" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND232 ,Set/Clear Pending Bit 232" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND231 ,Set/Clear Pending Bit 231" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND230 ,Set/Clear Pending Bit 230" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND229 ,Set/Clear Pending Bit 229" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND228 ,Set/Clear Pending Bit 228" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND227 ,Set/Clear Pending Bit 227" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND226 ,Set/Clear Pending Bit 226" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND225 ,Set/Clear Pending Bit 225" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND224 ,Set/Clear Pending Bit 224" "Not pending,Pending" else hgroup.long 0x021C++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR7,Interrupt Set/Clear Pending Register 7" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x08) group.long 0x0220++0x03 line.long 0x0 "GICD_SET/CLR_PENDR8,Interrupt Set/Clear Pending Register 8" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND287 ,Set/Clear Pending Bit 287" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND286 ,Set/Clear Pending Bit 286" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND285 ,Set/Clear Pending Bit 285" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND284 ,Set/Clear Pending Bit 284" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND283 ,Set/Clear Pending Bit 283" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND282 ,Set/Clear Pending Bit 282" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND281 ,Set/Clear Pending Bit 281" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND280 ,Set/Clear Pending Bit 280" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND279 ,Set/Clear Pending Bit 279" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND278 ,Set/Clear Pending Bit 278" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND277 ,Set/Clear Pending Bit 277" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND276 ,Set/Clear Pending Bit 276" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND275 ,Set/Clear Pending Bit 275" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND274 ,Set/Clear Pending Bit 274" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND273 ,Set/Clear Pending Bit 273" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND272 ,Set/Clear Pending Bit 272" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND271 ,Set/Clear Pending Bit 271" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND270 ,Set/Clear Pending Bit 270" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND269 ,Set/Clear Pending Bit 269" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND268 ,Set/Clear Pending Bit 268" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND267 ,Set/Clear Pending Bit 267" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND266 ,Set/Clear Pending Bit 266" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND265 ,Set/Clear Pending Bit 265" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND264 ,Set/Clear Pending Bit 264" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND263 ,Set/Clear Pending Bit 263" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND262 ,Set/Clear Pending Bit 262" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND261 ,Set/Clear Pending Bit 261" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND260 ,Set/Clear Pending Bit 260" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND259 ,Set/Clear Pending Bit 259" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND258 ,Set/Clear Pending Bit 258" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND257 ,Set/Clear Pending Bit 257" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND256 ,Set/Clear Pending Bit 256" "Not pending,Pending" else hgroup.long 0x0220++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR8,Interrupt Set/Clear Pending Register 8" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x09) group.long 0x0224++0x03 line.long 0x0 "GICD_SET/CLR_PENDR9,Interrupt Set/Clear Pending Register 9" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND319 ,Set/Clear Pending Bit 319" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND318 ,Set/Clear Pending Bit 318" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND317 ,Set/Clear Pending Bit 317" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND316 ,Set/Clear Pending Bit 316" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND315 ,Set/Clear Pending Bit 315" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND314 ,Set/Clear Pending Bit 314" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND313 ,Set/Clear Pending Bit 313" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND312 ,Set/Clear Pending Bit 312" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND311 ,Set/Clear Pending Bit 311" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND310 ,Set/Clear Pending Bit 310" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND309 ,Set/Clear Pending Bit 309" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND308 ,Set/Clear Pending Bit 308" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND307 ,Set/Clear Pending Bit 307" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND306 ,Set/Clear Pending Bit 306" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND305 ,Set/Clear Pending Bit 305" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND304 ,Set/Clear Pending Bit 304" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND303 ,Set/Clear Pending Bit 303" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND302 ,Set/Clear Pending Bit 302" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND301 ,Set/Clear Pending Bit 301" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND300 ,Set/Clear Pending Bit 300" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND299 ,Set/Clear Pending Bit 299" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND298 ,Set/Clear Pending Bit 298" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND297 ,Set/Clear Pending Bit 297" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND296 ,Set/Clear Pending Bit 296" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND295 ,Set/Clear Pending Bit 295" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND294 ,Set/Clear Pending Bit 294" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND293 ,Set/Clear Pending Bit 293" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND292 ,Set/Clear Pending Bit 292" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND291 ,Set/Clear Pending Bit 291" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND290 ,Set/Clear Pending Bit 290" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND289 ,Set/Clear Pending Bit 289" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND288 ,Set/Clear Pending Bit 288" "Not pending,Pending" else hgroup.long 0x0224++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR9,Interrupt Set/Clear Pending Register 9" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0A) group.long 0x0228++0x03 line.long 0x0 "GICD_SET/CLR_PENDR10,Interrupt Set/Clear Pending Register 10" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND351 ,Set/Clear Pending Bit 351" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND350 ,Set/Clear Pending Bit 350" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND349 ,Set/Clear Pending Bit 349" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND348 ,Set/Clear Pending Bit 348" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND347 ,Set/Clear Pending Bit 347" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND346 ,Set/Clear Pending Bit 346" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND345 ,Set/Clear Pending Bit 345" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND344 ,Set/Clear Pending Bit 344" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND343 ,Set/Clear Pending Bit 343" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND342 ,Set/Clear Pending Bit 342" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND341 ,Set/Clear Pending Bit 341" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND340 ,Set/Clear Pending Bit 340" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND339 ,Set/Clear Pending Bit 339" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND338 ,Set/Clear Pending Bit 338" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND337 ,Set/Clear Pending Bit 337" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND336 ,Set/Clear Pending Bit 336" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND335 ,Set/Clear Pending Bit 335" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND334 ,Set/Clear Pending Bit 334" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND333 ,Set/Clear Pending Bit 333" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND332 ,Set/Clear Pending Bit 332" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND331 ,Set/Clear Pending Bit 331" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND330 ,Set/Clear Pending Bit 330" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND329 ,Set/Clear Pending Bit 329" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND328 ,Set/Clear Pending Bit 328" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND327 ,Set/Clear Pending Bit 327" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND326 ,Set/Clear Pending Bit 326" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND325 ,Set/Clear Pending Bit 325" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND324 ,Set/Clear Pending Bit 324" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND323 ,Set/Clear Pending Bit 323" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND322 ,Set/Clear Pending Bit 322" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND321 ,Set/Clear Pending Bit 321" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND320 ,Set/Clear Pending Bit 320" "Not pending,Pending" else hgroup.long 0x0228++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR10,Interrupt Set/Clear Pending Register 10" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0B) group.long 0x022C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR11,Interrupt Set/Clear Pending Register 11" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND383 ,Set/Clear Pending Bit 383" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND382 ,Set/Clear Pending Bit 382" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND381 ,Set/Clear Pending Bit 381" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND380 ,Set/Clear Pending Bit 380" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND379 ,Set/Clear Pending Bit 379" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND378 ,Set/Clear Pending Bit 378" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND377 ,Set/Clear Pending Bit 377" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND376 ,Set/Clear Pending Bit 376" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND375 ,Set/Clear Pending Bit 375" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND374 ,Set/Clear Pending Bit 374" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND373 ,Set/Clear Pending Bit 373" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND372 ,Set/Clear Pending Bit 372" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND371 ,Set/Clear Pending Bit 371" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND370 ,Set/Clear Pending Bit 370" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND369 ,Set/Clear Pending Bit 369" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND368 ,Set/Clear Pending Bit 368" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND367 ,Set/Clear Pending Bit 367" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND366 ,Set/Clear Pending Bit 366" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND365 ,Set/Clear Pending Bit 365" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND364 ,Set/Clear Pending Bit 364" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND363 ,Set/Clear Pending Bit 363" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND362 ,Set/Clear Pending Bit 362" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND361 ,Set/Clear Pending Bit 361" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND360 ,Set/Clear Pending Bit 360" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND359 ,Set/Clear Pending Bit 359" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND358 ,Set/Clear Pending Bit 358" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND357 ,Set/Clear Pending Bit 357" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND356 ,Set/Clear Pending Bit 356" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND355 ,Set/Clear Pending Bit 355" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND354 ,Set/Clear Pending Bit 354" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND353 ,Set/Clear Pending Bit 353" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND352 ,Set/Clear Pending Bit 352" "Not pending,Pending" else hgroup.long 0x022C++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR11,Interrupt Set/Clear Pending Register 11" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0C) group.long 0x0230++0x03 line.long 0x0 "GICD_SET/CLR_PENDR12,Interrupt Set/Clear Pending Register 12" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND415 ,Set/Clear Pending Bit 415" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND414 ,Set/Clear Pending Bit 414" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND413 ,Set/Clear Pending Bit 413" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND412 ,Set/Clear Pending Bit 412" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND411 ,Set/Clear Pending Bit 411" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND410 ,Set/Clear Pending Bit 410" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND409 ,Set/Clear Pending Bit 409" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND408 ,Set/Clear Pending Bit 408" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND407 ,Set/Clear Pending Bit 407" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND406 ,Set/Clear Pending Bit 406" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND405 ,Set/Clear Pending Bit 405" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND404 ,Set/Clear Pending Bit 404" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND403 ,Set/Clear Pending Bit 403" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND402 ,Set/Clear Pending Bit 402" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND401 ,Set/Clear Pending Bit 401" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND400 ,Set/Clear Pending Bit 400" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND399 ,Set/Clear Pending Bit 399" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND398 ,Set/Clear Pending Bit 398" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND397 ,Set/Clear Pending Bit 397" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND396 ,Set/Clear Pending Bit 396" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND395 ,Set/Clear Pending Bit 395" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND394 ,Set/Clear Pending Bit 394" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND393 ,Set/Clear Pending Bit 393" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND392 ,Set/Clear Pending Bit 392" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND391 ,Set/Clear Pending Bit 391" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND390 ,Set/Clear Pending Bit 390" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND389 ,Set/Clear Pending Bit 389" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND388 ,Set/Clear Pending Bit 388" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND387 ,Set/Clear Pending Bit 387" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND386 ,Set/Clear Pending Bit 386" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND385 ,Set/Clear Pending Bit 385" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND384 ,Set/Clear Pending Bit 384" "Not pending,Pending" else hgroup.long 0x0230++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR12,Interrupt Set/Clear Pending Register 12" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0D) group.long 0x0234++0x03 line.long 0x0 "GICD_SET/CLR_PENDR13,Interrupt Set/Clear Pending Register 13" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND447 ,Set/Clear Pending Bit 447" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND446 ,Set/Clear Pending Bit 446" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND445 ,Set/Clear Pending Bit 445" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND444 ,Set/Clear Pending Bit 444" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND443 ,Set/Clear Pending Bit 443" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND442 ,Set/Clear Pending Bit 442" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND441 ,Set/Clear Pending Bit 441" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND440 ,Set/Clear Pending Bit 440" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND439 ,Set/Clear Pending Bit 439" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND438 ,Set/Clear Pending Bit 438" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND437 ,Set/Clear Pending Bit 437" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND436 ,Set/Clear Pending Bit 436" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND435 ,Set/Clear Pending Bit 435" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND434 ,Set/Clear Pending Bit 434" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND433 ,Set/Clear Pending Bit 433" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND432 ,Set/Clear Pending Bit 432" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND431 ,Set/Clear Pending Bit 431" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND430 ,Set/Clear Pending Bit 430" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND429 ,Set/Clear Pending Bit 429" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND428 ,Set/Clear Pending Bit 428" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND427 ,Set/Clear Pending Bit 427" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND426 ,Set/Clear Pending Bit 426" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND425 ,Set/Clear Pending Bit 425" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND424 ,Set/Clear Pending Bit 424" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND423 ,Set/Clear Pending Bit 423" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND422 ,Set/Clear Pending Bit 422" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND421 ,Set/Clear Pending Bit 421" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND420 ,Set/Clear Pending Bit 420" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND419 ,Set/Clear Pending Bit 419" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND418 ,Set/Clear Pending Bit 418" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND417 ,Set/Clear Pending Bit 417" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND416 ,Set/Clear Pending Bit 416" "Not pending,Pending" else hgroup.long 0x0234++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR13,Interrupt Set/Clear Pending Register 13" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0E) group.long 0x0238++0x03 line.long 0x0 "GICD_SET/CLR_PENDR14,Interrupt Set/Clear Pending Register 14" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND479 ,Set/Clear Pending Bit 479" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND478 ,Set/Clear Pending Bit 478" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND477 ,Set/Clear Pending Bit 477" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND476 ,Set/Clear Pending Bit 476" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND475 ,Set/Clear Pending Bit 475" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND474 ,Set/Clear Pending Bit 474" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND473 ,Set/Clear Pending Bit 473" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND472 ,Set/Clear Pending Bit 472" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND471 ,Set/Clear Pending Bit 471" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND470 ,Set/Clear Pending Bit 470" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND469 ,Set/Clear Pending Bit 469" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND468 ,Set/Clear Pending Bit 468" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND467 ,Set/Clear Pending Bit 467" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND466 ,Set/Clear Pending Bit 466" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND465 ,Set/Clear Pending Bit 465" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND464 ,Set/Clear Pending Bit 464" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND463 ,Set/Clear Pending Bit 463" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND462 ,Set/Clear Pending Bit 462" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND461 ,Set/Clear Pending Bit 461" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND460 ,Set/Clear Pending Bit 460" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND459 ,Set/Clear Pending Bit 459" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND458 ,Set/Clear Pending Bit 458" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND457 ,Set/Clear Pending Bit 457" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND456 ,Set/Clear Pending Bit 456" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND455 ,Set/Clear Pending Bit 455" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND454 ,Set/Clear Pending Bit 454" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND453 ,Set/Clear Pending Bit 453" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND452 ,Set/Clear Pending Bit 452" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND451 ,Set/Clear Pending Bit 451" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND450 ,Set/Clear Pending Bit 450" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND449 ,Set/Clear Pending Bit 449" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND448 ,Set/Clear Pending Bit 448" "Not pending,Pending" else hgroup.long 0x0238++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR14,Interrupt Set/Clear Pending Register 14" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0F) group.long 0x023C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR15,Interrupt Set/Clear Pending Register 15" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND511 ,Set/Clear Pending Bit 511" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND510 ,Set/Clear Pending Bit 510" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND509 ,Set/Clear Pending Bit 509" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND508 ,Set/Clear Pending Bit 508" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND507 ,Set/Clear Pending Bit 507" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND506 ,Set/Clear Pending Bit 506" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND505 ,Set/Clear Pending Bit 505" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND504 ,Set/Clear Pending Bit 504" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND503 ,Set/Clear Pending Bit 503" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND502 ,Set/Clear Pending Bit 502" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND501 ,Set/Clear Pending Bit 501" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND500 ,Set/Clear Pending Bit 500" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND499 ,Set/Clear Pending Bit 499" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND498 ,Set/Clear Pending Bit 498" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND497 ,Set/Clear Pending Bit 497" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND496 ,Set/Clear Pending Bit 496" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND495 ,Set/Clear Pending Bit 495" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND494 ,Set/Clear Pending Bit 494" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND493 ,Set/Clear Pending Bit 493" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND492 ,Set/Clear Pending Bit 492" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND491 ,Set/Clear Pending Bit 491" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND490 ,Set/Clear Pending Bit 490" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND489 ,Set/Clear Pending Bit 489" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND488 ,Set/Clear Pending Bit 488" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND487 ,Set/Clear Pending Bit 487" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND486 ,Set/Clear Pending Bit 486" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND485 ,Set/Clear Pending Bit 485" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND484 ,Set/Clear Pending Bit 484" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND483 ,Set/Clear Pending Bit 483" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND482 ,Set/Clear Pending Bit 482" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND481 ,Set/Clear Pending Bit 481" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND480 ,Set/Clear Pending Bit 480" "Not pending,Pending" else hgroup.long 0x023C++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR15,Interrupt Set/Clear Pending Register 15" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10) group.long 0x0240++0x03 line.long 0x0 "GICD_SET/CLR_PENDR16,Interrupt Set/Clear Pending Register 16" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND543 ,Set/Clear Pending Bit 543" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND542 ,Set/Clear Pending Bit 542" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND541 ,Set/Clear Pending Bit 541" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND540 ,Set/Clear Pending Bit 540" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND539 ,Set/Clear Pending Bit 539" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND538 ,Set/Clear Pending Bit 538" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND537 ,Set/Clear Pending Bit 537" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND536 ,Set/Clear Pending Bit 536" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND535 ,Set/Clear Pending Bit 535" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND534 ,Set/Clear Pending Bit 534" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND533 ,Set/Clear Pending Bit 533" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND532 ,Set/Clear Pending Bit 532" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND531 ,Set/Clear Pending Bit 531" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND530 ,Set/Clear Pending Bit 530" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND529 ,Set/Clear Pending Bit 529" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND528 ,Set/Clear Pending Bit 528" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND527 ,Set/Clear Pending Bit 527" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND526 ,Set/Clear Pending Bit 526" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND525 ,Set/Clear Pending Bit 525" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND524 ,Set/Clear Pending Bit 524" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND523 ,Set/Clear Pending Bit 523" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND522 ,Set/Clear Pending Bit 522" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND521 ,Set/Clear Pending Bit 521" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND520 ,Set/Clear Pending Bit 520" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND519 ,Set/Clear Pending Bit 519" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND518 ,Set/Clear Pending Bit 518" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND517 ,Set/Clear Pending Bit 517" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND516 ,Set/Clear Pending Bit 516" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND515 ,Set/Clear Pending Bit 515" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND514 ,Set/Clear Pending Bit 514" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND513 ,Set/Clear Pending Bit 513" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND512 ,Set/Clear Pending Bit 512" "Not pending,Pending" else hgroup.long 0x0240++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR16,Interrupt Set/Clear Pending Register 16" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11) group.long 0x0244++0x03 line.long 0x0 "GICD_SET/CLR_PENDR17,Interrupt Set/Clear Pending Register 17" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND575 ,Set/Clear Pending Bit 575" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND574 ,Set/Clear Pending Bit 574" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND573 ,Set/Clear Pending Bit 573" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND572 ,Set/Clear Pending Bit 572" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND571 ,Set/Clear Pending Bit 571" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND570 ,Set/Clear Pending Bit 570" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND569 ,Set/Clear Pending Bit 569" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND568 ,Set/Clear Pending Bit 568" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND567 ,Set/Clear Pending Bit 567" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND566 ,Set/Clear Pending Bit 566" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND565 ,Set/Clear Pending Bit 565" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND564 ,Set/Clear Pending Bit 564" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND563 ,Set/Clear Pending Bit 563" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND562 ,Set/Clear Pending Bit 562" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND561 ,Set/Clear Pending Bit 561" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND560 ,Set/Clear Pending Bit 560" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND559 ,Set/Clear Pending Bit 559" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND558 ,Set/Clear Pending Bit 558" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND557 ,Set/Clear Pending Bit 557" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND556 ,Set/Clear Pending Bit 556" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND555 ,Set/Clear Pending Bit 555" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND554 ,Set/Clear Pending Bit 554" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND553 ,Set/Clear Pending Bit 553" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND552 ,Set/Clear Pending Bit 552" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND551 ,Set/Clear Pending Bit 551" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND550 ,Set/Clear Pending Bit 550" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND549 ,Set/Clear Pending Bit 549" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND548 ,Set/Clear Pending Bit 548" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND547 ,Set/Clear Pending Bit 547" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND546 ,Set/Clear Pending Bit 546" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND545 ,Set/Clear Pending Bit 545" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND544 ,Set/Clear Pending Bit 544" "Not pending,Pending" else hgroup.long 0x0244++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR17,Interrupt Set/Clear Pending Register 17" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12) group.long 0x0248++0x03 line.long 0x0 "GICD_SET/CLR_PENDR18,Interrupt Set/Clear Pending Register 18" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND607 ,Set/Clear Pending Bit 607" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND606 ,Set/Clear Pending Bit 606" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND605 ,Set/Clear Pending Bit 605" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND604 ,Set/Clear Pending Bit 604" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND603 ,Set/Clear Pending Bit 603" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND602 ,Set/Clear Pending Bit 602" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND601 ,Set/Clear Pending Bit 601" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND600 ,Set/Clear Pending Bit 600" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND599 ,Set/Clear Pending Bit 599" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND598 ,Set/Clear Pending Bit 598" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND597 ,Set/Clear Pending Bit 597" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND596 ,Set/Clear Pending Bit 596" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND595 ,Set/Clear Pending Bit 595" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND594 ,Set/Clear Pending Bit 594" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND593 ,Set/Clear Pending Bit 593" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND592 ,Set/Clear Pending Bit 592" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND591 ,Set/Clear Pending Bit 591" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND590 ,Set/Clear Pending Bit 590" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND589 ,Set/Clear Pending Bit 589" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND588 ,Set/Clear Pending Bit 588" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND587 ,Set/Clear Pending Bit 587" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND586 ,Set/Clear Pending Bit 586" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND585 ,Set/Clear Pending Bit 585" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND584 ,Set/Clear Pending Bit 584" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND583 ,Set/Clear Pending Bit 583" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND582 ,Set/Clear Pending Bit 582" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND581 ,Set/Clear Pending Bit 581" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND580 ,Set/Clear Pending Bit 580" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND579 ,Set/Clear Pending Bit 579" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND578 ,Set/Clear Pending Bit 578" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND577 ,Set/Clear Pending Bit 577" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND576 ,Set/Clear Pending Bit 576" "Not pending,Pending" else hgroup.long 0x0248++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR18,Interrupt Set/Clear Pending Register 18" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13) group.long 0x024C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR19,Interrupt Set/Clear Pending Register 19" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND639 ,Set/Clear Pending Bit 639" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND638 ,Set/Clear Pending Bit 638" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND637 ,Set/Clear Pending Bit 637" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND636 ,Set/Clear Pending Bit 636" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND635 ,Set/Clear Pending Bit 635" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND634 ,Set/Clear Pending Bit 634" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND633 ,Set/Clear Pending Bit 633" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND632 ,Set/Clear Pending Bit 632" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND631 ,Set/Clear Pending Bit 631" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND630 ,Set/Clear Pending Bit 630" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND629 ,Set/Clear Pending Bit 629" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND628 ,Set/Clear Pending Bit 628" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND627 ,Set/Clear Pending Bit 627" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND626 ,Set/Clear Pending Bit 626" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND625 ,Set/Clear Pending Bit 625" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND624 ,Set/Clear Pending Bit 624" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND623 ,Set/Clear Pending Bit 623" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND622 ,Set/Clear Pending Bit 622" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND621 ,Set/Clear Pending Bit 621" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND620 ,Set/Clear Pending Bit 620" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND619 ,Set/Clear Pending Bit 619" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND618 ,Set/Clear Pending Bit 618" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND617 ,Set/Clear Pending Bit 617" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND616 ,Set/Clear Pending Bit 616" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND615 ,Set/Clear Pending Bit 615" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND614 ,Set/Clear Pending Bit 614" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND613 ,Set/Clear Pending Bit 613" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND612 ,Set/Clear Pending Bit 612" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND611 ,Set/Clear Pending Bit 611" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND610 ,Set/Clear Pending Bit 610" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND609 ,Set/Clear Pending Bit 609" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND608 ,Set/Clear Pending Bit 608" "Not pending,Pending" else hgroup.long 0x024C++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR19,Interrupt Set/Clear Pending Register 19" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14) group.long 0x0250++0x03 line.long 0x0 "GICD_SET/CLR_PENDR20,Interrupt Set/Clear Pending Register 20" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND671 ,Set/Clear Pending Bit 671" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND670 ,Set/Clear Pending Bit 670" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND669 ,Set/Clear Pending Bit 669" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND668 ,Set/Clear Pending Bit 668" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND667 ,Set/Clear Pending Bit 667" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND666 ,Set/Clear Pending Bit 666" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND665 ,Set/Clear Pending Bit 665" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND664 ,Set/Clear Pending Bit 664" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND663 ,Set/Clear Pending Bit 663" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND662 ,Set/Clear Pending Bit 662" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND661 ,Set/Clear Pending Bit 661" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND660 ,Set/Clear Pending Bit 660" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND659 ,Set/Clear Pending Bit 659" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND658 ,Set/Clear Pending Bit 658" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND657 ,Set/Clear Pending Bit 657" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND656 ,Set/Clear Pending Bit 656" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND655 ,Set/Clear Pending Bit 655" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND654 ,Set/Clear Pending Bit 654" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND653 ,Set/Clear Pending Bit 653" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND652 ,Set/Clear Pending Bit 652" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND651 ,Set/Clear Pending Bit 651" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND650 ,Set/Clear Pending Bit 650" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND649 ,Set/Clear Pending Bit 649" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND648 ,Set/Clear Pending Bit 648" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND647 ,Set/Clear Pending Bit 647" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND646 ,Set/Clear Pending Bit 646" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND645 ,Set/Clear Pending Bit 645" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND644 ,Set/Clear Pending Bit 644" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND643 ,Set/Clear Pending Bit 643" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND642 ,Set/Clear Pending Bit 642" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND641 ,Set/Clear Pending Bit 641" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND640 ,Set/Clear Pending Bit 640" "Not pending,Pending" else hgroup.long 0x0250++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR20,Interrupt Set/Clear Pending Register 20" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15) group.long 0x0254++0x03 line.long 0x0 "GICD_SET/CLR_PENDR21,Interrupt Set/Clear Pending Register 21" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND703 ,Set/Clear Pending Bit 703" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND702 ,Set/Clear Pending Bit 702" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND701 ,Set/Clear Pending Bit 701" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND700 ,Set/Clear Pending Bit 700" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND699 ,Set/Clear Pending Bit 699" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND698 ,Set/Clear Pending Bit 698" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND697 ,Set/Clear Pending Bit 697" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND696 ,Set/Clear Pending Bit 696" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND695 ,Set/Clear Pending Bit 695" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND694 ,Set/Clear Pending Bit 694" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND693 ,Set/Clear Pending Bit 693" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND692 ,Set/Clear Pending Bit 692" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND691 ,Set/Clear Pending Bit 691" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND690 ,Set/Clear Pending Bit 690" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND689 ,Set/Clear Pending Bit 689" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND688 ,Set/Clear Pending Bit 688" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND687 ,Set/Clear Pending Bit 687" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND686 ,Set/Clear Pending Bit 686" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND685 ,Set/Clear Pending Bit 685" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND684 ,Set/Clear Pending Bit 684" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND683 ,Set/Clear Pending Bit 683" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND682 ,Set/Clear Pending Bit 682" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND681 ,Set/Clear Pending Bit 681" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND680 ,Set/Clear Pending Bit 680" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND679 ,Set/Clear Pending Bit 679" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND678 ,Set/Clear Pending Bit 678" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND677 ,Set/Clear Pending Bit 677" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND676 ,Set/Clear Pending Bit 676" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND675 ,Set/Clear Pending Bit 675" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND674 ,Set/Clear Pending Bit 674" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND673 ,Set/Clear Pending Bit 673" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND672 ,Set/Clear Pending Bit 672" "Not pending,Pending" else hgroup.long 0x0254++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR21,Interrupt Set/Clear Pending Register 21" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16) group.long 0x0258++0x03 line.long 0x0 "GICD_SET/CLR_PENDR22,Interrupt Set/Clear Pending Register 22" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND735 ,Set/Clear Pending Bit 735" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND734 ,Set/Clear Pending Bit 734" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND733 ,Set/Clear Pending Bit 733" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND732 ,Set/Clear Pending Bit 732" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND731 ,Set/Clear Pending Bit 731" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND730 ,Set/Clear Pending Bit 730" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND729 ,Set/Clear Pending Bit 729" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND728 ,Set/Clear Pending Bit 728" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND727 ,Set/Clear Pending Bit 727" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND726 ,Set/Clear Pending Bit 726" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND725 ,Set/Clear Pending Bit 725" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND724 ,Set/Clear Pending Bit 724" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND723 ,Set/Clear Pending Bit 723" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND722 ,Set/Clear Pending Bit 722" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND721 ,Set/Clear Pending Bit 721" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND720 ,Set/Clear Pending Bit 720" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND719 ,Set/Clear Pending Bit 719" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND718 ,Set/Clear Pending Bit 718" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND717 ,Set/Clear Pending Bit 717" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND716 ,Set/Clear Pending Bit 716" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND715 ,Set/Clear Pending Bit 715" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND714 ,Set/Clear Pending Bit 714" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND713 ,Set/Clear Pending Bit 713" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND712 ,Set/Clear Pending Bit 712" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND711 ,Set/Clear Pending Bit 711" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND710 ,Set/Clear Pending Bit 710" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND709 ,Set/Clear Pending Bit 709" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND708 ,Set/Clear Pending Bit 708" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND707 ,Set/Clear Pending Bit 707" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND706 ,Set/Clear Pending Bit 706" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND705 ,Set/Clear Pending Bit 705" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND704 ,Set/Clear Pending Bit 704" "Not pending,Pending" else hgroup.long 0x0258++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR22,Interrupt Set/Clear Pending Register 22" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17) group.long 0x025C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR23,Interrupt Set/Clear Pending Register 23" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND767 ,Set/Clear Pending Bit 767" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND766 ,Set/Clear Pending Bit 766" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND765 ,Set/Clear Pending Bit 765" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND764 ,Set/Clear Pending Bit 764" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND763 ,Set/Clear Pending Bit 763" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND762 ,Set/Clear Pending Bit 762" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND761 ,Set/Clear Pending Bit 761" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND760 ,Set/Clear Pending Bit 760" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND759 ,Set/Clear Pending Bit 759" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND758 ,Set/Clear Pending Bit 758" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND757 ,Set/Clear Pending Bit 757" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND756 ,Set/Clear Pending Bit 756" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND755 ,Set/Clear Pending Bit 755" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND754 ,Set/Clear Pending Bit 754" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND753 ,Set/Clear Pending Bit 753" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND752 ,Set/Clear Pending Bit 752" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND751 ,Set/Clear Pending Bit 751" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND750 ,Set/Clear Pending Bit 750" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND749 ,Set/Clear Pending Bit 749" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND748 ,Set/Clear Pending Bit 748" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND747 ,Set/Clear Pending Bit 747" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND746 ,Set/Clear Pending Bit 746" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND745 ,Set/Clear Pending Bit 745" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND744 ,Set/Clear Pending Bit 744" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND743 ,Set/Clear Pending Bit 743" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND742 ,Set/Clear Pending Bit 742" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND741 ,Set/Clear Pending Bit 741" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND740 ,Set/Clear Pending Bit 740" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND739 ,Set/Clear Pending Bit 739" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND738 ,Set/Clear Pending Bit 738" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND737 ,Set/Clear Pending Bit 737" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND736 ,Set/Clear Pending Bit 736" "Not pending,Pending" else hgroup.long 0x025C++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR23,Interrupt Set/Clear Pending Register 23" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18) group.long 0x0260++0x03 line.long 0x0 "GICD_SET/CLR_PENDR24,Interrupt Set/Clear Pending Register 24" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND799 ,Set/Clear Pending Bit 799" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND798 ,Set/Clear Pending Bit 798" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND797 ,Set/Clear Pending Bit 797" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND796 ,Set/Clear Pending Bit 796" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND795 ,Set/Clear Pending Bit 795" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND794 ,Set/Clear Pending Bit 794" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND793 ,Set/Clear Pending Bit 793" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND792 ,Set/Clear Pending Bit 792" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND791 ,Set/Clear Pending Bit 791" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND790 ,Set/Clear Pending Bit 790" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND789 ,Set/Clear Pending Bit 789" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND788 ,Set/Clear Pending Bit 788" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND787 ,Set/Clear Pending Bit 787" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND786 ,Set/Clear Pending Bit 786" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND785 ,Set/Clear Pending Bit 785" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND784 ,Set/Clear Pending Bit 784" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND783 ,Set/Clear Pending Bit 783" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND782 ,Set/Clear Pending Bit 782" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND781 ,Set/Clear Pending Bit 781" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND780 ,Set/Clear Pending Bit 780" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND779 ,Set/Clear Pending Bit 779" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND778 ,Set/Clear Pending Bit 778" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND777 ,Set/Clear Pending Bit 777" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND776 ,Set/Clear Pending Bit 776" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND775 ,Set/Clear Pending Bit 775" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND774 ,Set/Clear Pending Bit 774" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND773 ,Set/Clear Pending Bit 773" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND772 ,Set/Clear Pending Bit 772" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND771 ,Set/Clear Pending Bit 771" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND770 ,Set/Clear Pending Bit 770" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND769 ,Set/Clear Pending Bit 769" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND768 ,Set/Clear Pending Bit 768" "Not pending,Pending" else hgroup.long 0x0260++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR24,Interrupt Set/Clear Pending Register 24" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19) group.long 0x0264++0x03 line.long 0x0 "GICD_SET/CLR_PENDR25,Interrupt Set/Clear Pending Register 25" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND831 ,Set/Clear Pending Bit 831" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND830 ,Set/Clear Pending Bit 830" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND829 ,Set/Clear Pending Bit 829" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND828 ,Set/Clear Pending Bit 828" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND827 ,Set/Clear Pending Bit 827" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND826 ,Set/Clear Pending Bit 826" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND825 ,Set/Clear Pending Bit 825" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND824 ,Set/Clear Pending Bit 824" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND823 ,Set/Clear Pending Bit 823" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND822 ,Set/Clear Pending Bit 822" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND821 ,Set/Clear Pending Bit 821" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND820 ,Set/Clear Pending Bit 820" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND819 ,Set/Clear Pending Bit 819" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND818 ,Set/Clear Pending Bit 818" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND817 ,Set/Clear Pending Bit 817" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND816 ,Set/Clear Pending Bit 816" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND815 ,Set/Clear Pending Bit 815" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND814 ,Set/Clear Pending Bit 814" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND813 ,Set/Clear Pending Bit 813" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND812 ,Set/Clear Pending Bit 812" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND811 ,Set/Clear Pending Bit 811" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND810 ,Set/Clear Pending Bit 810" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND809 ,Set/Clear Pending Bit 809" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND808 ,Set/Clear Pending Bit 808" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND807 ,Set/Clear Pending Bit 807" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND806 ,Set/Clear Pending Bit 806" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND805 ,Set/Clear Pending Bit 805" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND804 ,Set/Clear Pending Bit 804" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND803 ,Set/Clear Pending Bit 803" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND802 ,Set/Clear Pending Bit 802" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND801 ,Set/Clear Pending Bit 801" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND800 ,Set/Clear Pending Bit 800" "Not pending,Pending" else hgroup.long 0x0264++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR25,Interrupt Set/Clear Pending Register 25" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A) group.long 0x0268++0x03 line.long 0x0 "GICD_SET/CLR_PENDR26,Interrupt Set/Clear Pending Register 26" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND863 ,Set/Clear Pending Bit 863" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND862 ,Set/Clear Pending Bit 862" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND861 ,Set/Clear Pending Bit 861" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND860 ,Set/Clear Pending Bit 860" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND859 ,Set/Clear Pending Bit 859" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND858 ,Set/Clear Pending Bit 858" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND857 ,Set/Clear Pending Bit 857" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND856 ,Set/Clear Pending Bit 856" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND855 ,Set/Clear Pending Bit 855" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND854 ,Set/Clear Pending Bit 854" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND853 ,Set/Clear Pending Bit 853" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND852 ,Set/Clear Pending Bit 852" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND851 ,Set/Clear Pending Bit 851" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND850 ,Set/Clear Pending Bit 850" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND849 ,Set/Clear Pending Bit 849" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND848 ,Set/Clear Pending Bit 848" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND847 ,Set/Clear Pending Bit 847" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND846 ,Set/Clear Pending Bit 846" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND845 ,Set/Clear Pending Bit 845" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND844 ,Set/Clear Pending Bit 844" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND843 ,Set/Clear Pending Bit 843" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND842 ,Set/Clear Pending Bit 842" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND841 ,Set/Clear Pending Bit 841" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND840 ,Set/Clear Pending Bit 840" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND839 ,Set/Clear Pending Bit 839" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND838 ,Set/Clear Pending Bit 838" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND837 ,Set/Clear Pending Bit 837" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND836 ,Set/Clear Pending Bit 836" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND835 ,Set/Clear Pending Bit 835" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND834 ,Set/Clear Pending Bit 834" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND833 ,Set/Clear Pending Bit 833" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND832 ,Set/Clear Pending Bit 832" "Not pending,Pending" else hgroup.long 0x0268++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR26,Interrupt Set/Clear Pending Register 26" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B) group.long 0x026C++0x03 line.long 0x0 "GICD_SET/CLR_PENDR27,Interrupt Set/Clear Pending Register 27" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND895 ,Set/Clear Pending Bit 895" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND894 ,Set/Clear Pending Bit 894" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND893 ,Set/Clear Pending Bit 893" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND892 ,Set/Clear Pending Bit 892" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND891 ,Set/Clear Pending Bit 891" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND890 ,Set/Clear Pending Bit 890" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND889 ,Set/Clear Pending Bit 889" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND888 ,Set/Clear Pending Bit 888" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND887 ,Set/Clear Pending Bit 887" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND886 ,Set/Clear Pending Bit 886" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND885 ,Set/Clear Pending Bit 885" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND884 ,Set/Clear Pending Bit 884" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND883 ,Set/Clear Pending Bit 883" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND882 ,Set/Clear Pending Bit 882" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND881 ,Set/Clear Pending Bit 881" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND880 ,Set/Clear Pending Bit 880" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND879 ,Set/Clear Pending Bit 879" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND878 ,Set/Clear Pending Bit 878" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND877 ,Set/Clear Pending Bit 877" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND876 ,Set/Clear Pending Bit 876" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND875 ,Set/Clear Pending Bit 875" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND874 ,Set/Clear Pending Bit 874" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND873 ,Set/Clear Pending Bit 873" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND872 ,Set/Clear Pending Bit 872" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND871 ,Set/Clear Pending Bit 871" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND870 ,Set/Clear Pending Bit 870" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND869 ,Set/Clear Pending Bit 869" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND868 ,Set/Clear Pending Bit 868" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND867 ,Set/Clear Pending Bit 867" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND866 ,Set/Clear Pending Bit 866" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND865 ,Set/Clear Pending Bit 865" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND864 ,Set/Clear Pending Bit 864" "Not pending,Pending" else hgroup.long 0x026C++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR27,Interrupt Set/Clear Pending Register 27" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C) group.long 0x0270++0x03 line.long 0x0 "GICD_SET/CLR_PENDR28,Interrupt Set/Clear Pending Register 28" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND927 ,Set/Clear Pending Bit 927" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND926 ,Set/Clear Pending Bit 926" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND925 ,Set/Clear Pending Bit 925" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND924 ,Set/Clear Pending Bit 924" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND923 ,Set/Clear Pending Bit 923" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND922 ,Set/Clear Pending Bit 922" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND921 ,Set/Clear Pending Bit 921" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND920 ,Set/Clear Pending Bit 920" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND919 ,Set/Clear Pending Bit 919" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND918 ,Set/Clear Pending Bit 918" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND917 ,Set/Clear Pending Bit 917" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND916 ,Set/Clear Pending Bit 916" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND915 ,Set/Clear Pending Bit 915" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND914 ,Set/Clear Pending Bit 914" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND913 ,Set/Clear Pending Bit 913" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND912 ,Set/Clear Pending Bit 912" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND911 ,Set/Clear Pending Bit 911" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND910 ,Set/Clear Pending Bit 910" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND909 ,Set/Clear Pending Bit 909" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND908 ,Set/Clear Pending Bit 908" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND907 ,Set/Clear Pending Bit 907" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND906 ,Set/Clear Pending Bit 906" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND905 ,Set/Clear Pending Bit 905" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND904 ,Set/Clear Pending Bit 904" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND903 ,Set/Clear Pending Bit 903" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND902 ,Set/Clear Pending Bit 902" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND901 ,Set/Clear Pending Bit 901" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND900 ,Set/Clear Pending Bit 900" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND899 ,Set/Clear Pending Bit 899" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND898 ,Set/Clear Pending Bit 898" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND897 ,Set/Clear Pending Bit 897" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND896 ,Set/Clear Pending Bit 896" "Not pending,Pending" else hgroup.long 0x0270++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR28,Interrupt Set/Clear Pending Register 28" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D) group.long 0x0274++0x03 line.long 0x0 "GICD_SET/CLR_PENDR29,Interrupt Set/Clear Pending Register 29" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND959 ,Set/Clear Pending Bit 959" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND958 ,Set/Clear Pending Bit 958" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND957 ,Set/Clear Pending Bit 957" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND956 ,Set/Clear Pending Bit 956" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND955 ,Set/Clear Pending Bit 955" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND954 ,Set/Clear Pending Bit 954" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND953 ,Set/Clear Pending Bit 953" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND952 ,Set/Clear Pending Bit 952" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND951 ,Set/Clear Pending Bit 951" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND950 ,Set/Clear Pending Bit 950" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND949 ,Set/Clear Pending Bit 949" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND948 ,Set/Clear Pending Bit 948" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND947 ,Set/Clear Pending Bit 947" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND946 ,Set/Clear Pending Bit 946" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND945 ,Set/Clear Pending Bit 945" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND944 ,Set/Clear Pending Bit 944" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND943 ,Set/Clear Pending Bit 943" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND942 ,Set/Clear Pending Bit 942" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND941 ,Set/Clear Pending Bit 941" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND940 ,Set/Clear Pending Bit 940" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND939 ,Set/Clear Pending Bit 939" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND938 ,Set/Clear Pending Bit 938" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND937 ,Set/Clear Pending Bit 937" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND936 ,Set/Clear Pending Bit 936" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND935 ,Set/Clear Pending Bit 935" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND934 ,Set/Clear Pending Bit 934" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND933 ,Set/Clear Pending Bit 933" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND932 ,Set/Clear Pending Bit 932" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND931 ,Set/Clear Pending Bit 931" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND930 ,Set/Clear Pending Bit 930" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND929 ,Set/Clear Pending Bit 929" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND928 ,Set/Clear Pending Bit 928" "Not pending,Pending" else hgroup.long 0x0274++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR29,Interrupt Set/Clear Pending Register 29" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E) group.long 0x0278++0x03 line.long 0x0 "GICD_SET/CLR_PENDR30,Interrupt Set/Clear Pending Register 30" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND991 ,Set/Clear Pending Bit 991" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND990 ,Set/Clear Pending Bit 990" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND989 ,Set/Clear Pending Bit 989" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND988 ,Set/Clear Pending Bit 988" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND987 ,Set/Clear Pending Bit 987" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND986 ,Set/Clear Pending Bit 986" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND985 ,Set/Clear Pending Bit 985" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND984 ,Set/Clear Pending Bit 984" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND983 ,Set/Clear Pending Bit 983" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND982 ,Set/Clear Pending Bit 982" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND981 ,Set/Clear Pending Bit 981" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND980 ,Set/Clear Pending Bit 980" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND979 ,Set/Clear Pending Bit 979" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND978 ,Set/Clear Pending Bit 978" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND977 ,Set/Clear Pending Bit 977" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND976 ,Set/Clear Pending Bit 976" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND975 ,Set/Clear Pending Bit 975" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND974 ,Set/Clear Pending Bit 974" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND973 ,Set/Clear Pending Bit 973" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND972 ,Set/Clear Pending Bit 972" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND971 ,Set/Clear Pending Bit 971" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND970 ,Set/Clear Pending Bit 970" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND969 ,Set/Clear Pending Bit 969" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND968 ,Set/Clear Pending Bit 968" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND967 ,Set/Clear Pending Bit 967" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND966 ,Set/Clear Pending Bit 966" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND965 ,Set/Clear Pending Bit 965" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND964 ,Set/Clear Pending Bit 964" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND963 ,Set/Clear Pending Bit 963" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND962 ,Set/Clear Pending Bit 962" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND961 ,Set/Clear Pending Bit 961" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND960 ,Set/Clear Pending Bit 960" "Not pending,Pending" else hgroup.long 0x0278++0x03 hide.long 0x0 "GICD_SET/CLR_PENDR30,Interrupt Set/Clear Pending Register 30" endif tree.end width 24. tree "Set/Clear Active Registers" if (((per.l(COMP.BASE("GICD",-1.)))&0x10)==0x10) hgroup.long 0x0300++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER0,Interrupt Set/Clear Active Register 0" newline newline newline newline newline newline newline newline newline newline else group.long 0x0300++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER0,Interrupt Set/Clear Active Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE31 ,Set/Clear Active Bit 31" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE30 ,Set/Clear Active Bit 30" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE29 ,Set/Clear Active Bit 29" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE28 ,Set/Clear Active Bit 28" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE27 ,Set/Clear Active Bit 27" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE26 ,Set/Clear Active Bit 26" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE25 ,Set/Clear Active Bit 25" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE24 ,Set/Clear Active Bit 24" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE23 ,Set/Clear Active Bit 23" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE22 ,Set/Clear Active Bit 22" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE21 ,Set/Clear Active Bit 21" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE20 ,Set/Clear Active Bit 20" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE19 ,Set/Clear Active Bit 19" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE18 ,Set/Clear Active Bit 18" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE17 ,Set/Clear Active Bit 17" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE16 ,Set/Clear Active Bit 16" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE15 ,Set/Clear Active Bit 15" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE14 ,Set/Clear Active Bit 14" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE13 ,Set/Clear Active Bit 13" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE12 ,Set/Clear Active Bit 12" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE11 ,Set/Clear Active Bit 11" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE10 ,Set/Clear Active Bit 10" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE9 ,Set/Clear Active Bit 9" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE8 ,Set/Clear Active Bit 8" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE7 ,Set/Clear Active Bit 7" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE6 ,Set/Clear Active Bit 6" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE5 ,Set/Clear Active Bit 5" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE4 ,Set/Clear Active Bit 4" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE3 ,Set/Clear Active Bit 3" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE2 ,Set/Clear Active Bit 2" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE1 ,Set/Clear Active Bit 1" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE0 ,Set/Clear Active Bit 0" "Not active,Active" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x01) group.long 0x0304++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER1,Interrupt Set/Clear Active Register 1" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE63 ,Set/Clear Active Bit 63" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE62 ,Set/Clear Active Bit 62" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE61 ,Set/Clear Active Bit 61" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE60 ,Set/Clear Active Bit 60" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE59 ,Set/Clear Active Bit 59" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE58 ,Set/Clear Active Bit 58" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE57 ,Set/Clear Active Bit 57" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE56 ,Set/Clear Active Bit 56" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE55 ,Set/Clear Active Bit 55" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE54 ,Set/Clear Active Bit 54" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE53 ,Set/Clear Active Bit 53" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE52 ,Set/Clear Active Bit 52" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE51 ,Set/Clear Active Bit 51" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE50 ,Set/Clear Active Bit 50" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE49 ,Set/Clear Active Bit 49" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE48 ,Set/Clear Active Bit 48" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE47 ,Set/Clear Active Bit 47" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE46 ,Set/Clear Active Bit 46" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE45 ,Set/Clear Active Bit 45" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE44 ,Set/Clear Active Bit 44" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE43 ,Set/Clear Active Bit 43" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE42 ,Set/Clear Active Bit 42" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE41 ,Set/Clear Active Bit 41" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE40 ,Set/Clear Active Bit 40" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE39 ,Set/Clear Active Bit 39" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE38 ,Set/Clear Active Bit 38" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE37 ,Set/Clear Active Bit 37" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE36 ,Set/Clear Active Bit 36" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE35 ,Set/Clear Active Bit 35" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE34 ,Set/Clear Active Bit 34" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE33 ,Set/Clear Active Bit 33" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE32 ,Set/Clear Active Bit 32" "Not active,Active" else hgroup.long 0x0304++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER1,Interrupt Set/Clear Active Register 1" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x02) group.long 0x0308++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER2,Interrupt Set/Clear Active Register 2" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE95 ,Set/Clear Active Bit 95" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE94 ,Set/Clear Active Bit 94" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE93 ,Set/Clear Active Bit 93" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE92 ,Set/Clear Active Bit 92" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE91 ,Set/Clear Active Bit 91" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE90 ,Set/Clear Active Bit 90" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE89 ,Set/Clear Active Bit 89" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE88 ,Set/Clear Active Bit 88" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE87 ,Set/Clear Active Bit 87" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE86 ,Set/Clear Active Bit 86" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE85 ,Set/Clear Active Bit 85" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE84 ,Set/Clear Active Bit 84" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE83 ,Set/Clear Active Bit 83" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE82 ,Set/Clear Active Bit 82" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE81 ,Set/Clear Active Bit 81" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE80 ,Set/Clear Active Bit 80" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE79 ,Set/Clear Active Bit 79" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE78 ,Set/Clear Active Bit 78" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE77 ,Set/Clear Active Bit 77" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE76 ,Set/Clear Active Bit 76" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE75 ,Set/Clear Active Bit 75" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE74 ,Set/Clear Active Bit 74" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE73 ,Set/Clear Active Bit 73" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE72 ,Set/Clear Active Bit 72" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE71 ,Set/Clear Active Bit 71" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE70 ,Set/Clear Active Bit 70" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE69 ,Set/Clear Active Bit 69" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE68 ,Set/Clear Active Bit 68" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE67 ,Set/Clear Active Bit 67" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE66 ,Set/Clear Active Bit 66" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE65 ,Set/Clear Active Bit 65" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE64 ,Set/Clear Active Bit 64" "Not active,Active" else hgroup.long 0x0308++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER2,Interrupt Set/Clear Active Register 2" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x03) group.long 0x030C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER3,Interrupt Set/Clear Active Register 3" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE127 ,Set/Clear Active Bit 127" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE126 ,Set/Clear Active Bit 126" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE125 ,Set/Clear Active Bit 125" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE124 ,Set/Clear Active Bit 124" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE123 ,Set/Clear Active Bit 123" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE122 ,Set/Clear Active Bit 122" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE121 ,Set/Clear Active Bit 121" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE120 ,Set/Clear Active Bit 120" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE119 ,Set/Clear Active Bit 119" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE118 ,Set/Clear Active Bit 118" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE117 ,Set/Clear Active Bit 117" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE116 ,Set/Clear Active Bit 116" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE115 ,Set/Clear Active Bit 115" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE114 ,Set/Clear Active Bit 114" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE113 ,Set/Clear Active Bit 113" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE112 ,Set/Clear Active Bit 112" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE111 ,Set/Clear Active Bit 111" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE110 ,Set/Clear Active Bit 110" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE109 ,Set/Clear Active Bit 109" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE108 ,Set/Clear Active Bit 108" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE107 ,Set/Clear Active Bit 107" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE106 ,Set/Clear Active Bit 106" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE105 ,Set/Clear Active Bit 105" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE104 ,Set/Clear Active Bit 104" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE103 ,Set/Clear Active Bit 103" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE102 ,Set/Clear Active Bit 102" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE101 ,Set/Clear Active Bit 101" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE100 ,Set/Clear Active Bit 100" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE99 ,Set/Clear Active Bit 99" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE98 ,Set/Clear Active Bit 98" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE97 ,Set/Clear Active Bit 97" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE96 ,Set/Clear Active Bit 96" "Not active,Active" else hgroup.long 0x030C++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER3,Interrupt Set/Clear Active Register 3" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x04) group.long 0x0310++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER4,Interrupt Set/Clear Active Register 4" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE159 ,Set/Clear Active Bit 159" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE158 ,Set/Clear Active Bit 158" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE157 ,Set/Clear Active Bit 157" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE156 ,Set/Clear Active Bit 156" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE155 ,Set/Clear Active Bit 155" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE154 ,Set/Clear Active Bit 154" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE153 ,Set/Clear Active Bit 153" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE152 ,Set/Clear Active Bit 152" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE151 ,Set/Clear Active Bit 151" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE150 ,Set/Clear Active Bit 150" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE149 ,Set/Clear Active Bit 149" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE148 ,Set/Clear Active Bit 148" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE147 ,Set/Clear Active Bit 147" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE146 ,Set/Clear Active Bit 146" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE145 ,Set/Clear Active Bit 145" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE144 ,Set/Clear Active Bit 144" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE143 ,Set/Clear Active Bit 143" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE142 ,Set/Clear Active Bit 142" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE141 ,Set/Clear Active Bit 141" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE140 ,Set/Clear Active Bit 140" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE139 ,Set/Clear Active Bit 139" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE138 ,Set/Clear Active Bit 138" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE137 ,Set/Clear Active Bit 137" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE136 ,Set/Clear Active Bit 136" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE135 ,Set/Clear Active Bit 135" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE134 ,Set/Clear Active Bit 134" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE133 ,Set/Clear Active Bit 133" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE132 ,Set/Clear Active Bit 132" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE131 ,Set/Clear Active Bit 131" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE130 ,Set/Clear Active Bit 130" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE129 ,Set/Clear Active Bit 129" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE128 ,Set/Clear Active Bit 128" "Not active,Active" else hgroup.long 0x0310++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER4,Interrupt Set/Clear Active Register 4" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x05) group.long 0x0314++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER5,Interrupt Set/Clear Active Register 5" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE191 ,Set/Clear Active Bit 191" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE190 ,Set/Clear Active Bit 190" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE189 ,Set/Clear Active Bit 189" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE188 ,Set/Clear Active Bit 188" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE187 ,Set/Clear Active Bit 187" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE186 ,Set/Clear Active Bit 186" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE185 ,Set/Clear Active Bit 185" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE184 ,Set/Clear Active Bit 184" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE183 ,Set/Clear Active Bit 183" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE182 ,Set/Clear Active Bit 182" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE181 ,Set/Clear Active Bit 181" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE180 ,Set/Clear Active Bit 180" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE179 ,Set/Clear Active Bit 179" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE178 ,Set/Clear Active Bit 178" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE177 ,Set/Clear Active Bit 177" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE176 ,Set/Clear Active Bit 176" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE175 ,Set/Clear Active Bit 175" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE174 ,Set/Clear Active Bit 174" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE173 ,Set/Clear Active Bit 173" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE172 ,Set/Clear Active Bit 172" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE171 ,Set/Clear Active Bit 171" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE170 ,Set/Clear Active Bit 170" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE169 ,Set/Clear Active Bit 169" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE168 ,Set/Clear Active Bit 168" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE167 ,Set/Clear Active Bit 167" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE166 ,Set/Clear Active Bit 166" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE165 ,Set/Clear Active Bit 165" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE164 ,Set/Clear Active Bit 164" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE163 ,Set/Clear Active Bit 163" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE162 ,Set/Clear Active Bit 162" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE161 ,Set/Clear Active Bit 161" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE160 ,Set/Clear Active Bit 160" "Not active,Active" else hgroup.long 0x0314++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER5,Interrupt Set/Clear Active Register 5" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x06) group.long 0x0318++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER6,Interrupt Set/Clear Active Register 6" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE223 ,Set/Clear Active Bit 223" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE222 ,Set/Clear Active Bit 222" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE221 ,Set/Clear Active Bit 221" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE220 ,Set/Clear Active Bit 220" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE219 ,Set/Clear Active Bit 219" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE218 ,Set/Clear Active Bit 218" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE217 ,Set/Clear Active Bit 217" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE216 ,Set/Clear Active Bit 216" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE215 ,Set/Clear Active Bit 215" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE214 ,Set/Clear Active Bit 214" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE213 ,Set/Clear Active Bit 213" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE212 ,Set/Clear Active Bit 212" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE211 ,Set/Clear Active Bit 211" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE210 ,Set/Clear Active Bit 210" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE209 ,Set/Clear Active Bit 209" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE208 ,Set/Clear Active Bit 208" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE207 ,Set/Clear Active Bit 207" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE206 ,Set/Clear Active Bit 206" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE205 ,Set/Clear Active Bit 205" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE204 ,Set/Clear Active Bit 204" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE203 ,Set/Clear Active Bit 203" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE202 ,Set/Clear Active Bit 202" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE201 ,Set/Clear Active Bit 201" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE200 ,Set/Clear Active Bit 200" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE199 ,Set/Clear Active Bit 199" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE198 ,Set/Clear Active Bit 198" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE197 ,Set/Clear Active Bit 197" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE196 ,Set/Clear Active Bit 196" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE195 ,Set/Clear Active Bit 195" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE194 ,Set/Clear Active Bit 194" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE193 ,Set/Clear Active Bit 193" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE192 ,Set/Clear Active Bit 192" "Not active,Active" else hgroup.long 0x0318++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER6,Interrupt Set/Clear Active Register 6" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x07) group.long 0x031C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER7,Interrupt Set/Clear Active Register 7" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE255 ,Set/Clear Active Bit 255" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE254 ,Set/Clear Active Bit 254" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE253 ,Set/Clear Active Bit 253" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE252 ,Set/Clear Active Bit 252" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE251 ,Set/Clear Active Bit 251" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE250 ,Set/Clear Active Bit 250" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE249 ,Set/Clear Active Bit 249" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE248 ,Set/Clear Active Bit 248" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE247 ,Set/Clear Active Bit 247" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE246 ,Set/Clear Active Bit 246" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE245 ,Set/Clear Active Bit 245" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE244 ,Set/Clear Active Bit 244" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE243 ,Set/Clear Active Bit 243" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE242 ,Set/Clear Active Bit 242" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE241 ,Set/Clear Active Bit 241" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE240 ,Set/Clear Active Bit 240" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE239 ,Set/Clear Active Bit 239" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE238 ,Set/Clear Active Bit 238" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE237 ,Set/Clear Active Bit 237" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE236 ,Set/Clear Active Bit 236" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE235 ,Set/Clear Active Bit 235" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE234 ,Set/Clear Active Bit 234" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE233 ,Set/Clear Active Bit 233" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE232 ,Set/Clear Active Bit 232" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE231 ,Set/Clear Active Bit 231" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE230 ,Set/Clear Active Bit 230" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE229 ,Set/Clear Active Bit 229" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE228 ,Set/Clear Active Bit 228" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE227 ,Set/Clear Active Bit 227" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE226 ,Set/Clear Active Bit 226" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE225 ,Set/Clear Active Bit 225" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE224 ,Set/Clear Active Bit 224" "Not active,Active" else hgroup.long 0x031C++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER7,Interrupt Set/Clear Active Register 7" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x08) group.long 0x0320++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER8,Interrupt Set/Clear Active Register 8" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE287 ,Set/Clear Active Bit 287" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE286 ,Set/Clear Active Bit 286" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE285 ,Set/Clear Active Bit 285" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE284 ,Set/Clear Active Bit 284" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE283 ,Set/Clear Active Bit 283" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE282 ,Set/Clear Active Bit 282" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE281 ,Set/Clear Active Bit 281" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE280 ,Set/Clear Active Bit 280" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE279 ,Set/Clear Active Bit 279" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE278 ,Set/Clear Active Bit 278" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE277 ,Set/Clear Active Bit 277" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE276 ,Set/Clear Active Bit 276" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE275 ,Set/Clear Active Bit 275" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE274 ,Set/Clear Active Bit 274" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE273 ,Set/Clear Active Bit 273" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE272 ,Set/Clear Active Bit 272" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE271 ,Set/Clear Active Bit 271" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE270 ,Set/Clear Active Bit 270" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE269 ,Set/Clear Active Bit 269" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE268 ,Set/Clear Active Bit 268" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE267 ,Set/Clear Active Bit 267" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE266 ,Set/Clear Active Bit 266" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE265 ,Set/Clear Active Bit 265" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE264 ,Set/Clear Active Bit 264" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE263 ,Set/Clear Active Bit 263" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE262 ,Set/Clear Active Bit 262" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE261 ,Set/Clear Active Bit 261" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE260 ,Set/Clear Active Bit 260" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE259 ,Set/Clear Active Bit 259" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE258 ,Set/Clear Active Bit 258" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE257 ,Set/Clear Active Bit 257" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE256 ,Set/Clear Active Bit 256" "Not active,Active" else hgroup.long 0x0320++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER8,Interrupt Set/Clear Active Register 8" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x09) group.long 0x0324++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER9,Interrupt Set/Clear Active Register 9" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE319 ,Set/Clear Active Bit 319" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE318 ,Set/Clear Active Bit 318" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE317 ,Set/Clear Active Bit 317" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE316 ,Set/Clear Active Bit 316" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE315 ,Set/Clear Active Bit 315" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE314 ,Set/Clear Active Bit 314" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE313 ,Set/Clear Active Bit 313" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE312 ,Set/Clear Active Bit 312" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE311 ,Set/Clear Active Bit 311" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE310 ,Set/Clear Active Bit 310" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE309 ,Set/Clear Active Bit 309" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE308 ,Set/Clear Active Bit 308" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE307 ,Set/Clear Active Bit 307" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE306 ,Set/Clear Active Bit 306" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE305 ,Set/Clear Active Bit 305" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE304 ,Set/Clear Active Bit 304" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE303 ,Set/Clear Active Bit 303" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE302 ,Set/Clear Active Bit 302" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE301 ,Set/Clear Active Bit 301" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE300 ,Set/Clear Active Bit 300" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE299 ,Set/Clear Active Bit 299" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE298 ,Set/Clear Active Bit 298" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE297 ,Set/Clear Active Bit 297" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE296 ,Set/Clear Active Bit 296" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE295 ,Set/Clear Active Bit 295" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE294 ,Set/Clear Active Bit 294" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE293 ,Set/Clear Active Bit 293" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE292 ,Set/Clear Active Bit 292" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE291 ,Set/Clear Active Bit 291" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE290 ,Set/Clear Active Bit 290" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE289 ,Set/Clear Active Bit 289" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE288 ,Set/Clear Active Bit 288" "Not active,Active" else hgroup.long 0x0324++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER9,Interrupt Set/Clear Active Register 9" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0A) group.long 0x0328++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER10,Interrupt Set/Clear Active Register 10" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE351 ,Set/Clear Active Bit 351" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE350 ,Set/Clear Active Bit 350" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE349 ,Set/Clear Active Bit 349" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE348 ,Set/Clear Active Bit 348" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE347 ,Set/Clear Active Bit 347" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE346 ,Set/Clear Active Bit 346" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE345 ,Set/Clear Active Bit 345" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE344 ,Set/Clear Active Bit 344" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE343 ,Set/Clear Active Bit 343" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE342 ,Set/Clear Active Bit 342" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE341 ,Set/Clear Active Bit 341" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE340 ,Set/Clear Active Bit 340" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE339 ,Set/Clear Active Bit 339" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE338 ,Set/Clear Active Bit 338" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE337 ,Set/Clear Active Bit 337" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE336 ,Set/Clear Active Bit 336" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE335 ,Set/Clear Active Bit 335" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE334 ,Set/Clear Active Bit 334" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE333 ,Set/Clear Active Bit 333" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE332 ,Set/Clear Active Bit 332" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE331 ,Set/Clear Active Bit 331" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE330 ,Set/Clear Active Bit 330" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE329 ,Set/Clear Active Bit 329" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE328 ,Set/Clear Active Bit 328" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE327 ,Set/Clear Active Bit 327" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE326 ,Set/Clear Active Bit 326" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE325 ,Set/Clear Active Bit 325" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE324 ,Set/Clear Active Bit 324" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE323 ,Set/Clear Active Bit 323" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE322 ,Set/Clear Active Bit 322" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE321 ,Set/Clear Active Bit 321" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE320 ,Set/Clear Active Bit 320" "Not active,Active" else hgroup.long 0x0328++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER10,Interrupt Set/Clear Active Register 10" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0B) group.long 0x032C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER11,Interrupt Set/Clear Active Register 11" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE383 ,Set/Clear Active Bit 383" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE382 ,Set/Clear Active Bit 382" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE381 ,Set/Clear Active Bit 381" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE380 ,Set/Clear Active Bit 380" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE379 ,Set/Clear Active Bit 379" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE378 ,Set/Clear Active Bit 378" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE377 ,Set/Clear Active Bit 377" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE376 ,Set/Clear Active Bit 376" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE375 ,Set/Clear Active Bit 375" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE374 ,Set/Clear Active Bit 374" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE373 ,Set/Clear Active Bit 373" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE372 ,Set/Clear Active Bit 372" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE371 ,Set/Clear Active Bit 371" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE370 ,Set/Clear Active Bit 370" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE369 ,Set/Clear Active Bit 369" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE368 ,Set/Clear Active Bit 368" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE367 ,Set/Clear Active Bit 367" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE366 ,Set/Clear Active Bit 366" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE365 ,Set/Clear Active Bit 365" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE364 ,Set/Clear Active Bit 364" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE363 ,Set/Clear Active Bit 363" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE362 ,Set/Clear Active Bit 362" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE361 ,Set/Clear Active Bit 361" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE360 ,Set/Clear Active Bit 360" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE359 ,Set/Clear Active Bit 359" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE358 ,Set/Clear Active Bit 358" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE357 ,Set/Clear Active Bit 357" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE356 ,Set/Clear Active Bit 356" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE355 ,Set/Clear Active Bit 355" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE354 ,Set/Clear Active Bit 354" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE353 ,Set/Clear Active Bit 353" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE352 ,Set/Clear Active Bit 352" "Not active,Active" else hgroup.long 0x032C++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER11,Interrupt Set/Clear Active Register 11" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0C) group.long 0x0330++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER12,Interrupt Set/Clear Active Register 12" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE415 ,Set/Clear Active Bit 415" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE414 ,Set/Clear Active Bit 414" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE413 ,Set/Clear Active Bit 413" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE412 ,Set/Clear Active Bit 412" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE411 ,Set/Clear Active Bit 411" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE410 ,Set/Clear Active Bit 410" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE409 ,Set/Clear Active Bit 409" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE408 ,Set/Clear Active Bit 408" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE407 ,Set/Clear Active Bit 407" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE406 ,Set/Clear Active Bit 406" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE405 ,Set/Clear Active Bit 405" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE404 ,Set/Clear Active Bit 404" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE403 ,Set/Clear Active Bit 403" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE402 ,Set/Clear Active Bit 402" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE401 ,Set/Clear Active Bit 401" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE400 ,Set/Clear Active Bit 400" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE399 ,Set/Clear Active Bit 399" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE398 ,Set/Clear Active Bit 398" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE397 ,Set/Clear Active Bit 397" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE396 ,Set/Clear Active Bit 396" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE395 ,Set/Clear Active Bit 395" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE394 ,Set/Clear Active Bit 394" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE393 ,Set/Clear Active Bit 393" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE392 ,Set/Clear Active Bit 392" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE391 ,Set/Clear Active Bit 391" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE390 ,Set/Clear Active Bit 390" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE389 ,Set/Clear Active Bit 389" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE388 ,Set/Clear Active Bit 388" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE387 ,Set/Clear Active Bit 387" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE386 ,Set/Clear Active Bit 386" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE385 ,Set/Clear Active Bit 385" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE384 ,Set/Clear Active Bit 384" "Not active,Active" else hgroup.long 0x0330++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER12,Interrupt Set/Clear Active Register 12" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0D) group.long 0x0334++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER13,Interrupt Set/Clear Active Register 13" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE447 ,Set/Clear Active Bit 447" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE446 ,Set/Clear Active Bit 446" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE445 ,Set/Clear Active Bit 445" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE444 ,Set/Clear Active Bit 444" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE443 ,Set/Clear Active Bit 443" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE442 ,Set/Clear Active Bit 442" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE441 ,Set/Clear Active Bit 441" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE440 ,Set/Clear Active Bit 440" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE439 ,Set/Clear Active Bit 439" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE438 ,Set/Clear Active Bit 438" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE437 ,Set/Clear Active Bit 437" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE436 ,Set/Clear Active Bit 436" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE435 ,Set/Clear Active Bit 435" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE434 ,Set/Clear Active Bit 434" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE433 ,Set/Clear Active Bit 433" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE432 ,Set/Clear Active Bit 432" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE431 ,Set/Clear Active Bit 431" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE430 ,Set/Clear Active Bit 430" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE429 ,Set/Clear Active Bit 429" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE428 ,Set/Clear Active Bit 428" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE427 ,Set/Clear Active Bit 427" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE426 ,Set/Clear Active Bit 426" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE425 ,Set/Clear Active Bit 425" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE424 ,Set/Clear Active Bit 424" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE423 ,Set/Clear Active Bit 423" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE422 ,Set/Clear Active Bit 422" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE421 ,Set/Clear Active Bit 421" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE420 ,Set/Clear Active Bit 420" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE419 ,Set/Clear Active Bit 419" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE418 ,Set/Clear Active Bit 418" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE417 ,Set/Clear Active Bit 417" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE416 ,Set/Clear Active Bit 416" "Not active,Active" else hgroup.long 0x0334++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER13,Interrupt Set/Clear Active Register 13" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0E) group.long 0x0338++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER14,Interrupt Set/Clear Active Register 14" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE479 ,Set/Clear Active Bit 479" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE478 ,Set/Clear Active Bit 478" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE477 ,Set/Clear Active Bit 477" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE476 ,Set/Clear Active Bit 476" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE475 ,Set/Clear Active Bit 475" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE474 ,Set/Clear Active Bit 474" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE473 ,Set/Clear Active Bit 473" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE472 ,Set/Clear Active Bit 472" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE471 ,Set/Clear Active Bit 471" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE470 ,Set/Clear Active Bit 470" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE469 ,Set/Clear Active Bit 469" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE468 ,Set/Clear Active Bit 468" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE467 ,Set/Clear Active Bit 467" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE466 ,Set/Clear Active Bit 466" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE465 ,Set/Clear Active Bit 465" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE464 ,Set/Clear Active Bit 464" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE463 ,Set/Clear Active Bit 463" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE462 ,Set/Clear Active Bit 462" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE461 ,Set/Clear Active Bit 461" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE460 ,Set/Clear Active Bit 460" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE459 ,Set/Clear Active Bit 459" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE458 ,Set/Clear Active Bit 458" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE457 ,Set/Clear Active Bit 457" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE456 ,Set/Clear Active Bit 456" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE455 ,Set/Clear Active Bit 455" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE454 ,Set/Clear Active Bit 454" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE453 ,Set/Clear Active Bit 453" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE452 ,Set/Clear Active Bit 452" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE451 ,Set/Clear Active Bit 451" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE450 ,Set/Clear Active Bit 450" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE449 ,Set/Clear Active Bit 449" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE448 ,Set/Clear Active Bit 448" "Not active,Active" else hgroup.long 0x0338++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER14,Interrupt Set/Clear Active Register 14" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0F) group.long 0x033C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER15,Interrupt Set/Clear Active Register 15" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE511 ,Set/Clear Active Bit 511" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE510 ,Set/Clear Active Bit 510" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE509 ,Set/Clear Active Bit 509" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE508 ,Set/Clear Active Bit 508" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE507 ,Set/Clear Active Bit 507" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE506 ,Set/Clear Active Bit 506" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE505 ,Set/Clear Active Bit 505" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE504 ,Set/Clear Active Bit 504" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE503 ,Set/Clear Active Bit 503" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE502 ,Set/Clear Active Bit 502" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE501 ,Set/Clear Active Bit 501" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE500 ,Set/Clear Active Bit 500" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE499 ,Set/Clear Active Bit 499" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE498 ,Set/Clear Active Bit 498" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE497 ,Set/Clear Active Bit 497" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE496 ,Set/Clear Active Bit 496" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE495 ,Set/Clear Active Bit 495" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE494 ,Set/Clear Active Bit 494" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE493 ,Set/Clear Active Bit 493" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE492 ,Set/Clear Active Bit 492" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE491 ,Set/Clear Active Bit 491" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE490 ,Set/Clear Active Bit 490" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE489 ,Set/Clear Active Bit 489" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE488 ,Set/Clear Active Bit 488" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE487 ,Set/Clear Active Bit 487" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE486 ,Set/Clear Active Bit 486" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE485 ,Set/Clear Active Bit 485" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE484 ,Set/Clear Active Bit 484" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE483 ,Set/Clear Active Bit 483" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE482 ,Set/Clear Active Bit 482" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE481 ,Set/Clear Active Bit 481" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE480 ,Set/Clear Active Bit 480" "Not active,Active" else hgroup.long 0x033C++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER15,Interrupt Set/Clear Active Register 15" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10) group.long 0x0340++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER16,Interrupt Set/Clear Active Register 16" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE543 ,Set/Clear Active Bit 543" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE542 ,Set/Clear Active Bit 542" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE541 ,Set/Clear Active Bit 541" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE540 ,Set/Clear Active Bit 540" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE539 ,Set/Clear Active Bit 539" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE538 ,Set/Clear Active Bit 538" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE537 ,Set/Clear Active Bit 537" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE536 ,Set/Clear Active Bit 536" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE535 ,Set/Clear Active Bit 535" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE534 ,Set/Clear Active Bit 534" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE533 ,Set/Clear Active Bit 533" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE532 ,Set/Clear Active Bit 532" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE531 ,Set/Clear Active Bit 531" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE530 ,Set/Clear Active Bit 530" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE529 ,Set/Clear Active Bit 529" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE528 ,Set/Clear Active Bit 528" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE527 ,Set/Clear Active Bit 527" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE526 ,Set/Clear Active Bit 526" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE525 ,Set/Clear Active Bit 525" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE524 ,Set/Clear Active Bit 524" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE523 ,Set/Clear Active Bit 523" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE522 ,Set/Clear Active Bit 522" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE521 ,Set/Clear Active Bit 521" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE520 ,Set/Clear Active Bit 520" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE519 ,Set/Clear Active Bit 519" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE518 ,Set/Clear Active Bit 518" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE517 ,Set/Clear Active Bit 517" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE516 ,Set/Clear Active Bit 516" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE515 ,Set/Clear Active Bit 515" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE514 ,Set/Clear Active Bit 514" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE513 ,Set/Clear Active Bit 513" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE512 ,Set/Clear Active Bit 512" "Not active,Active" else hgroup.long 0x0340++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER16,Interrupt Set/Clear Active Register 16" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11) group.long 0x0344++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER17,Interrupt Set/Clear Active Register 17" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE575 ,Set/Clear Active Bit 575" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE574 ,Set/Clear Active Bit 574" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE573 ,Set/Clear Active Bit 573" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE572 ,Set/Clear Active Bit 572" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE571 ,Set/Clear Active Bit 571" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE570 ,Set/Clear Active Bit 570" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE569 ,Set/Clear Active Bit 569" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE568 ,Set/Clear Active Bit 568" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE567 ,Set/Clear Active Bit 567" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE566 ,Set/Clear Active Bit 566" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE565 ,Set/Clear Active Bit 565" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE564 ,Set/Clear Active Bit 564" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE563 ,Set/Clear Active Bit 563" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE562 ,Set/Clear Active Bit 562" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE561 ,Set/Clear Active Bit 561" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE560 ,Set/Clear Active Bit 560" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE559 ,Set/Clear Active Bit 559" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE558 ,Set/Clear Active Bit 558" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE557 ,Set/Clear Active Bit 557" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE556 ,Set/Clear Active Bit 556" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE555 ,Set/Clear Active Bit 555" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE554 ,Set/Clear Active Bit 554" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE553 ,Set/Clear Active Bit 553" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE552 ,Set/Clear Active Bit 552" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE551 ,Set/Clear Active Bit 551" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE550 ,Set/Clear Active Bit 550" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE549 ,Set/Clear Active Bit 549" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE548 ,Set/Clear Active Bit 548" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE547 ,Set/Clear Active Bit 547" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE546 ,Set/Clear Active Bit 546" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE545 ,Set/Clear Active Bit 545" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE544 ,Set/Clear Active Bit 544" "Not active,Active" else hgroup.long 0x0344++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER17,Interrupt Set/Clear Active Register 17" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12) group.long 0x0348++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER18,Interrupt Set/Clear Active Register 18" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE607 ,Set/Clear Active Bit 607" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE606 ,Set/Clear Active Bit 606" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE605 ,Set/Clear Active Bit 605" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE604 ,Set/Clear Active Bit 604" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE603 ,Set/Clear Active Bit 603" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE602 ,Set/Clear Active Bit 602" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE601 ,Set/Clear Active Bit 601" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE600 ,Set/Clear Active Bit 600" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE599 ,Set/Clear Active Bit 599" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE598 ,Set/Clear Active Bit 598" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE597 ,Set/Clear Active Bit 597" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE596 ,Set/Clear Active Bit 596" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE595 ,Set/Clear Active Bit 595" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE594 ,Set/Clear Active Bit 594" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE593 ,Set/Clear Active Bit 593" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE592 ,Set/Clear Active Bit 592" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE591 ,Set/Clear Active Bit 591" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE590 ,Set/Clear Active Bit 590" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE589 ,Set/Clear Active Bit 589" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE588 ,Set/Clear Active Bit 588" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE587 ,Set/Clear Active Bit 587" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE586 ,Set/Clear Active Bit 586" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE585 ,Set/Clear Active Bit 585" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE584 ,Set/Clear Active Bit 584" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE583 ,Set/Clear Active Bit 583" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE582 ,Set/Clear Active Bit 582" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE581 ,Set/Clear Active Bit 581" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE580 ,Set/Clear Active Bit 580" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE579 ,Set/Clear Active Bit 579" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE578 ,Set/Clear Active Bit 578" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE577 ,Set/Clear Active Bit 577" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE576 ,Set/Clear Active Bit 576" "Not active,Active" else hgroup.long 0x0348++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER18,Interrupt Set/Clear Active Register 18" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13) group.long 0x034C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER19,Interrupt Set/Clear Active Register 19" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE639 ,Set/Clear Active Bit 639" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE638 ,Set/Clear Active Bit 638" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE637 ,Set/Clear Active Bit 637" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE636 ,Set/Clear Active Bit 636" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE635 ,Set/Clear Active Bit 635" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE634 ,Set/Clear Active Bit 634" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE633 ,Set/Clear Active Bit 633" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE632 ,Set/Clear Active Bit 632" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE631 ,Set/Clear Active Bit 631" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE630 ,Set/Clear Active Bit 630" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE629 ,Set/Clear Active Bit 629" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE628 ,Set/Clear Active Bit 628" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE627 ,Set/Clear Active Bit 627" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE626 ,Set/Clear Active Bit 626" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE625 ,Set/Clear Active Bit 625" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE624 ,Set/Clear Active Bit 624" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE623 ,Set/Clear Active Bit 623" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE622 ,Set/Clear Active Bit 622" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE621 ,Set/Clear Active Bit 621" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE620 ,Set/Clear Active Bit 620" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE619 ,Set/Clear Active Bit 619" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE618 ,Set/Clear Active Bit 618" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE617 ,Set/Clear Active Bit 617" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE616 ,Set/Clear Active Bit 616" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE615 ,Set/Clear Active Bit 615" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE614 ,Set/Clear Active Bit 614" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE613 ,Set/Clear Active Bit 613" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE612 ,Set/Clear Active Bit 612" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE611 ,Set/Clear Active Bit 611" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE610 ,Set/Clear Active Bit 610" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE609 ,Set/Clear Active Bit 609" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE608 ,Set/Clear Active Bit 608" "Not active,Active" else hgroup.long 0x034C++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER19,Interrupt Set/Clear Active Register 19" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14) group.long 0x0350++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER20,Interrupt Set/Clear Active Register 20" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE671 ,Set/Clear Active Bit 671" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE670 ,Set/Clear Active Bit 670" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE669 ,Set/Clear Active Bit 669" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE668 ,Set/Clear Active Bit 668" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE667 ,Set/Clear Active Bit 667" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE666 ,Set/Clear Active Bit 666" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE665 ,Set/Clear Active Bit 665" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE664 ,Set/Clear Active Bit 664" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE663 ,Set/Clear Active Bit 663" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE662 ,Set/Clear Active Bit 662" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE661 ,Set/Clear Active Bit 661" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE660 ,Set/Clear Active Bit 660" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE659 ,Set/Clear Active Bit 659" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE658 ,Set/Clear Active Bit 658" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE657 ,Set/Clear Active Bit 657" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE656 ,Set/Clear Active Bit 656" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE655 ,Set/Clear Active Bit 655" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE654 ,Set/Clear Active Bit 654" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE653 ,Set/Clear Active Bit 653" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE652 ,Set/Clear Active Bit 652" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE651 ,Set/Clear Active Bit 651" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE650 ,Set/Clear Active Bit 650" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE649 ,Set/Clear Active Bit 649" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE648 ,Set/Clear Active Bit 648" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE647 ,Set/Clear Active Bit 647" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE646 ,Set/Clear Active Bit 646" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE645 ,Set/Clear Active Bit 645" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE644 ,Set/Clear Active Bit 644" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE643 ,Set/Clear Active Bit 643" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE642 ,Set/Clear Active Bit 642" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE641 ,Set/Clear Active Bit 641" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE640 ,Set/Clear Active Bit 640" "Not active,Active" else hgroup.long 0x0350++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER20,Interrupt Set/Clear Active Register 20" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15) group.long 0x0354++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER21,Interrupt Set/Clear Active Register 21" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE703 ,Set/Clear Active Bit 703" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE702 ,Set/Clear Active Bit 702" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE701 ,Set/Clear Active Bit 701" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE700 ,Set/Clear Active Bit 700" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE699 ,Set/Clear Active Bit 699" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE698 ,Set/Clear Active Bit 698" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE697 ,Set/Clear Active Bit 697" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE696 ,Set/Clear Active Bit 696" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE695 ,Set/Clear Active Bit 695" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE694 ,Set/Clear Active Bit 694" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE693 ,Set/Clear Active Bit 693" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE692 ,Set/Clear Active Bit 692" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE691 ,Set/Clear Active Bit 691" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE690 ,Set/Clear Active Bit 690" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE689 ,Set/Clear Active Bit 689" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE688 ,Set/Clear Active Bit 688" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE687 ,Set/Clear Active Bit 687" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE686 ,Set/Clear Active Bit 686" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE685 ,Set/Clear Active Bit 685" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE684 ,Set/Clear Active Bit 684" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE683 ,Set/Clear Active Bit 683" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE682 ,Set/Clear Active Bit 682" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE681 ,Set/Clear Active Bit 681" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE680 ,Set/Clear Active Bit 680" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE679 ,Set/Clear Active Bit 679" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE678 ,Set/Clear Active Bit 678" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE677 ,Set/Clear Active Bit 677" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE676 ,Set/Clear Active Bit 676" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE675 ,Set/Clear Active Bit 675" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE674 ,Set/Clear Active Bit 674" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE673 ,Set/Clear Active Bit 673" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE672 ,Set/Clear Active Bit 672" "Not active,Active" else hgroup.long 0x0354++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER21,Interrupt Set/Clear Active Register 21" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16) group.long 0x0358++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER22,Interrupt Set/Clear Active Register 22" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE735 ,Set/Clear Active Bit 735" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE734 ,Set/Clear Active Bit 734" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE733 ,Set/Clear Active Bit 733" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE732 ,Set/Clear Active Bit 732" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE731 ,Set/Clear Active Bit 731" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE730 ,Set/Clear Active Bit 730" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE729 ,Set/Clear Active Bit 729" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE728 ,Set/Clear Active Bit 728" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE727 ,Set/Clear Active Bit 727" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE726 ,Set/Clear Active Bit 726" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE725 ,Set/Clear Active Bit 725" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE724 ,Set/Clear Active Bit 724" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE723 ,Set/Clear Active Bit 723" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE722 ,Set/Clear Active Bit 722" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE721 ,Set/Clear Active Bit 721" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE720 ,Set/Clear Active Bit 720" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE719 ,Set/Clear Active Bit 719" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE718 ,Set/Clear Active Bit 718" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE717 ,Set/Clear Active Bit 717" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE716 ,Set/Clear Active Bit 716" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE715 ,Set/Clear Active Bit 715" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE714 ,Set/Clear Active Bit 714" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE713 ,Set/Clear Active Bit 713" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE712 ,Set/Clear Active Bit 712" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE711 ,Set/Clear Active Bit 711" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE710 ,Set/Clear Active Bit 710" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE709 ,Set/Clear Active Bit 709" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE708 ,Set/Clear Active Bit 708" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE707 ,Set/Clear Active Bit 707" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE706 ,Set/Clear Active Bit 706" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE705 ,Set/Clear Active Bit 705" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE704 ,Set/Clear Active Bit 704" "Not active,Active" else hgroup.long 0x0358++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER22,Interrupt Set/Clear Active Register 22" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17) group.long 0x035C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER23,Interrupt Set/Clear Active Register 23" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE767 ,Set/Clear Active Bit 767" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE766 ,Set/Clear Active Bit 766" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE765 ,Set/Clear Active Bit 765" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE764 ,Set/Clear Active Bit 764" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE763 ,Set/Clear Active Bit 763" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE762 ,Set/Clear Active Bit 762" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE761 ,Set/Clear Active Bit 761" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE760 ,Set/Clear Active Bit 760" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE759 ,Set/Clear Active Bit 759" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE758 ,Set/Clear Active Bit 758" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE757 ,Set/Clear Active Bit 757" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE756 ,Set/Clear Active Bit 756" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE755 ,Set/Clear Active Bit 755" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE754 ,Set/Clear Active Bit 754" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE753 ,Set/Clear Active Bit 753" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE752 ,Set/Clear Active Bit 752" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE751 ,Set/Clear Active Bit 751" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE750 ,Set/Clear Active Bit 750" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE749 ,Set/Clear Active Bit 749" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE748 ,Set/Clear Active Bit 748" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE747 ,Set/Clear Active Bit 747" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE746 ,Set/Clear Active Bit 746" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE745 ,Set/Clear Active Bit 745" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE744 ,Set/Clear Active Bit 744" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE743 ,Set/Clear Active Bit 743" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE742 ,Set/Clear Active Bit 742" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE741 ,Set/Clear Active Bit 741" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE740 ,Set/Clear Active Bit 740" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE739 ,Set/Clear Active Bit 739" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE738 ,Set/Clear Active Bit 738" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE737 ,Set/Clear Active Bit 737" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE736 ,Set/Clear Active Bit 736" "Not active,Active" else hgroup.long 0x035C++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER23,Interrupt Set/Clear Active Register 23" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18) group.long 0x0360++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER24,Interrupt Set/Clear Active Register 24" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE799 ,Set/Clear Active Bit 799" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE798 ,Set/Clear Active Bit 798" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE797 ,Set/Clear Active Bit 797" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE796 ,Set/Clear Active Bit 796" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE795 ,Set/Clear Active Bit 795" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE794 ,Set/Clear Active Bit 794" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE793 ,Set/Clear Active Bit 793" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE792 ,Set/Clear Active Bit 792" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE791 ,Set/Clear Active Bit 791" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE790 ,Set/Clear Active Bit 790" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE789 ,Set/Clear Active Bit 789" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE788 ,Set/Clear Active Bit 788" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE787 ,Set/Clear Active Bit 787" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE786 ,Set/Clear Active Bit 786" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE785 ,Set/Clear Active Bit 785" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE784 ,Set/Clear Active Bit 784" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE783 ,Set/Clear Active Bit 783" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE782 ,Set/Clear Active Bit 782" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE781 ,Set/Clear Active Bit 781" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE780 ,Set/Clear Active Bit 780" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE779 ,Set/Clear Active Bit 779" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE778 ,Set/Clear Active Bit 778" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE777 ,Set/Clear Active Bit 777" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE776 ,Set/Clear Active Bit 776" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE775 ,Set/Clear Active Bit 775" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE774 ,Set/Clear Active Bit 774" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE773 ,Set/Clear Active Bit 773" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE772 ,Set/Clear Active Bit 772" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE771 ,Set/Clear Active Bit 771" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE770 ,Set/Clear Active Bit 770" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE769 ,Set/Clear Active Bit 769" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE768 ,Set/Clear Active Bit 768" "Not active,Active" else hgroup.long 0x0360++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER24,Interrupt Set/Clear Active Register 24" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19) group.long 0x0364++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER25,Interrupt Set/Clear Active Register 25" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE831 ,Set/Clear Active Bit 831" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE830 ,Set/Clear Active Bit 830" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE829 ,Set/Clear Active Bit 829" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE828 ,Set/Clear Active Bit 828" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE827 ,Set/Clear Active Bit 827" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE826 ,Set/Clear Active Bit 826" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE825 ,Set/Clear Active Bit 825" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE824 ,Set/Clear Active Bit 824" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE823 ,Set/Clear Active Bit 823" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE822 ,Set/Clear Active Bit 822" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE821 ,Set/Clear Active Bit 821" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE820 ,Set/Clear Active Bit 820" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE819 ,Set/Clear Active Bit 819" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE818 ,Set/Clear Active Bit 818" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE817 ,Set/Clear Active Bit 817" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE816 ,Set/Clear Active Bit 816" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE815 ,Set/Clear Active Bit 815" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE814 ,Set/Clear Active Bit 814" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE813 ,Set/Clear Active Bit 813" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE812 ,Set/Clear Active Bit 812" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE811 ,Set/Clear Active Bit 811" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE810 ,Set/Clear Active Bit 810" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE809 ,Set/Clear Active Bit 809" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE808 ,Set/Clear Active Bit 808" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE807 ,Set/Clear Active Bit 807" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE806 ,Set/Clear Active Bit 806" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE805 ,Set/Clear Active Bit 805" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE804 ,Set/Clear Active Bit 804" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE803 ,Set/Clear Active Bit 803" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE802 ,Set/Clear Active Bit 802" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE801 ,Set/Clear Active Bit 801" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE800 ,Set/Clear Active Bit 800" "Not active,Active" else hgroup.long 0x0364++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER25,Interrupt Set/Clear Active Register 25" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A) group.long 0x0368++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER26,Interrupt Set/Clear Active Register 26" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE863 ,Set/Clear Active Bit 863" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE862 ,Set/Clear Active Bit 862" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE861 ,Set/Clear Active Bit 861" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE860 ,Set/Clear Active Bit 860" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE859 ,Set/Clear Active Bit 859" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE858 ,Set/Clear Active Bit 858" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE857 ,Set/Clear Active Bit 857" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE856 ,Set/Clear Active Bit 856" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE855 ,Set/Clear Active Bit 855" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE854 ,Set/Clear Active Bit 854" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE853 ,Set/Clear Active Bit 853" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE852 ,Set/Clear Active Bit 852" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE851 ,Set/Clear Active Bit 851" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE850 ,Set/Clear Active Bit 850" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE849 ,Set/Clear Active Bit 849" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE848 ,Set/Clear Active Bit 848" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE847 ,Set/Clear Active Bit 847" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE846 ,Set/Clear Active Bit 846" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE845 ,Set/Clear Active Bit 845" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE844 ,Set/Clear Active Bit 844" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE843 ,Set/Clear Active Bit 843" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE842 ,Set/Clear Active Bit 842" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE841 ,Set/Clear Active Bit 841" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE840 ,Set/Clear Active Bit 840" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE839 ,Set/Clear Active Bit 839" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE838 ,Set/Clear Active Bit 838" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE837 ,Set/Clear Active Bit 837" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE836 ,Set/Clear Active Bit 836" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE835 ,Set/Clear Active Bit 835" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE834 ,Set/Clear Active Bit 834" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE833 ,Set/Clear Active Bit 833" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE832 ,Set/Clear Active Bit 832" "Not active,Active" else hgroup.long 0x0368++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER26,Interrupt Set/Clear Active Register 26" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B) group.long 0x036C++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER27,Interrupt Set/Clear Active Register 27" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE895 ,Set/Clear Active Bit 895" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE894 ,Set/Clear Active Bit 894" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE893 ,Set/Clear Active Bit 893" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE892 ,Set/Clear Active Bit 892" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE891 ,Set/Clear Active Bit 891" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE890 ,Set/Clear Active Bit 890" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE889 ,Set/Clear Active Bit 889" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE888 ,Set/Clear Active Bit 888" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE887 ,Set/Clear Active Bit 887" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE886 ,Set/Clear Active Bit 886" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE885 ,Set/Clear Active Bit 885" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE884 ,Set/Clear Active Bit 884" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE883 ,Set/Clear Active Bit 883" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE882 ,Set/Clear Active Bit 882" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE881 ,Set/Clear Active Bit 881" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE880 ,Set/Clear Active Bit 880" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE879 ,Set/Clear Active Bit 879" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE878 ,Set/Clear Active Bit 878" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE877 ,Set/Clear Active Bit 877" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE876 ,Set/Clear Active Bit 876" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE875 ,Set/Clear Active Bit 875" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE874 ,Set/Clear Active Bit 874" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE873 ,Set/Clear Active Bit 873" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE872 ,Set/Clear Active Bit 872" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE871 ,Set/Clear Active Bit 871" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE870 ,Set/Clear Active Bit 870" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE869 ,Set/Clear Active Bit 869" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE868 ,Set/Clear Active Bit 868" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE867 ,Set/Clear Active Bit 867" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE866 ,Set/Clear Active Bit 866" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE865 ,Set/Clear Active Bit 865" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE864 ,Set/Clear Active Bit 864" "Not active,Active" else hgroup.long 0x036C++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER27,Interrupt Set/Clear Active Register 27" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C) group.long 0x0370++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER28,Interrupt Set/Clear Active Register 28" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE927 ,Set/Clear Active Bit 927" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE926 ,Set/Clear Active Bit 926" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE925 ,Set/Clear Active Bit 925" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE924 ,Set/Clear Active Bit 924" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE923 ,Set/Clear Active Bit 923" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE922 ,Set/Clear Active Bit 922" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE921 ,Set/Clear Active Bit 921" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE920 ,Set/Clear Active Bit 920" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE919 ,Set/Clear Active Bit 919" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE918 ,Set/Clear Active Bit 918" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE917 ,Set/Clear Active Bit 917" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE916 ,Set/Clear Active Bit 916" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE915 ,Set/Clear Active Bit 915" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE914 ,Set/Clear Active Bit 914" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE913 ,Set/Clear Active Bit 913" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE912 ,Set/Clear Active Bit 912" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE911 ,Set/Clear Active Bit 911" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE910 ,Set/Clear Active Bit 910" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE909 ,Set/Clear Active Bit 909" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE908 ,Set/Clear Active Bit 908" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE907 ,Set/Clear Active Bit 907" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE906 ,Set/Clear Active Bit 906" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE905 ,Set/Clear Active Bit 905" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE904 ,Set/Clear Active Bit 904" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE903 ,Set/Clear Active Bit 903" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE902 ,Set/Clear Active Bit 902" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE901 ,Set/Clear Active Bit 901" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE900 ,Set/Clear Active Bit 900" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE899 ,Set/Clear Active Bit 899" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE898 ,Set/Clear Active Bit 898" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE897 ,Set/Clear Active Bit 897" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE896 ,Set/Clear Active Bit 896" "Not active,Active" else hgroup.long 0x0370++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER28,Interrupt Set/Clear Active Register 28" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D) group.long 0x0374++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER29,Interrupt Set/Clear Active Register 29" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE959 ,Set/Clear Active Bit 959" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE958 ,Set/Clear Active Bit 958" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE957 ,Set/Clear Active Bit 957" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE956 ,Set/Clear Active Bit 956" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE955 ,Set/Clear Active Bit 955" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE954 ,Set/Clear Active Bit 954" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE953 ,Set/Clear Active Bit 953" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE952 ,Set/Clear Active Bit 952" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE951 ,Set/Clear Active Bit 951" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE950 ,Set/Clear Active Bit 950" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE949 ,Set/Clear Active Bit 949" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE948 ,Set/Clear Active Bit 948" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE947 ,Set/Clear Active Bit 947" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE946 ,Set/Clear Active Bit 946" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE945 ,Set/Clear Active Bit 945" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE944 ,Set/Clear Active Bit 944" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE943 ,Set/Clear Active Bit 943" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE942 ,Set/Clear Active Bit 942" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE941 ,Set/Clear Active Bit 941" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE940 ,Set/Clear Active Bit 940" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE939 ,Set/Clear Active Bit 939" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE938 ,Set/Clear Active Bit 938" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE937 ,Set/Clear Active Bit 937" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE936 ,Set/Clear Active Bit 936" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE935 ,Set/Clear Active Bit 935" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE934 ,Set/Clear Active Bit 934" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE933 ,Set/Clear Active Bit 933" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE932 ,Set/Clear Active Bit 932" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE931 ,Set/Clear Active Bit 931" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE930 ,Set/Clear Active Bit 930" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE929 ,Set/Clear Active Bit 929" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE928 ,Set/Clear Active Bit 928" "Not active,Active" else hgroup.long 0x0374++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER29,Interrupt Set/Clear Active Register 29" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E) group.long 0x0378++0x03 line.long 0x0 "GICD_SET/CLR_ACTIVER30,Interrupt Set/Clear Active Register 30" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE991 ,Set/Clear Active Bit 991" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE990 ,Set/Clear Active Bit 990" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE989 ,Set/Clear Active Bit 989" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE988 ,Set/Clear Active Bit 988" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE987 ,Set/Clear Active Bit 987" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE986 ,Set/Clear Active Bit 986" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE985 ,Set/Clear Active Bit 985" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE984 ,Set/Clear Active Bit 984" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE983 ,Set/Clear Active Bit 983" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE982 ,Set/Clear Active Bit 982" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE981 ,Set/Clear Active Bit 981" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE980 ,Set/Clear Active Bit 980" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE979 ,Set/Clear Active Bit 979" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE978 ,Set/Clear Active Bit 978" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE977 ,Set/Clear Active Bit 977" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE976 ,Set/Clear Active Bit 976" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE975 ,Set/Clear Active Bit 975" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE974 ,Set/Clear Active Bit 974" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE973 ,Set/Clear Active Bit 973" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE972 ,Set/Clear Active Bit 972" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE971 ,Set/Clear Active Bit 971" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE970 ,Set/Clear Active Bit 970" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE969 ,Set/Clear Active Bit 969" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE968 ,Set/Clear Active Bit 968" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE967 ,Set/Clear Active Bit 967" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE966 ,Set/Clear Active Bit 966" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE965 ,Set/Clear Active Bit 965" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE964 ,Set/Clear Active Bit 964" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE963 ,Set/Clear Active Bit 963" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE962 ,Set/Clear Active Bit 962" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE961 ,Set/Clear Active Bit 961" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE960 ,Set/Clear Active Bit 960" "Not active,Active" else hgroup.long 0x0378++0x03 hide.long 0x0 "GICD_SET/CLR_ACTIVER30,Interrupt Set/Clear Active Register 30" endif tree.end width 20. tree "Priority Registers" if (((per.l(COMP.BASE("GICD",-1.)))&0x10)==0x10) hgroup.long 0x400++0x03 hide.long 0x00 "GICD_IPRIORITYR0,Interrupt Priority Register 0" hgroup.long 0x404++0x03 hide.long 0x00 "GICD_IPRIORITYR1,Interrupt Priority Register 1" hgroup.long 0x408++0x03 hide.long 0x00 "GICD_IPRIORITYR2,Interrupt Priority Register 2" hgroup.long 0x40C++0x03 hide.long 0x00 "GICD_IPRIORITYR3,Interrupt Priority Register 3" hgroup.long 0x410++0x03 hide.long 0x00 "GICD_IPRIORITYR4,Interrupt Priority Register 4" hgroup.long 0x414++0x03 hide.long 0x00 "GICD_IPRIORITYR5,Interrupt Priority Register 5" hgroup.long 0x418++0x03 hide.long 0x00 "GICD_IPRIORITYR6,Interrupt Priority Register 6" hgroup.long 0x41C++0x03 hide.long 0x00 "GICD_IPRIORITYR7,Interrupt Priority Register 7" else group.long 0x400++0x03 line.long 0x00 "GICD_IPRIORITYR0,Interrupt Priority Register 0" hexmask.long.byte 0x00 24.--31. 1. " INTID3 ,Interrupt ID3 Priority/Priority Byte Offset 3 " hexmask.long.byte 0x00 16.--23. 1. " INTID2 ,Interrupt ID2 Priority/Priority Byte Offset 2 " hexmask.long.byte 0x00 8.--15. 1. " INTID1 ,Interrupt ID1 Priority/Priority Byte Offset 1 " hexmask.long.byte 0x00 0.--7. 1. " INTID0 ,Interrupt ID0 Priority/Priority Byte Offset 0 " group.long 0x404++0x03 line.long 0x00 "GICD_IPRIORITYR1,Interrupt Priority Register 1" hexmask.long.byte 0x00 24.--31. 1. " INTID7 ,Interrupt ID7 Priority/Priority Byte Offset 7 " hexmask.long.byte 0x00 16.--23. 1. " INTID6 ,Interrupt ID6 Priority/Priority Byte Offset 6 " hexmask.long.byte 0x00 8.--15. 1. " INTID5 ,Interrupt ID5 Priority/Priority Byte Offset 5 " hexmask.long.byte 0x00 0.--7. 1. " INTID4 ,Interrupt ID4 Priority/Priority Byte Offset 4 " group.long 0x408++0x03 line.long 0x00 "GICD_IPRIORITYR2,Interrupt Priority Register 2" hexmask.long.byte 0x00 24.--31. 1. " INTID11 ,Interrupt ID11 Priority/Priority Byte Offset 11 " hexmask.long.byte 0x00 16.--23. 1. " INTID10 ,Interrupt ID10 Priority/Priority Byte Offset 10 " hexmask.long.byte 0x00 8.--15. 1. " INTID9 ,Interrupt ID9 Priority/Priority Byte Offset 9 " hexmask.long.byte 0x00 0.--7. 1. " INTID8 ,Interrupt ID8 Priority/Priority Byte Offset 8 " group.long 0x40C++0x03 line.long 0x00 "GICD_IPRIORITYR3,Interrupt Priority Register 3" hexmask.long.byte 0x00 24.--31. 1. " INTID15 ,Interrupt ID15 Priority/Priority Byte Offset 15 " hexmask.long.byte 0x00 16.--23. 1. " INTID14 ,Interrupt ID14 Priority/Priority Byte Offset 14 " hexmask.long.byte 0x00 8.--15. 1. " INTID13 ,Interrupt ID13 Priority/Priority Byte Offset 13 " hexmask.long.byte 0x00 0.--7. 1. " INTID12 ,Interrupt ID12 Priority/Priority Byte Offset 12 " group.long 0x410++0x03 line.long 0x00 "GICD_IPRIORITYR4,Interrupt Priority Register 4" hexmask.long.byte 0x00 24.--31. 1. " INTID19 ,Interrupt ID19 Priority/Priority Byte Offset 19 " hexmask.long.byte 0x00 16.--23. 1. " INTID18 ,Interrupt ID18 Priority/Priority Byte Offset 18 " hexmask.long.byte 0x00 8.--15. 1. " INTID17 ,Interrupt ID17 Priority/Priority Byte Offset 17 " hexmask.long.byte 0x00 0.--7. 1. " INTID16 ,Interrupt ID16 Priority/Priority Byte Offset 16 " group.long 0x414++0x03 line.long 0x00 "GICD_IPRIORITYR5,Interrupt Priority Register 5" hexmask.long.byte 0x00 24.--31. 1. " INTID23 ,Interrupt ID23 Priority/Priority Byte Offset 23 " hexmask.long.byte 0x00 16.--23. 1. " INTID22 ,Interrupt ID22 Priority/Priority Byte Offset 22 " hexmask.long.byte 0x00 8.--15. 1. " INTID21 ,Interrupt ID21 Priority/Priority Byte Offset 21 " hexmask.long.byte 0x00 0.--7. 1. " INTID20 ,Interrupt ID20 Priority/Priority Byte Offset 20 " group.long 0x418++0x03 line.long 0x00 "GICD_IPRIORITYR6,Interrupt Priority Register 6" hexmask.long.byte 0x00 24.--31. 1. " INTID27 ,Interrupt ID27 Priority/Priority Byte Offset 27 " hexmask.long.byte 0x00 16.--23. 1. " INTID26 ,Interrupt ID26 Priority/Priority Byte Offset 26 " hexmask.long.byte 0x00 8.--15. 1. " INTID25 ,Interrupt ID25 Priority/Priority Byte Offset 25 " hexmask.long.byte 0x00 0.--7. 1. " INTID24 ,Interrupt ID24 Priority/Priority Byte Offset 24 " group.long 0x41C++0x03 line.long 0x00 "GICD_IPRIORITYR7,Interrupt Priority Register 7" hexmask.long.byte 0x00 24.--31. 1. " INTID31 ,Interrupt ID31 Priority/Priority Byte Offset 31 " hexmask.long.byte 0x00 16.--23. 1. " INTID30 ,Interrupt ID30 Priority/Priority Byte Offset 30 " hexmask.long.byte 0x00 8.--15. 1. " INTID29 ,Interrupt ID29 Priority/Priority Byte Offset 29 " hexmask.long.byte 0x00 0.--7. 1. " INTID28 ,Interrupt ID28 Priority/Priority Byte Offset 28 " endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x01) group.long 0x420++0x03 line.long 0x00 "GICD_IPRIORITYR8,Interrupt Priority Register 8" hexmask.long.byte 0x00 24.--31. 1. " INTID35 ,Interrupt ID35 Priority/Priority Byte Offset 35 " hexmask.long.byte 0x00 16.--23. 1. " INTID34 ,Interrupt ID34 Priority/Priority Byte Offset 34 " hexmask.long.byte 0x00 8.--15. 1. " INTID33 ,Interrupt ID33 Priority/Priority Byte Offset 33 " hexmask.long.byte 0x00 0.--7. 1. " INTID32 ,Interrupt ID32 Priority/Priority Byte Offset 32 " group.long 0x424++0x03 line.long 0x00 "GICD_IPRIORITYR9,Interrupt Priority Register 9" hexmask.long.byte 0x00 24.--31. 1. " INTID39 ,Interrupt ID39 Priority/Priority Byte Offset 39 " hexmask.long.byte 0x00 16.--23. 1. " INTID38 ,Interrupt ID38 Priority/Priority Byte Offset 38 " hexmask.long.byte 0x00 8.--15. 1. " INTID37 ,Interrupt ID37 Priority/Priority Byte Offset 37 " hexmask.long.byte 0x00 0.--7. 1. " INTID36 ,Interrupt ID36 Priority/Priority Byte Offset 36 " group.long 0x428++0x03 line.long 0x00 "GICD_IPRIORITYR10,Interrupt Priority Register 10" hexmask.long.byte 0x00 24.--31. 1. " INTID43 ,Interrupt ID43 Priority/Priority Byte Offset 43 " hexmask.long.byte 0x00 16.--23. 1. " INTID42 ,Interrupt ID42 Priority/Priority Byte Offset 42 " hexmask.long.byte 0x00 8.--15. 1. " INTID41 ,Interrupt ID41 Priority/Priority Byte Offset 41 " hexmask.long.byte 0x00 0.--7. 1. " INTID40 ,Interrupt ID40 Priority/Priority Byte Offset 40 " group.long 0x42C++0x03 line.long 0x00 "GICD_IPRIORITYR11,Interrupt Priority Register 11" hexmask.long.byte 0x00 24.--31. 1. " INTID47 ,Interrupt ID47 Priority/Priority Byte Offset 47 " hexmask.long.byte 0x00 16.--23. 1. " INTID46 ,Interrupt ID46 Priority/Priority Byte Offset 46 " hexmask.long.byte 0x00 8.--15. 1. " INTID45 ,Interrupt ID45 Priority/Priority Byte Offset 45 " hexmask.long.byte 0x00 0.--7. 1. " INTID44 ,Interrupt ID44 Priority/Priority Byte Offset 44 " group.long 0x430++0x03 line.long 0x00 "GICD_IPRIORITYR12,Interrupt Priority Register 12" hexmask.long.byte 0x00 24.--31. 1. " INTID51 ,Interrupt ID51 Priority/Priority Byte Offset 51 " hexmask.long.byte 0x00 16.--23. 1. " INTID50 ,Interrupt ID50 Priority/Priority Byte Offset 50 " hexmask.long.byte 0x00 8.--15. 1. " INTID49 ,Interrupt ID49 Priority/Priority Byte Offset 49 " hexmask.long.byte 0x00 0.--7. 1. " INTID48 ,Interrupt ID48 Priority/Priority Byte Offset 48 " group.long 0x434++0x03 line.long 0x00 "GICD_IPRIORITYR13,Interrupt Priority Register 13" hexmask.long.byte 0x00 24.--31. 1. " INTID55 ,Interrupt ID55 Priority/Priority Byte Offset 55 " hexmask.long.byte 0x00 16.--23. 1. " INTID54 ,Interrupt ID54 Priority/Priority Byte Offset 54 " hexmask.long.byte 0x00 8.--15. 1. " INTID53 ,Interrupt ID53 Priority/Priority Byte Offset 53 " hexmask.long.byte 0x00 0.--7. 1. " INTID52 ,Interrupt ID52 Priority/Priority Byte Offset 52 " group.long 0x438++0x03 line.long 0x00 "GICD_IPRIORITYR14,Interrupt Priority Register 14" hexmask.long.byte 0x00 24.--31. 1. " INTID59 ,Interrupt ID59 Priority/Priority Byte Offset 59 " hexmask.long.byte 0x00 16.--23. 1. " INTID58 ,Interrupt ID58 Priority/Priority Byte Offset 58 " hexmask.long.byte 0x00 8.--15. 1. " INTID57 ,Interrupt ID57 Priority/Priority Byte Offset 57 " hexmask.long.byte 0x00 0.--7. 1. " INTID56 ,Interrupt ID56 Priority/Priority Byte Offset 56 " group.long 0x43C++0x03 line.long 0x00 "GICD_IPRIORITYR15,Interrupt Priority Register 15" hexmask.long.byte 0x00 24.--31. 1. " INTID63 ,Interrupt ID63 Priority/Priority Byte Offset 63 " hexmask.long.byte 0x00 16.--23. 1. " INTID62 ,Interrupt ID62 Priority/Priority Byte Offset 62 " hexmask.long.byte 0x00 8.--15. 1. " INTID61 ,Interrupt ID61 Priority/Priority Byte Offset 61 " hexmask.long.byte 0x00 0.--7. 1. " INTID60 ,Interrupt ID60 Priority/Priority Byte Offset 60 " else hgroup.long 0x420++0x03 hide.long 0x00 "GICD_IPRIORITYR8,Interrupt Priority Register 8" hgroup.long 0x424++0x03 hide.long 0x00 "GICD_IPRIORITYR9,Interrupt Priority Register 9" hgroup.long 0x428++0x03 hide.long 0x00 "GICD_IPRIORITYR10,Interrupt Priority Register 10" hgroup.long 0x42C++0x03 hide.long 0x00 "GICD_IPRIORITYR11,Interrupt Priority Register 11" hgroup.long 0x430++0x03 hide.long 0x00 "GICD_IPRIORITYR12,Interrupt Priority Register 12" hgroup.long 0x434++0x03 hide.long 0x00 "GICD_IPRIORITYR13,Interrupt Priority Register 13" hgroup.long 0x438++0x03 hide.long 0x00 "GICD_IPRIORITYR14,Interrupt Priority Register 14" hgroup.long 0x43C++0x03 hide.long 0x00 "GICD_IPRIORITYR15,Interrupt Priority Register 15" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x02) group.long 0x440++0x03 line.long 0x00 "GICD_IPRIORITYR16,Interrupt Priority Register 16" hexmask.long.byte 0x00 24.--31. 1. " INTID67 ,Interrupt ID67 Priority/Priority Byte Offset 67 " hexmask.long.byte 0x00 16.--23. 1. " INTID66 ,Interrupt ID66 Priority/Priority Byte Offset 66 " hexmask.long.byte 0x00 8.--15. 1. " INTID65 ,Interrupt ID65 Priority/Priority Byte Offset 65 " hexmask.long.byte 0x00 0.--7. 1. " INTID64 ,Interrupt ID64 Priority/Priority Byte Offset 64 " group.long 0x444++0x03 line.long 0x00 "GICD_IPRIORITYR17,Interrupt Priority Register 17" hexmask.long.byte 0x00 24.--31. 1. " INTID71 ,Interrupt ID71 Priority/Priority Byte Offset 71 " hexmask.long.byte 0x00 16.--23. 1. " INTID70 ,Interrupt ID70 Priority/Priority Byte Offset 70 " hexmask.long.byte 0x00 8.--15. 1. " INTID69 ,Interrupt ID69 Priority/Priority Byte Offset 69 " hexmask.long.byte 0x00 0.--7. 1. " INTID68 ,Interrupt ID68 Priority/Priority Byte Offset 68 " group.long 0x448++0x03 line.long 0x00 "GICD_IPRIORITYR18,Interrupt Priority Register 18" hexmask.long.byte 0x00 24.--31. 1. " INTID75 ,Interrupt ID75 Priority/Priority Byte Offset 75 " hexmask.long.byte 0x00 16.--23. 1. " INTID74 ,Interrupt ID74 Priority/Priority Byte Offset 74 " hexmask.long.byte 0x00 8.--15. 1. " INTID73 ,Interrupt ID73 Priority/Priority Byte Offset 73 " hexmask.long.byte 0x00 0.--7. 1. " INTID72 ,Interrupt ID72 Priority/Priority Byte Offset 72 " group.long 0x44C++0x03 line.long 0x00 "GICD_IPRIORITYR19,Interrupt Priority Register 19" hexmask.long.byte 0x00 24.--31. 1. " INTID79 ,Interrupt ID79 Priority/Priority Byte Offset 79 " hexmask.long.byte 0x00 16.--23. 1. " INTID78 ,Interrupt ID78 Priority/Priority Byte Offset 78 " hexmask.long.byte 0x00 8.--15. 1. " INTID77 ,Interrupt ID77 Priority/Priority Byte Offset 77 " hexmask.long.byte 0x00 0.--7. 1. " INTID76 ,Interrupt ID76 Priority/Priority Byte Offset 76 " group.long 0x450++0x03 line.long 0x00 "GICD_IPRIORITYR20,Interrupt Priority Register 20" hexmask.long.byte 0x00 24.--31. 1. " INTID83 ,Interrupt ID83 Priority/Priority Byte Offset 83 " hexmask.long.byte 0x00 16.--23. 1. " INTID82 ,Interrupt ID82 Priority/Priority Byte Offset 82 " hexmask.long.byte 0x00 8.--15. 1. " INTID81 ,Interrupt ID81 Priority/Priority Byte Offset 81 " hexmask.long.byte 0x00 0.--7. 1. " INTID80 ,Interrupt ID80 Priority/Priority Byte Offset 80 " group.long 0x454++0x03 line.long 0x00 "GICD_IPRIORITYR21,Interrupt Priority Register 21" hexmask.long.byte 0x00 24.--31. 1. " INTID87 ,Interrupt ID87 Priority/Priority Byte Offset 87 " hexmask.long.byte 0x00 16.--23. 1. " INTID86 ,Interrupt ID86 Priority/Priority Byte Offset 86 " hexmask.long.byte 0x00 8.--15. 1. " INTID85 ,Interrupt ID85 Priority/Priority Byte Offset 85 " hexmask.long.byte 0x00 0.--7. 1. " INTID84 ,Interrupt ID84 Priority/Priority Byte Offset 84 " group.long 0x458++0x03 line.long 0x00 "GICD_IPRIORITYR22,Interrupt Priority Register 22" hexmask.long.byte 0x00 24.--31. 1. " INTID91 ,Interrupt ID91 Priority/Priority Byte Offset 91 " hexmask.long.byte 0x00 16.--23. 1. " INTID90 ,Interrupt ID90 Priority/Priority Byte Offset 90 " hexmask.long.byte 0x00 8.--15. 1. " INTID89 ,Interrupt ID89 Priority/Priority Byte Offset 89 " hexmask.long.byte 0x00 0.--7. 1. " INTID88 ,Interrupt ID88 Priority/Priority Byte Offset 88 " group.long 0x45C++0x03 line.long 0x00 "GICD_IPRIORITYR23,Interrupt Priority Register 23" hexmask.long.byte 0x00 24.--31. 1. " INTID95 ,Interrupt ID95 Priority/Priority Byte Offset 95 " hexmask.long.byte 0x00 16.--23. 1. " INTID94 ,Interrupt ID94 Priority/Priority Byte Offset 94 " hexmask.long.byte 0x00 8.--15. 1. " INTID93 ,Interrupt ID93 Priority/Priority Byte Offset 93 " hexmask.long.byte 0x00 0.--7. 1. " INTID92 ,Interrupt ID92 Priority/Priority Byte Offset 92 " else hgroup.long 0x440++0x03 hide.long 0x00 "GICD_IPRIORITYR16,Interrupt Priority Register 16" hgroup.long 0x444++0x03 hide.long 0x00 "GICD_IPRIORITYR17,Interrupt Priority Register 17" hgroup.long 0x448++0x03 hide.long 0x00 "GICD_IPRIORITYR18,Interrupt Priority Register 18" hgroup.long 0x44C++0x03 hide.long 0x00 "GICD_IPRIORITYR19,Interrupt Priority Register 19" hgroup.long 0x450++0x03 hide.long 0x00 "GICD_IPRIORITYR20,Interrupt Priority Register 20" hgroup.long 0x454++0x03 hide.long 0x00 "GICD_IPRIORITYR21,Interrupt Priority Register 21" hgroup.long 0x458++0x03 hide.long 0x00 "GICD_IPRIORITYR22,Interrupt Priority Register 22" hgroup.long 0x45C++0x03 hide.long 0x00 "GICD_IPRIORITYR23,Interrupt Priority Register 23" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x03) group.long 0x460++0x03 line.long 0x00 "GICD_IPRIORITYR24,Interrupt Priority Register 24" hexmask.long.byte 0x00 24.--31. 1. " INTID99 ,Interrupt ID99 Priority/Priority Byte Offset 99 " hexmask.long.byte 0x00 16.--23. 1. " INTID98 ,Interrupt ID98 Priority/Priority Byte Offset 98 " hexmask.long.byte 0x00 8.--15. 1. " INTID97 ,Interrupt ID97 Priority/Priority Byte Offset 97 " hexmask.long.byte 0x00 0.--7. 1. " INTID96 ,Interrupt ID96 Priority/Priority Byte Offset 96 " group.long 0x464++0x03 line.long 0x00 "GICD_IPRIORITYR25,Interrupt Priority Register 25" hexmask.long.byte 0x00 24.--31. 1. " INTID103 ,Interrupt ID103 Priority/Priority Byte Offset 103 " hexmask.long.byte 0x00 16.--23. 1. " INTID102 ,Interrupt ID102 Priority/Priority Byte Offset 102 " hexmask.long.byte 0x00 8.--15. 1. " INTID101 ,Interrupt ID101 Priority/Priority Byte Offset 101 " hexmask.long.byte 0x00 0.--7. 1. " INTID100 ,Interrupt ID100 Priority/Priority Byte Offset 100 " group.long 0x468++0x03 line.long 0x00 "GICD_IPRIORITYR26,Interrupt Priority Register 26" hexmask.long.byte 0x00 24.--31. 1. " INTID107 ,Interrupt ID107 Priority/Priority Byte Offset 107 " hexmask.long.byte 0x00 16.--23. 1. " INTID106 ,Interrupt ID106 Priority/Priority Byte Offset 106 " hexmask.long.byte 0x00 8.--15. 1. " INTID105 ,Interrupt ID105 Priority/Priority Byte Offset 105 " hexmask.long.byte 0x00 0.--7. 1. " INTID104 ,Interrupt ID104 Priority/Priority Byte Offset 104 " group.long 0x46C++0x03 line.long 0x00 "GICD_IPRIORITYR27,Interrupt Priority Register 27" hexmask.long.byte 0x00 24.--31. 1. " INTID111 ,Interrupt ID111 Priority/Priority Byte Offset 111 " hexmask.long.byte 0x00 16.--23. 1. " INTID110 ,Interrupt ID110 Priority/Priority Byte Offset 110 " hexmask.long.byte 0x00 8.--15. 1. " INTID109 ,Interrupt ID109 Priority/Priority Byte Offset 109 " hexmask.long.byte 0x00 0.--7. 1. " INTID108 ,Interrupt ID108 Priority/Priority Byte Offset 108 " group.long 0x470++0x03 line.long 0x00 "GICD_IPRIORITYR28,Interrupt Priority Register 28" hexmask.long.byte 0x00 24.--31. 1. " INTID115 ,Interrupt ID115 Priority/Priority Byte Offset 115 " hexmask.long.byte 0x00 16.--23. 1. " INTID114 ,Interrupt ID114 Priority/Priority Byte Offset 114 " hexmask.long.byte 0x00 8.--15. 1. " INTID113 ,Interrupt ID113 Priority/Priority Byte Offset 113 " hexmask.long.byte 0x00 0.--7. 1. " INTID112 ,Interrupt ID112 Priority/Priority Byte Offset 112 " group.long 0x474++0x03 line.long 0x00 "GICD_IPRIORITYR29,Interrupt Priority Register 29" hexmask.long.byte 0x00 24.--31. 1. " INTID119 ,Interrupt ID119 Priority/Priority Byte Offset 119 " hexmask.long.byte 0x00 16.--23. 1. " INTID118 ,Interrupt ID118 Priority/Priority Byte Offset 118 " hexmask.long.byte 0x00 8.--15. 1. " INTID117 ,Interrupt ID117 Priority/Priority Byte Offset 117 " hexmask.long.byte 0x00 0.--7. 1. " INTID116 ,Interrupt ID116 Priority/Priority Byte Offset 116 " group.long 0x478++0x03 line.long 0x00 "GICD_IPRIORITYR30,Interrupt Priority Register 30" hexmask.long.byte 0x00 24.--31. 1. " INTID123 ,Interrupt ID123 Priority/Priority Byte Offset 123 " hexmask.long.byte 0x00 16.--23. 1. " INTID122 ,Interrupt ID122 Priority/Priority Byte Offset 122 " hexmask.long.byte 0x00 8.--15. 1. " INTID121 ,Interrupt ID121 Priority/Priority Byte Offset 121 " hexmask.long.byte 0x00 0.--7. 1. " INTID120 ,Interrupt ID120 Priority/Priority Byte Offset 120 " group.long 0x47C++0x03 line.long 0x00 "GICD_IPRIORITYR31,Interrupt Priority Register 31" hexmask.long.byte 0x00 24.--31. 1. " INTID127 ,Interrupt ID127 Priority/Priority Byte Offset 127 " hexmask.long.byte 0x00 16.--23. 1. " INTID126 ,Interrupt ID126 Priority/Priority Byte Offset 126 " hexmask.long.byte 0x00 8.--15. 1. " INTID125 ,Interrupt ID125 Priority/Priority Byte Offset 125 " hexmask.long.byte 0x00 0.--7. 1. " INTID124 ,Interrupt ID124 Priority/Priority Byte Offset 124 " else hgroup.long 0x460++0x03 hide.long 0x00 "GICD_IPRIORITYR24,Interrupt Priority Register 24" hgroup.long 0x464++0x03 hide.long 0x00 "GICD_IPRIORITYR25,Interrupt Priority Register 25" hgroup.long 0x468++0x03 hide.long 0x00 "GICD_IPRIORITYR26,Interrupt Priority Register 26" hgroup.long 0x46C++0x03 hide.long 0x00 "GICD_IPRIORITYR27,Interrupt Priority Register 27" hgroup.long 0x470++0x03 hide.long 0x00 "GICD_IPRIORITYR28,Interrupt Priority Register 28" hgroup.long 0x474++0x03 hide.long 0x00 "GICD_IPRIORITYR29,Interrupt Priority Register 29" hgroup.long 0x478++0x03 hide.long 0x00 "GICD_IPRIORITYR30,Interrupt Priority Register 30" hgroup.long 0x47C++0x03 hide.long 0x00 "GICD_IPRIORITYR31,Interrupt Priority Register 31" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x04) group.long 0x480++0x03 line.long 0x00 "GICD_IPRIORITYR32,Interrupt Priority Register 32" hexmask.long.byte 0x00 24.--31. 1. " INTID131 ,Interrupt ID131 Priority/Priority Byte Offset 131 " hexmask.long.byte 0x00 16.--23. 1. " INTID130 ,Interrupt ID130 Priority/Priority Byte Offset 130 " hexmask.long.byte 0x00 8.--15. 1. " INTID129 ,Interrupt ID129 Priority/Priority Byte Offset 129 " hexmask.long.byte 0x00 0.--7. 1. " INTID128 ,Interrupt ID128 Priority/Priority Byte Offset 128 " group.long 0x484++0x03 line.long 0x00 "GICD_IPRIORITYR33,Interrupt Priority Register 33" hexmask.long.byte 0x00 24.--31. 1. " INTID135 ,Interrupt ID135 Priority/Priority Byte Offset 135 " hexmask.long.byte 0x00 16.--23. 1. " INTID134 ,Interrupt ID134 Priority/Priority Byte Offset 134 " hexmask.long.byte 0x00 8.--15. 1. " INTID133 ,Interrupt ID133 Priority/Priority Byte Offset 133 " hexmask.long.byte 0x00 0.--7. 1. " INTID132 ,Interrupt ID132 Priority/Priority Byte Offset 132 " group.long 0x488++0x03 line.long 0x00 "GICD_IPRIORITYR34,Interrupt Priority Register 34" hexmask.long.byte 0x00 24.--31. 1. " INTID139 ,Interrupt ID139 Priority/Priority Byte Offset 139 " hexmask.long.byte 0x00 16.--23. 1. " INTID138 ,Interrupt ID138 Priority/Priority Byte Offset 138 " hexmask.long.byte 0x00 8.--15. 1. " INTID137 ,Interrupt ID137 Priority/Priority Byte Offset 137 " hexmask.long.byte 0x00 0.--7. 1. " INTID136 ,Interrupt ID136 Priority/Priority Byte Offset 136 " group.long 0x48C++0x03 line.long 0x00 "GICD_IPRIORITYR35,Interrupt Priority Register 35" hexmask.long.byte 0x00 24.--31. 1. " INTID143 ,Interrupt ID143 Priority/Priority Byte Offset 143 " hexmask.long.byte 0x00 16.--23. 1. " INTID142 ,Interrupt ID142 Priority/Priority Byte Offset 142 " hexmask.long.byte 0x00 8.--15. 1. " INTID141 ,Interrupt ID141 Priority/Priority Byte Offset 141 " hexmask.long.byte 0x00 0.--7. 1. " INTID140 ,Interrupt ID140 Priority/Priority Byte Offset 140 " group.long 0x490++0x03 line.long 0x00 "GICD_IPRIORITYR36,Interrupt Priority Register 36" hexmask.long.byte 0x00 24.--31. 1. " INTID147 ,Interrupt ID147 Priority/Priority Byte Offset 147 " hexmask.long.byte 0x00 16.--23. 1. " INTID146 ,Interrupt ID146 Priority/Priority Byte Offset 146 " hexmask.long.byte 0x00 8.--15. 1. " INTID145 ,Interrupt ID145 Priority/Priority Byte Offset 145 " hexmask.long.byte 0x00 0.--7. 1. " INTID144 ,Interrupt ID144 Priority/Priority Byte Offset 144 " group.long 0x494++0x03 line.long 0x00 "GICD_IPRIORITYR37,Interrupt Priority Register 37" hexmask.long.byte 0x00 24.--31. 1. " INTID151 ,Interrupt ID151 Priority/Priority Byte Offset 151 " hexmask.long.byte 0x00 16.--23. 1. " INTID150 ,Interrupt ID150 Priority/Priority Byte Offset 150 " hexmask.long.byte 0x00 8.--15. 1. " INTID149 ,Interrupt ID149 Priority/Priority Byte Offset 149 " hexmask.long.byte 0x00 0.--7. 1. " INTID148 ,Interrupt ID148 Priority/Priority Byte Offset 148 " group.long 0x498++0x03 line.long 0x00 "GICD_IPRIORITYR38,Interrupt Priority Register 38" hexmask.long.byte 0x00 24.--31. 1. " INTID155 ,Interrupt ID155 Priority/Priority Byte Offset 155 " hexmask.long.byte 0x00 16.--23. 1. " INTID154 ,Interrupt ID154 Priority/Priority Byte Offset 154 " hexmask.long.byte 0x00 8.--15. 1. " INTID153 ,Interrupt ID153 Priority/Priority Byte Offset 153 " hexmask.long.byte 0x00 0.--7. 1. " INTID152 ,Interrupt ID152 Priority/Priority Byte Offset 152 " group.long 0x49C++0x03 line.long 0x00 "GICD_IPRIORITYR39,Interrupt Priority Register 39" hexmask.long.byte 0x00 24.--31. 1. " INTID159 ,Interrupt ID159 Priority/Priority Byte Offset 159 " hexmask.long.byte 0x00 16.--23. 1. " INTID158 ,Interrupt ID158 Priority/Priority Byte Offset 158 " hexmask.long.byte 0x00 8.--15. 1. " INTID157 ,Interrupt ID157 Priority/Priority Byte Offset 157 " hexmask.long.byte 0x00 0.--7. 1. " INTID156 ,Interrupt ID156 Priority/Priority Byte Offset 156 " else hgroup.long 0x480++0x03 hide.long 0x00 "GICD_IPRIORITYR32,Interrupt Priority Register 32" hgroup.long 0x484++0x03 hide.long 0x00 "GICD_IPRIORITYR33,Interrupt Priority Register 33" hgroup.long 0x488++0x03 hide.long 0x00 "GICD_IPRIORITYR34,Interrupt Priority Register 34" hgroup.long 0x48C++0x03 hide.long 0x00 "GICD_IPRIORITYR35,Interrupt Priority Register 35" hgroup.long 0x490++0x03 hide.long 0x00 "GICD_IPRIORITYR36,Interrupt Priority Register 36" hgroup.long 0x494++0x03 hide.long 0x00 "GICD_IPRIORITYR37,Interrupt Priority Register 37" hgroup.long 0x498++0x03 hide.long 0x00 "GICD_IPRIORITYR38,Interrupt Priority Register 38" hgroup.long 0x49C++0x03 hide.long 0x00 "GICD_IPRIORITYR39,Interrupt Priority Register 39" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x05) group.long 0x4A0++0x03 line.long 0x00 "GICD_IPRIORITYR40,Interrupt Priority Register 40" hexmask.long.byte 0x00 24.--31. 1. " INTID163 ,Interrupt ID163 Priority/Priority Byte Offset 163 " hexmask.long.byte 0x00 16.--23. 1. " INTID162 ,Interrupt ID162 Priority/Priority Byte Offset 162 " hexmask.long.byte 0x00 8.--15. 1. " INTID161 ,Interrupt ID161 Priority/Priority Byte Offset 161 " hexmask.long.byte 0x00 0.--7. 1. " INTID160 ,Interrupt ID160 Priority/Priority Byte Offset 160 " group.long 0x4A4++0x03 line.long 0x00 "GICD_IPRIORITYR41,Interrupt Priority Register 41" hexmask.long.byte 0x00 24.--31. 1. " INTID167 ,Interrupt ID167 Priority/Priority Byte Offset 167 " hexmask.long.byte 0x00 16.--23. 1. " INTID166 ,Interrupt ID166 Priority/Priority Byte Offset 166 " hexmask.long.byte 0x00 8.--15. 1. " INTID165 ,Interrupt ID165 Priority/Priority Byte Offset 165 " hexmask.long.byte 0x00 0.--7. 1. " INTID164 ,Interrupt ID164 Priority/Priority Byte Offset 164 " group.long 0x4A8++0x03 line.long 0x00 "GICD_IPRIORITYR42,Interrupt Priority Register 42" hexmask.long.byte 0x00 24.--31. 1. " INTID171 ,Interrupt ID171 Priority/Priority Byte Offset 171 " hexmask.long.byte 0x00 16.--23. 1. " INTID170 ,Interrupt ID170 Priority/Priority Byte Offset 170 " hexmask.long.byte 0x00 8.--15. 1. " INTID169 ,Interrupt ID169 Priority/Priority Byte Offset 169 " hexmask.long.byte 0x00 0.--7. 1. " INTID168 ,Interrupt ID168 Priority/Priority Byte Offset 168 " group.long 0x4AC++0x03 line.long 0x00 "GICD_IPRIORITYR43,Interrupt Priority Register 43" hexmask.long.byte 0x00 24.--31. 1. " INTID175 ,Interrupt ID175 Priority/Priority Byte Offset 175 " hexmask.long.byte 0x00 16.--23. 1. " INTID174 ,Interrupt ID174 Priority/Priority Byte Offset 174 " hexmask.long.byte 0x00 8.--15. 1. " INTID173 ,Interrupt ID173 Priority/Priority Byte Offset 173 " hexmask.long.byte 0x00 0.--7. 1. " INTID172 ,Interrupt ID172 Priority/Priority Byte Offset 172 " group.long 0x4B0++0x03 line.long 0x00 "GICD_IPRIORITYR44,Interrupt Priority Register 44" hexmask.long.byte 0x00 24.--31. 1. " INTID179 ,Interrupt ID179 Priority/Priority Byte Offset 179 " hexmask.long.byte 0x00 16.--23. 1. " INTID178 ,Interrupt ID178 Priority/Priority Byte Offset 178 " hexmask.long.byte 0x00 8.--15. 1. " INTID177 ,Interrupt ID177 Priority/Priority Byte Offset 177 " hexmask.long.byte 0x00 0.--7. 1. " INTID176 ,Interrupt ID176 Priority/Priority Byte Offset 176 " group.long 0x4B4++0x03 line.long 0x00 "GICD_IPRIORITYR45,Interrupt Priority Register 45" hexmask.long.byte 0x00 24.--31. 1. " INTID183 ,Interrupt ID183 Priority/Priority Byte Offset 183 " hexmask.long.byte 0x00 16.--23. 1. " INTID182 ,Interrupt ID182 Priority/Priority Byte Offset 182 " hexmask.long.byte 0x00 8.--15. 1. " INTID181 ,Interrupt ID181 Priority/Priority Byte Offset 181 " hexmask.long.byte 0x00 0.--7. 1. " INTID180 ,Interrupt ID180 Priority/Priority Byte Offset 180 " group.long 0x4B8++0x03 line.long 0x00 "GICD_IPRIORITYR46,Interrupt Priority Register 46" hexmask.long.byte 0x00 24.--31. 1. " INTID187 ,Interrupt ID187 Priority/Priority Byte Offset 187 " hexmask.long.byte 0x00 16.--23. 1. " INTID186 ,Interrupt ID186 Priority/Priority Byte Offset 186 " hexmask.long.byte 0x00 8.--15. 1. " INTID185 ,Interrupt ID185 Priority/Priority Byte Offset 185 " hexmask.long.byte 0x00 0.--7. 1. " INTID184 ,Interrupt ID184 Priority/Priority Byte Offset 184 " group.long 0x4BC++0x03 line.long 0x00 "GICD_IPRIORITYR47,Interrupt Priority Register 47" hexmask.long.byte 0x00 24.--31. 1. " INTID191 ,Interrupt ID191 Priority/Priority Byte Offset 191 " hexmask.long.byte 0x00 16.--23. 1. " INTID190 ,Interrupt ID190 Priority/Priority Byte Offset 190 " hexmask.long.byte 0x00 8.--15. 1. " INTID189 ,Interrupt ID189 Priority/Priority Byte Offset 189 " hexmask.long.byte 0x00 0.--7. 1. " INTID188 ,Interrupt ID188 Priority/Priority Byte Offset 188 " else hgroup.long 0x4A0++0x03 hide.long 0x00 "GICD_IPRIORITYR40,Interrupt Priority Register 40" hgroup.long 0x4A4++0x03 hide.long 0x00 "GICD_IPRIORITYR41,Interrupt Priority Register 41" hgroup.long 0x4A8++0x03 hide.long 0x00 "GICD_IPRIORITYR42,Interrupt Priority Register 42" hgroup.long 0x4AC++0x03 hide.long 0x00 "GICD_IPRIORITYR43,Interrupt Priority Register 43" hgroup.long 0x4B0++0x03 hide.long 0x00 "GICD_IPRIORITYR44,Interrupt Priority Register 44" hgroup.long 0x4B4++0x03 hide.long 0x00 "GICD_IPRIORITYR45,Interrupt Priority Register 45" hgroup.long 0x4B8++0x03 hide.long 0x00 "GICD_IPRIORITYR46,Interrupt Priority Register 46" hgroup.long 0x4BC++0x03 hide.long 0x00 "GICD_IPRIORITYR47,Interrupt Priority Register 47" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x06) group.long 0x4C0++0x03 line.long 0x00 "GICD_IPRIORITYR48,Interrupt Priority Register 48" hexmask.long.byte 0x00 24.--31. 1. " INTID195 ,Interrupt ID195 Priority/Priority Byte Offset 195 " hexmask.long.byte 0x00 16.--23. 1. " INTID194 ,Interrupt ID194 Priority/Priority Byte Offset 194 " hexmask.long.byte 0x00 8.--15. 1. " INTID193 ,Interrupt ID193 Priority/Priority Byte Offset 193 " hexmask.long.byte 0x00 0.--7. 1. " INTID192 ,Interrupt ID192 Priority/Priority Byte Offset 192 " group.long 0x4C4++0x03 line.long 0x00 "GICD_IPRIORITYR49,Interrupt Priority Register 49" hexmask.long.byte 0x00 24.--31. 1. " INTID199 ,Interrupt ID199 Priority/Priority Byte Offset 199 " hexmask.long.byte 0x00 16.--23. 1. " INTID198 ,Interrupt ID198 Priority/Priority Byte Offset 198 " hexmask.long.byte 0x00 8.--15. 1. " INTID197 ,Interrupt ID197 Priority/Priority Byte Offset 197 " hexmask.long.byte 0x00 0.--7. 1. " INTID196 ,Interrupt ID196 Priority/Priority Byte Offset 196 " group.long 0x4C8++0x03 line.long 0x00 "GICD_IPRIORITYR50,Interrupt Priority Register 50" hexmask.long.byte 0x00 24.--31. 1. " INTID203 ,Interrupt ID203 Priority/Priority Byte Offset 203 " hexmask.long.byte 0x00 16.--23. 1. " INTID202 ,Interrupt ID202 Priority/Priority Byte Offset 202 " hexmask.long.byte 0x00 8.--15. 1. " INTID201 ,Interrupt ID201 Priority/Priority Byte Offset 201 " hexmask.long.byte 0x00 0.--7. 1. " INTID200 ,Interrupt ID200 Priority/Priority Byte Offset 200 " group.long 0x4CC++0x03 line.long 0x00 "GICD_IPRIORITYR51,Interrupt Priority Register 51" hexmask.long.byte 0x00 24.--31. 1. " INTID207 ,Interrupt ID207 Priority/Priority Byte Offset 207 " hexmask.long.byte 0x00 16.--23. 1. " INTID206 ,Interrupt ID206 Priority/Priority Byte Offset 206 " hexmask.long.byte 0x00 8.--15. 1. " INTID205 ,Interrupt ID205 Priority/Priority Byte Offset 205 " hexmask.long.byte 0x00 0.--7. 1. " INTID204 ,Interrupt ID204 Priority/Priority Byte Offset 204 " group.long 0x4D0++0x03 line.long 0x00 "GICD_IPRIORITYR52,Interrupt Priority Register 52" hexmask.long.byte 0x00 24.--31. 1. " INTID211 ,Interrupt ID211 Priority/Priority Byte Offset 211 " hexmask.long.byte 0x00 16.--23. 1. " INTID210 ,Interrupt ID210 Priority/Priority Byte Offset 210 " hexmask.long.byte 0x00 8.--15. 1. " INTID209 ,Interrupt ID209 Priority/Priority Byte Offset 209 " hexmask.long.byte 0x00 0.--7. 1. " INTID208 ,Interrupt ID208 Priority/Priority Byte Offset 208 " group.long 0x4D4++0x03 line.long 0x00 "GICD_IPRIORITYR53,Interrupt Priority Register 53" hexmask.long.byte 0x00 24.--31. 1. " INTID215 ,Interrupt ID215 Priority/Priority Byte Offset 215 " hexmask.long.byte 0x00 16.--23. 1. " INTID214 ,Interrupt ID214 Priority/Priority Byte Offset 214 " hexmask.long.byte 0x00 8.--15. 1. " INTID213 ,Interrupt ID213 Priority/Priority Byte Offset 213 " hexmask.long.byte 0x00 0.--7. 1. " INTID212 ,Interrupt ID212 Priority/Priority Byte Offset 212 " group.long 0x4D8++0x03 line.long 0x00 "GICD_IPRIORITYR54,Interrupt Priority Register 54" hexmask.long.byte 0x00 24.--31. 1. " INTID219 ,Interrupt ID219 Priority/Priority Byte Offset 219 " hexmask.long.byte 0x00 16.--23. 1. " INTID218 ,Interrupt ID218 Priority/Priority Byte Offset 218 " hexmask.long.byte 0x00 8.--15. 1. " INTID217 ,Interrupt ID217 Priority/Priority Byte Offset 217 " hexmask.long.byte 0x00 0.--7. 1. " INTID216 ,Interrupt ID216 Priority/Priority Byte Offset 216 " group.long 0x4DC++0x03 line.long 0x00 "GICD_IPRIORITYR55,Interrupt Priority Register 55" hexmask.long.byte 0x00 24.--31. 1. " INTID223 ,Interrupt ID223 Priority/Priority Byte Offset 223 " hexmask.long.byte 0x00 16.--23. 1. " INTID222 ,Interrupt ID222 Priority/Priority Byte Offset 222 " hexmask.long.byte 0x00 8.--15. 1. " INTID221 ,Interrupt ID221 Priority/Priority Byte Offset 221 " hexmask.long.byte 0x00 0.--7. 1. " INTID220 ,Interrupt ID220 Priority/Priority Byte Offset 220 " else hgroup.long 0x4C0++0x03 hide.long 0x00 "GICD_IPRIORITYR48,Interrupt Priority Register 48" hgroup.long 0x4C4++0x03 hide.long 0x00 "GICD_IPRIORITYR49,Interrupt Priority Register 49" hgroup.long 0x4C8++0x03 hide.long 0x00 "GICD_IPRIORITYR50,Interrupt Priority Register 50" hgroup.long 0x4CC++0x03 hide.long 0x00 "GICD_IPRIORITYR51,Interrupt Priority Register 51" hgroup.long 0x4D0++0x03 hide.long 0x00 "GICD_IPRIORITYR52,Interrupt Priority Register 52" hgroup.long 0x4D4++0x03 hide.long 0x00 "GICD_IPRIORITYR53,Interrupt Priority Register 53" hgroup.long 0x4D8++0x03 hide.long 0x00 "GICD_IPRIORITYR54,Interrupt Priority Register 54" hgroup.long 0x4DC++0x03 hide.long 0x00 "GICD_IPRIORITYR55,Interrupt Priority Register 55" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x07) group.long 0x4E0++0x03 line.long 0x00 "GICD_IPRIORITYR56,Interrupt Priority Register 56" hexmask.long.byte 0x00 24.--31. 1. " INTID227 ,Interrupt ID227 Priority/Priority Byte Offset 227 " hexmask.long.byte 0x00 16.--23. 1. " INTID226 ,Interrupt ID226 Priority/Priority Byte Offset 226 " hexmask.long.byte 0x00 8.--15. 1. " INTID225 ,Interrupt ID225 Priority/Priority Byte Offset 225 " hexmask.long.byte 0x00 0.--7. 1. " INTID224 ,Interrupt ID224 Priority/Priority Byte Offset 224 " group.long 0x4E4++0x03 line.long 0x00 "GICD_IPRIORITYR57,Interrupt Priority Register 57" hexmask.long.byte 0x00 24.--31. 1. " INTID231 ,Interrupt ID231 Priority/Priority Byte Offset 231 " hexmask.long.byte 0x00 16.--23. 1. " INTID230 ,Interrupt ID230 Priority/Priority Byte Offset 230 " hexmask.long.byte 0x00 8.--15. 1. " INTID229 ,Interrupt ID229 Priority/Priority Byte Offset 229 " hexmask.long.byte 0x00 0.--7. 1. " INTID228 ,Interrupt ID228 Priority/Priority Byte Offset 228 " group.long 0x4E8++0x03 line.long 0x00 "GICD_IPRIORITYR58,Interrupt Priority Register 58" hexmask.long.byte 0x00 24.--31. 1. " INTID235 ,Interrupt ID235 Priority/Priority Byte Offset 235 " hexmask.long.byte 0x00 16.--23. 1. " INTID234 ,Interrupt ID234 Priority/Priority Byte Offset 234 " hexmask.long.byte 0x00 8.--15. 1. " INTID233 ,Interrupt ID233 Priority/Priority Byte Offset 233 " hexmask.long.byte 0x00 0.--7. 1. " INTID232 ,Interrupt ID232 Priority/Priority Byte Offset 232 " group.long 0x4EC++0x03 line.long 0x00 "GICD_IPRIORITYR59,Interrupt Priority Register 59" hexmask.long.byte 0x00 24.--31. 1. " INTID239 ,Interrupt ID239 Priority/Priority Byte Offset 239 " hexmask.long.byte 0x00 16.--23. 1. " INTID238 ,Interrupt ID238 Priority/Priority Byte Offset 238 " hexmask.long.byte 0x00 8.--15. 1. " INTID237 ,Interrupt ID237 Priority/Priority Byte Offset 237 " hexmask.long.byte 0x00 0.--7. 1. " INTID236 ,Interrupt ID236 Priority/Priority Byte Offset 236 " group.long 0x4F0++0x03 line.long 0x00 "GICD_IPRIORITYR60,Interrupt Priority Register 60" hexmask.long.byte 0x00 24.--31. 1. " INTID243 ,Interrupt ID243 Priority/Priority Byte Offset 243 " hexmask.long.byte 0x00 16.--23. 1. " INTID242 ,Interrupt ID242 Priority/Priority Byte Offset 242 " hexmask.long.byte 0x00 8.--15. 1. " INTID241 ,Interrupt ID241 Priority/Priority Byte Offset 241 " hexmask.long.byte 0x00 0.--7. 1. " INTID240 ,Interrupt ID240 Priority/Priority Byte Offset 240 " group.long 0x4F4++0x03 line.long 0x00 "GICD_IPRIORITYR61,Interrupt Priority Register 61" hexmask.long.byte 0x00 24.--31. 1. " INTID247 ,Interrupt ID247 Priority/Priority Byte Offset 247 " hexmask.long.byte 0x00 16.--23. 1. " INTID246 ,Interrupt ID246 Priority/Priority Byte Offset 246 " hexmask.long.byte 0x00 8.--15. 1. " INTID245 ,Interrupt ID245 Priority/Priority Byte Offset 245 " hexmask.long.byte 0x00 0.--7. 1. " INTID244 ,Interrupt ID244 Priority/Priority Byte Offset 244 " group.long 0x4F8++0x03 line.long 0x00 "GICD_IPRIORITYR62,Interrupt Priority Register 62" hexmask.long.byte 0x00 24.--31. 1. " INTID251 ,Interrupt ID251 Priority/Priority Byte Offset 251 " hexmask.long.byte 0x00 16.--23. 1. " INTID250 ,Interrupt ID250 Priority/Priority Byte Offset 250 " hexmask.long.byte 0x00 8.--15. 1. " INTID249 ,Interrupt ID249 Priority/Priority Byte Offset 249 " hexmask.long.byte 0x00 0.--7. 1. " INTID248 ,Interrupt ID248 Priority/Priority Byte Offset 248 " group.long 0x4FC++0x03 line.long 0x00 "GICD_IPRIORITYR63,Interrupt Priority Register 63" hexmask.long.byte 0x00 24.--31. 1. " INTID255 ,Interrupt ID255 Priority/Priority Byte Offset 255 " hexmask.long.byte 0x00 16.--23. 1. " INTID254 ,Interrupt ID254 Priority/Priority Byte Offset 254 " hexmask.long.byte 0x00 8.--15. 1. " INTID253 ,Interrupt ID253 Priority/Priority Byte Offset 253 " hexmask.long.byte 0x00 0.--7. 1. " INTID252 ,Interrupt ID252 Priority/Priority Byte Offset 252 " else hgroup.long 0x4E0++0x03 hide.long 0x00 "GICD_IPRIORITYR56,Interrupt Priority Register 56" hgroup.long 0x4E4++0x03 hide.long 0x00 "GICD_IPRIORITYR57,Interrupt Priority Register 57" hgroup.long 0x4E8++0x03 hide.long 0x00 "GICD_IPRIORITYR58,Interrupt Priority Register 58" hgroup.long 0x4EC++0x03 hide.long 0x00 "GICD_IPRIORITYR59,Interrupt Priority Register 59" hgroup.long 0x4F0++0x03 hide.long 0x00 "GICD_IPRIORITYR60,Interrupt Priority Register 60" hgroup.long 0x4F4++0x03 hide.long 0x00 "GICD_IPRIORITYR61,Interrupt Priority Register 61" hgroup.long 0x4F8++0x03 hide.long 0x00 "GICD_IPRIORITYR62,Interrupt Priority Register 62" hgroup.long 0x4FC++0x03 hide.long 0x00 "GICD_IPRIORITYR63,Interrupt Priority Register 63" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x08) group.long 0x500++0x03 line.long 0x00 "GICD_IPRIORITYR64,Interrupt Priority Register 64" hexmask.long.byte 0x00 24.--31. 1. " INTID259 ,Interrupt ID259 Priority/Priority Byte Offset 259 " hexmask.long.byte 0x00 16.--23. 1. " INTID258 ,Interrupt ID258 Priority/Priority Byte Offset 258 " hexmask.long.byte 0x00 8.--15. 1. " INTID257 ,Interrupt ID257 Priority/Priority Byte Offset 257 " hexmask.long.byte 0x00 0.--7. 1. " INTID256 ,Interrupt ID256 Priority/Priority Byte Offset 256 " group.long 0x504++0x03 line.long 0x00 "GICD_IPRIORITYR65,Interrupt Priority Register 65" hexmask.long.byte 0x00 24.--31. 1. " INTID263 ,Interrupt ID263 Priority/Priority Byte Offset 263 " hexmask.long.byte 0x00 16.--23. 1. " INTID262 ,Interrupt ID262 Priority/Priority Byte Offset 262 " hexmask.long.byte 0x00 8.--15. 1. " INTID261 ,Interrupt ID261 Priority/Priority Byte Offset 261 " hexmask.long.byte 0x00 0.--7. 1. " INTID260 ,Interrupt ID260 Priority/Priority Byte Offset 260 " group.long 0x508++0x03 line.long 0x00 "GICD_IPRIORITYR66,Interrupt Priority Register 66" hexmask.long.byte 0x00 24.--31. 1. " INTID267 ,Interrupt ID267 Priority/Priority Byte Offset 267 " hexmask.long.byte 0x00 16.--23. 1. " INTID266 ,Interrupt ID266 Priority/Priority Byte Offset 266 " hexmask.long.byte 0x00 8.--15. 1. " INTID265 ,Interrupt ID265 Priority/Priority Byte Offset 265 " hexmask.long.byte 0x00 0.--7. 1. " INTID264 ,Interrupt ID264 Priority/Priority Byte Offset 264 " group.long 0x50C++0x03 line.long 0x00 "GICD_IPRIORITYR67,Interrupt Priority Register 67" hexmask.long.byte 0x00 24.--31. 1. " INTID271 ,Interrupt ID271 Priority/Priority Byte Offset 271 " hexmask.long.byte 0x00 16.--23. 1. " INTID270 ,Interrupt ID270 Priority/Priority Byte Offset 270 " hexmask.long.byte 0x00 8.--15. 1. " INTID269 ,Interrupt ID269 Priority/Priority Byte Offset 269 " hexmask.long.byte 0x00 0.--7. 1. " INTID268 ,Interrupt ID268 Priority/Priority Byte Offset 268 " group.long 0x510++0x03 line.long 0x00 "GICD_IPRIORITYR68,Interrupt Priority Register 68" hexmask.long.byte 0x00 24.--31. 1. " INTID275 ,Interrupt ID275 Priority/Priority Byte Offset 275 " hexmask.long.byte 0x00 16.--23. 1. " INTID274 ,Interrupt ID274 Priority/Priority Byte Offset 274 " hexmask.long.byte 0x00 8.--15. 1. " INTID273 ,Interrupt ID273 Priority/Priority Byte Offset 273 " hexmask.long.byte 0x00 0.--7. 1. " INTID272 ,Interrupt ID272 Priority/Priority Byte Offset 272 " group.long 0x514++0x03 line.long 0x00 "GICD_IPRIORITYR69,Interrupt Priority Register 69" hexmask.long.byte 0x00 24.--31. 1. " INTID279 ,Interrupt ID279 Priority/Priority Byte Offset 279 " hexmask.long.byte 0x00 16.--23. 1. " INTID278 ,Interrupt ID278 Priority/Priority Byte Offset 278 " hexmask.long.byte 0x00 8.--15. 1. " INTID277 ,Interrupt ID277 Priority/Priority Byte Offset 277 " hexmask.long.byte 0x00 0.--7. 1. " INTID276 ,Interrupt ID276 Priority/Priority Byte Offset 276 " group.long 0x518++0x03 line.long 0x00 "GICD_IPRIORITYR70,Interrupt Priority Register 70" hexmask.long.byte 0x00 24.--31. 1. " INTID283 ,Interrupt ID283 Priority/Priority Byte Offset 283 " hexmask.long.byte 0x00 16.--23. 1. " INTID282 ,Interrupt ID282 Priority/Priority Byte Offset 282 " hexmask.long.byte 0x00 8.--15. 1. " INTID281 ,Interrupt ID281 Priority/Priority Byte Offset 281 " hexmask.long.byte 0x00 0.--7. 1. " INTID280 ,Interrupt ID280 Priority/Priority Byte Offset 280 " group.long 0x51C++0x03 line.long 0x00 "GICD_IPRIORITYR71,Interrupt Priority Register 71" hexmask.long.byte 0x00 24.--31. 1. " INTID287 ,Interrupt ID287 Priority/Priority Byte Offset 287 " hexmask.long.byte 0x00 16.--23. 1. " INTID286 ,Interrupt ID286 Priority/Priority Byte Offset 286 " hexmask.long.byte 0x00 8.--15. 1. " INTID285 ,Interrupt ID285 Priority/Priority Byte Offset 285 " hexmask.long.byte 0x00 0.--7. 1. " INTID284 ,Interrupt ID284 Priority/Priority Byte Offset 284 " else hgroup.long 0x500++0x03 hide.long 0x00 "GICD_IPRIORITYR64,Interrupt Priority Register 64" hgroup.long 0x504++0x03 hide.long 0x00 "GICD_IPRIORITYR65,Interrupt Priority Register 65" hgroup.long 0x508++0x03 hide.long 0x00 "GICD_IPRIORITYR66,Interrupt Priority Register 66" hgroup.long 0x50C++0x03 hide.long 0x00 "GICD_IPRIORITYR67,Interrupt Priority Register 67" hgroup.long 0x510++0x03 hide.long 0x00 "GICD_IPRIORITYR68,Interrupt Priority Register 68" hgroup.long 0x514++0x03 hide.long 0x00 "GICD_IPRIORITYR69,Interrupt Priority Register 69" hgroup.long 0x518++0x03 hide.long 0x00 "GICD_IPRIORITYR70,Interrupt Priority Register 70" hgroup.long 0x51C++0x03 hide.long 0x00 "GICD_IPRIORITYR71,Interrupt Priority Register 71" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x09) group.long 0x520++0x03 line.long 0x00 "GICD_IPRIORITYR72,Interrupt Priority Register 72" hexmask.long.byte 0x00 24.--31. 1. " INTID291 ,Interrupt ID291 Priority/Priority Byte Offset 291 " hexmask.long.byte 0x00 16.--23. 1. " INTID290 ,Interrupt ID290 Priority/Priority Byte Offset 290 " hexmask.long.byte 0x00 8.--15. 1. " INTID289 ,Interrupt ID289 Priority/Priority Byte Offset 289 " hexmask.long.byte 0x00 0.--7. 1. " INTID288 ,Interrupt ID288 Priority/Priority Byte Offset 288 " group.long 0x524++0x03 line.long 0x00 "GICD_IPRIORITYR73,Interrupt Priority Register 73" hexmask.long.byte 0x00 24.--31. 1. " INTID295 ,Interrupt ID295 Priority/Priority Byte Offset 295 " hexmask.long.byte 0x00 16.--23. 1. " INTID294 ,Interrupt ID294 Priority/Priority Byte Offset 294 " hexmask.long.byte 0x00 8.--15. 1. " INTID293 ,Interrupt ID293 Priority/Priority Byte Offset 293 " hexmask.long.byte 0x00 0.--7. 1. " INTID292 ,Interrupt ID292 Priority/Priority Byte Offset 292 " group.long 0x528++0x03 line.long 0x00 "GICD_IPRIORITYR74,Interrupt Priority Register 74" hexmask.long.byte 0x00 24.--31. 1. " INTID299 ,Interrupt ID299 Priority/Priority Byte Offset 299 " hexmask.long.byte 0x00 16.--23. 1. " INTID298 ,Interrupt ID298 Priority/Priority Byte Offset 298 " hexmask.long.byte 0x00 8.--15. 1. " INTID297 ,Interrupt ID297 Priority/Priority Byte Offset 297 " hexmask.long.byte 0x00 0.--7. 1. " INTID296 ,Interrupt ID296 Priority/Priority Byte Offset 296 " group.long 0x52C++0x03 line.long 0x00 "GICD_IPRIORITYR75,Interrupt Priority Register 75" hexmask.long.byte 0x00 24.--31. 1. " INTID303 ,Interrupt ID303 Priority/Priority Byte Offset 303 " hexmask.long.byte 0x00 16.--23. 1. " INTID302 ,Interrupt ID302 Priority/Priority Byte Offset 302 " hexmask.long.byte 0x00 8.--15. 1. " INTID301 ,Interrupt ID301 Priority/Priority Byte Offset 301 " hexmask.long.byte 0x00 0.--7. 1. " INTID300 ,Interrupt ID300 Priority/Priority Byte Offset 300 " group.long 0x530++0x03 line.long 0x00 "GICD_IPRIORITYR76,Interrupt Priority Register 76" hexmask.long.byte 0x00 24.--31. 1. " INTID307 ,Interrupt ID307 Priority/Priority Byte Offset 307 " hexmask.long.byte 0x00 16.--23. 1. " INTID306 ,Interrupt ID306 Priority/Priority Byte Offset 306 " hexmask.long.byte 0x00 8.--15. 1. " INTID305 ,Interrupt ID305 Priority/Priority Byte Offset 305 " hexmask.long.byte 0x00 0.--7. 1. " INTID304 ,Interrupt ID304 Priority/Priority Byte Offset 304 " group.long 0x534++0x03 line.long 0x00 "GICD_IPRIORITYR77,Interrupt Priority Register 77" hexmask.long.byte 0x00 24.--31. 1. " INTID311 ,Interrupt ID311 Priority/Priority Byte Offset 311 " hexmask.long.byte 0x00 16.--23. 1. " INTID310 ,Interrupt ID310 Priority/Priority Byte Offset 310 " hexmask.long.byte 0x00 8.--15. 1. " INTID309 ,Interrupt ID309 Priority/Priority Byte Offset 309 " hexmask.long.byte 0x00 0.--7. 1. " INTID308 ,Interrupt ID308 Priority/Priority Byte Offset 308 " group.long 0x538++0x03 line.long 0x00 "GICD_IPRIORITYR78,Interrupt Priority Register 78" hexmask.long.byte 0x00 24.--31. 1. " INTID315 ,Interrupt ID315 Priority/Priority Byte Offset 315 " hexmask.long.byte 0x00 16.--23. 1. " INTID314 ,Interrupt ID314 Priority/Priority Byte Offset 314 " hexmask.long.byte 0x00 8.--15. 1. " INTID313 ,Interrupt ID313 Priority/Priority Byte Offset 313 " hexmask.long.byte 0x00 0.--7. 1. " INTID312 ,Interrupt ID312 Priority/Priority Byte Offset 312 " group.long 0x53C++0x03 line.long 0x00 "GICD_IPRIORITYR79,Interrupt Priority Register 79" hexmask.long.byte 0x00 24.--31. 1. " INTID319 ,Interrupt ID319 Priority/Priority Byte Offset 319 " hexmask.long.byte 0x00 16.--23. 1. " INTID318 ,Interrupt ID318 Priority/Priority Byte Offset 318 " hexmask.long.byte 0x00 8.--15. 1. " INTID317 ,Interrupt ID317 Priority/Priority Byte Offset 317 " hexmask.long.byte 0x00 0.--7. 1. " INTID316 ,Interrupt ID316 Priority/Priority Byte Offset 316 " else hgroup.long 0x520++0x03 hide.long 0x00 "GICD_IPRIORITYR72,Interrupt Priority Register 72" hgroup.long 0x524++0x03 hide.long 0x00 "GICD_IPRIORITYR73,Interrupt Priority Register 73" hgroup.long 0x528++0x03 hide.long 0x00 "GICD_IPRIORITYR74,Interrupt Priority Register 74" hgroup.long 0x52C++0x03 hide.long 0x00 "GICD_IPRIORITYR75,Interrupt Priority Register 75" hgroup.long 0x530++0x03 hide.long 0x00 "GICD_IPRIORITYR76,Interrupt Priority Register 76" hgroup.long 0x534++0x03 hide.long 0x00 "GICD_IPRIORITYR77,Interrupt Priority Register 77" hgroup.long 0x538++0x03 hide.long 0x00 "GICD_IPRIORITYR78,Interrupt Priority Register 78" hgroup.long 0x53C++0x03 hide.long 0x00 "GICD_IPRIORITYR79,Interrupt Priority Register 79" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0A) group.long 0x540++0x03 line.long 0x00 "GICD_IPRIORITYR80,Interrupt Priority Register 80" hexmask.long.byte 0x00 24.--31. 1. " INTID323 ,Interrupt ID323 Priority/Priority Byte Offset 323 " hexmask.long.byte 0x00 16.--23. 1. " INTID322 ,Interrupt ID322 Priority/Priority Byte Offset 322 " hexmask.long.byte 0x00 8.--15. 1. " INTID321 ,Interrupt ID321 Priority/Priority Byte Offset 321 " hexmask.long.byte 0x00 0.--7. 1. " INTID320 ,Interrupt ID320 Priority/Priority Byte Offset 320 " group.long 0x544++0x03 line.long 0x00 "GICD_IPRIORITYR81,Interrupt Priority Register 81" hexmask.long.byte 0x00 24.--31. 1. " INTID327 ,Interrupt ID327 Priority/Priority Byte Offset 327 " hexmask.long.byte 0x00 16.--23. 1. " INTID326 ,Interrupt ID326 Priority/Priority Byte Offset 326 " hexmask.long.byte 0x00 8.--15. 1. " INTID325 ,Interrupt ID325 Priority/Priority Byte Offset 325 " hexmask.long.byte 0x00 0.--7. 1. " INTID324 ,Interrupt ID324 Priority/Priority Byte Offset 324 " group.long 0x548++0x03 line.long 0x00 "GICD_IPRIORITYR82,Interrupt Priority Register 82" hexmask.long.byte 0x00 24.--31. 1. " INTID331 ,Interrupt ID331 Priority/Priority Byte Offset 331 " hexmask.long.byte 0x00 16.--23. 1. " INTID330 ,Interrupt ID330 Priority/Priority Byte Offset 330 " hexmask.long.byte 0x00 8.--15. 1. " INTID329 ,Interrupt ID329 Priority/Priority Byte Offset 329 " hexmask.long.byte 0x00 0.--7. 1. " INTID328 ,Interrupt ID328 Priority/Priority Byte Offset 328 " group.long 0x54C++0x03 line.long 0x00 "GICD_IPRIORITYR83,Interrupt Priority Register 83" hexmask.long.byte 0x00 24.--31. 1. " INTID335 ,Interrupt ID335 Priority/Priority Byte Offset 335 " hexmask.long.byte 0x00 16.--23. 1. " INTID334 ,Interrupt ID334 Priority/Priority Byte Offset 334 " hexmask.long.byte 0x00 8.--15. 1. " INTID333 ,Interrupt ID333 Priority/Priority Byte Offset 333 " hexmask.long.byte 0x00 0.--7. 1. " INTID332 ,Interrupt ID332 Priority/Priority Byte Offset 332 " group.long 0x550++0x03 line.long 0x00 "GICD_IPRIORITYR84,Interrupt Priority Register 84" hexmask.long.byte 0x00 24.--31. 1. " INTID339 ,Interrupt ID339 Priority/Priority Byte Offset 339 " hexmask.long.byte 0x00 16.--23. 1. " INTID338 ,Interrupt ID338 Priority/Priority Byte Offset 338 " hexmask.long.byte 0x00 8.--15. 1. " INTID337 ,Interrupt ID337 Priority/Priority Byte Offset 337 " hexmask.long.byte 0x00 0.--7. 1. " INTID336 ,Interrupt ID336 Priority/Priority Byte Offset 336 " group.long 0x554++0x03 line.long 0x00 "GICD_IPRIORITYR85,Interrupt Priority Register 85" hexmask.long.byte 0x00 24.--31. 1. " INTID343 ,Interrupt ID343 Priority/Priority Byte Offset 343 " hexmask.long.byte 0x00 16.--23. 1. " INTID342 ,Interrupt ID342 Priority/Priority Byte Offset 342 " hexmask.long.byte 0x00 8.--15. 1. " INTID341 ,Interrupt ID341 Priority/Priority Byte Offset 341 " hexmask.long.byte 0x00 0.--7. 1. " INTID340 ,Interrupt ID340 Priority/Priority Byte Offset 340 " group.long 0x558++0x03 line.long 0x00 "GICD_IPRIORITYR86,Interrupt Priority Register 86" hexmask.long.byte 0x00 24.--31. 1. " INTID347 ,Interrupt ID347 Priority/Priority Byte Offset 347 " hexmask.long.byte 0x00 16.--23. 1. " INTID346 ,Interrupt ID346 Priority/Priority Byte Offset 346 " hexmask.long.byte 0x00 8.--15. 1. " INTID345 ,Interrupt ID345 Priority/Priority Byte Offset 345 " hexmask.long.byte 0x00 0.--7. 1. " INTID344 ,Interrupt ID344 Priority/Priority Byte Offset 344 " group.long 0x55C++0x03 line.long 0x00 "GICD_IPRIORITYR87,Interrupt Priority Register 87" hexmask.long.byte 0x00 24.--31. 1. " INTID351 ,Interrupt ID351 Priority/Priority Byte Offset 351 " hexmask.long.byte 0x00 16.--23. 1. " INTID350 ,Interrupt ID350 Priority/Priority Byte Offset 350 " hexmask.long.byte 0x00 8.--15. 1. " INTID349 ,Interrupt ID349 Priority/Priority Byte Offset 349 " hexmask.long.byte 0x00 0.--7. 1. " INTID348 ,Interrupt ID348 Priority/Priority Byte Offset 348 " else hgroup.long 0x540++0x03 hide.long 0x00 "GICD_IPRIORITYR80,Interrupt Priority Register 80" hgroup.long 0x544++0x03 hide.long 0x00 "GICD_IPRIORITYR81,Interrupt Priority Register 81" hgroup.long 0x548++0x03 hide.long 0x00 "GICD_IPRIORITYR82,Interrupt Priority Register 82" hgroup.long 0x54C++0x03 hide.long 0x00 "GICD_IPRIORITYR83,Interrupt Priority Register 83" hgroup.long 0x550++0x03 hide.long 0x00 "GICD_IPRIORITYR84,Interrupt Priority Register 84" hgroup.long 0x554++0x03 hide.long 0x00 "GICD_IPRIORITYR85,Interrupt Priority Register 85" hgroup.long 0x558++0x03 hide.long 0x00 "GICD_IPRIORITYR86,Interrupt Priority Register 86" hgroup.long 0x55C++0x03 hide.long 0x00 "GICD_IPRIORITYR87,Interrupt Priority Register 87" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0B) group.long 0x560++0x03 line.long 0x00 "GICD_IPRIORITYR88,Interrupt Priority Register 88" hexmask.long.byte 0x00 24.--31. 1. " INTID355 ,Interrupt ID355 Priority/Priority Byte Offset 355 " hexmask.long.byte 0x00 16.--23. 1. " INTID354 ,Interrupt ID354 Priority/Priority Byte Offset 354 " hexmask.long.byte 0x00 8.--15. 1. " INTID353 ,Interrupt ID353 Priority/Priority Byte Offset 353 " hexmask.long.byte 0x00 0.--7. 1. " INTID352 ,Interrupt ID352 Priority/Priority Byte Offset 352 " group.long 0x564++0x03 line.long 0x00 "GICD_IPRIORITYR89,Interrupt Priority Register 89" hexmask.long.byte 0x00 24.--31. 1. " INTID359 ,Interrupt ID359 Priority/Priority Byte Offset 359 " hexmask.long.byte 0x00 16.--23. 1. " INTID358 ,Interrupt ID358 Priority/Priority Byte Offset 358 " hexmask.long.byte 0x00 8.--15. 1. " INTID357 ,Interrupt ID357 Priority/Priority Byte Offset 357 " hexmask.long.byte 0x00 0.--7. 1. " INTID356 ,Interrupt ID356 Priority/Priority Byte Offset 356 " group.long 0x568++0x03 line.long 0x00 "GICD_IPRIORITYR90,Interrupt Priority Register 90" hexmask.long.byte 0x00 24.--31. 1. " INTID363 ,Interrupt ID363 Priority/Priority Byte Offset 363 " hexmask.long.byte 0x00 16.--23. 1. " INTID362 ,Interrupt ID362 Priority/Priority Byte Offset 362 " hexmask.long.byte 0x00 8.--15. 1. " INTID361 ,Interrupt ID361 Priority/Priority Byte Offset 361 " hexmask.long.byte 0x00 0.--7. 1. " INTID360 ,Interrupt ID360 Priority/Priority Byte Offset 360 " group.long 0x56C++0x03 line.long 0x00 "GICD_IPRIORITYR91,Interrupt Priority Register 91" hexmask.long.byte 0x00 24.--31. 1. " INTID367 ,Interrupt ID367 Priority/Priority Byte Offset 367 " hexmask.long.byte 0x00 16.--23. 1. " INTID366 ,Interrupt ID366 Priority/Priority Byte Offset 366 " hexmask.long.byte 0x00 8.--15. 1. " INTID365 ,Interrupt ID365 Priority/Priority Byte Offset 365 " hexmask.long.byte 0x00 0.--7. 1. " INTID364 ,Interrupt ID364 Priority/Priority Byte Offset 364 " group.long 0x570++0x03 line.long 0x00 "GICD_IPRIORITYR92,Interrupt Priority Register 92" hexmask.long.byte 0x00 24.--31. 1. " INTID371 ,Interrupt ID371 Priority/Priority Byte Offset 371 " hexmask.long.byte 0x00 16.--23. 1. " INTID370 ,Interrupt ID370 Priority/Priority Byte Offset 370 " hexmask.long.byte 0x00 8.--15. 1. " INTID369 ,Interrupt ID369 Priority/Priority Byte Offset 369 " hexmask.long.byte 0x00 0.--7. 1. " INTID368 ,Interrupt ID368 Priority/Priority Byte Offset 368 " group.long 0x574++0x03 line.long 0x00 "GICD_IPRIORITYR93,Interrupt Priority Register 93" hexmask.long.byte 0x00 24.--31. 1. " INTID375 ,Interrupt ID375 Priority/Priority Byte Offset 375 " hexmask.long.byte 0x00 16.--23. 1. " INTID374 ,Interrupt ID374 Priority/Priority Byte Offset 374 " hexmask.long.byte 0x00 8.--15. 1. " INTID373 ,Interrupt ID373 Priority/Priority Byte Offset 373 " hexmask.long.byte 0x00 0.--7. 1. " INTID372 ,Interrupt ID372 Priority/Priority Byte Offset 372 " group.long 0x578++0x03 line.long 0x00 "GICD_IPRIORITYR94,Interrupt Priority Register 94" hexmask.long.byte 0x00 24.--31. 1. " INTID379 ,Interrupt ID379 Priority/Priority Byte Offset 379 " hexmask.long.byte 0x00 16.--23. 1. " INTID378 ,Interrupt ID378 Priority/Priority Byte Offset 378 " hexmask.long.byte 0x00 8.--15. 1. " INTID377 ,Interrupt ID377 Priority/Priority Byte Offset 377 " hexmask.long.byte 0x00 0.--7. 1. " INTID376 ,Interrupt ID376 Priority/Priority Byte Offset 376 " group.long 0x57C++0x03 line.long 0x00 "GICD_IPRIORITYR95,Interrupt Priority Register 95" hexmask.long.byte 0x00 24.--31. 1. " INTID383 ,Interrupt ID383 Priority/Priority Byte Offset 383 " hexmask.long.byte 0x00 16.--23. 1. " INTID382 ,Interrupt ID382 Priority/Priority Byte Offset 382 " hexmask.long.byte 0x00 8.--15. 1. " INTID381 ,Interrupt ID381 Priority/Priority Byte Offset 381 " hexmask.long.byte 0x00 0.--7. 1. " INTID380 ,Interrupt ID380 Priority/Priority Byte Offset 380 " else hgroup.long 0x560++0x03 hide.long 0x00 "GICD_IPRIORITYR88,Interrupt Priority Register 88" hgroup.long 0x564++0x03 hide.long 0x00 "GICD_IPRIORITYR89,Interrupt Priority Register 89" hgroup.long 0x568++0x03 hide.long 0x00 "GICD_IPRIORITYR90,Interrupt Priority Register 90" hgroup.long 0x56C++0x03 hide.long 0x00 "GICD_IPRIORITYR91,Interrupt Priority Register 91" hgroup.long 0x570++0x03 hide.long 0x00 "GICD_IPRIORITYR92,Interrupt Priority Register 92" hgroup.long 0x574++0x03 hide.long 0x00 "GICD_IPRIORITYR93,Interrupt Priority Register 93" hgroup.long 0x578++0x03 hide.long 0x00 "GICD_IPRIORITYR94,Interrupt Priority Register 94" hgroup.long 0x57C++0x03 hide.long 0x00 "GICD_IPRIORITYR95,Interrupt Priority Register 95" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0C) group.long 0x580++0x03 line.long 0x00 "GICD_IPRIORITYR96,Interrupt Priority Register 96" hexmask.long.byte 0x00 24.--31. 1. " INTID387 ,Interrupt ID387 Priority/Priority Byte Offset 387 " hexmask.long.byte 0x00 16.--23. 1. " INTID386 ,Interrupt ID386 Priority/Priority Byte Offset 386 " hexmask.long.byte 0x00 8.--15. 1. " INTID385 ,Interrupt ID385 Priority/Priority Byte Offset 385 " hexmask.long.byte 0x00 0.--7. 1. " INTID384 ,Interrupt ID384 Priority/Priority Byte Offset 384 " group.long 0x584++0x03 line.long 0x00 "GICD_IPRIORITYR97,Interrupt Priority Register 97" hexmask.long.byte 0x00 24.--31. 1. " INTID391 ,Interrupt ID391 Priority/Priority Byte Offset 391 " hexmask.long.byte 0x00 16.--23. 1. " INTID390 ,Interrupt ID390 Priority/Priority Byte Offset 390 " hexmask.long.byte 0x00 8.--15. 1. " INTID389 ,Interrupt ID389 Priority/Priority Byte Offset 389 " hexmask.long.byte 0x00 0.--7. 1. " INTID388 ,Interrupt ID388 Priority/Priority Byte Offset 388 " group.long 0x588++0x03 line.long 0x00 "GICD_IPRIORITYR98,Interrupt Priority Register 98" hexmask.long.byte 0x00 24.--31. 1. " INTID395 ,Interrupt ID395 Priority/Priority Byte Offset 395 " hexmask.long.byte 0x00 16.--23. 1. " INTID394 ,Interrupt ID394 Priority/Priority Byte Offset 394 " hexmask.long.byte 0x00 8.--15. 1. " INTID393 ,Interrupt ID393 Priority/Priority Byte Offset 393 " hexmask.long.byte 0x00 0.--7. 1. " INTID392 ,Interrupt ID392 Priority/Priority Byte Offset 392 " group.long 0x58C++0x03 line.long 0x00 "GICD_IPRIORITYR99,Interrupt Priority Register 99" hexmask.long.byte 0x00 24.--31. 1. " INTID399 ,Interrupt ID399 Priority/Priority Byte Offset 399 " hexmask.long.byte 0x00 16.--23. 1. " INTID398 ,Interrupt ID398 Priority/Priority Byte Offset 398 " hexmask.long.byte 0x00 8.--15. 1. " INTID397 ,Interrupt ID397 Priority/Priority Byte Offset 397 " hexmask.long.byte 0x00 0.--7. 1. " INTID396 ,Interrupt ID396 Priority/Priority Byte Offset 396 " group.long 0x590++0x03 line.long 0x00 "GICD_IPRIORITYR100,Interrupt Priority Register 100" hexmask.long.byte 0x00 24.--31. 1. " INTID403 ,Interrupt ID403 Priority/Priority Byte Offset 403 " hexmask.long.byte 0x00 16.--23. 1. " INTID402 ,Interrupt ID402 Priority/Priority Byte Offset 402 " hexmask.long.byte 0x00 8.--15. 1. " INTID401 ,Interrupt ID401 Priority/Priority Byte Offset 401 " hexmask.long.byte 0x00 0.--7. 1. " INTID400 ,Interrupt ID400 Priority/Priority Byte Offset 400 " group.long 0x594++0x03 line.long 0x00 "GICD_IPRIORITYR101,Interrupt Priority Register 101" hexmask.long.byte 0x00 24.--31. 1. " INTID407 ,Interrupt ID407 Priority/Priority Byte Offset 407 " hexmask.long.byte 0x00 16.--23. 1. " INTID406 ,Interrupt ID406 Priority/Priority Byte Offset 406 " hexmask.long.byte 0x00 8.--15. 1. " INTID405 ,Interrupt ID405 Priority/Priority Byte Offset 405 " hexmask.long.byte 0x00 0.--7. 1. " INTID404 ,Interrupt ID404 Priority/Priority Byte Offset 404 " group.long 0x598++0x03 line.long 0x00 "GICD_IPRIORITYR102,Interrupt Priority Register 102" hexmask.long.byte 0x00 24.--31. 1. " INTID411 ,Interrupt ID411 Priority/Priority Byte Offset 411 " hexmask.long.byte 0x00 16.--23. 1. " INTID410 ,Interrupt ID410 Priority/Priority Byte Offset 410 " hexmask.long.byte 0x00 8.--15. 1. " INTID409 ,Interrupt ID409 Priority/Priority Byte Offset 409 " hexmask.long.byte 0x00 0.--7. 1. " INTID408 ,Interrupt ID408 Priority/Priority Byte Offset 408 " group.long 0x59C++0x03 line.long 0x00 "GICD_IPRIORITYR103,Interrupt Priority Register 103" hexmask.long.byte 0x00 24.--31. 1. " INTID415 ,Interrupt ID415 Priority/Priority Byte Offset 415 " hexmask.long.byte 0x00 16.--23. 1. " INTID414 ,Interrupt ID414 Priority/Priority Byte Offset 414 " hexmask.long.byte 0x00 8.--15. 1. " INTID413 ,Interrupt ID413 Priority/Priority Byte Offset 413 " hexmask.long.byte 0x00 0.--7. 1. " INTID412 ,Interrupt ID412 Priority/Priority Byte Offset 412 " else hgroup.long 0x580++0x03 hide.long 0x00 "GICD_IPRIORITYR96,Interrupt Priority Register 96" hgroup.long 0x584++0x03 hide.long 0x00 "GICD_IPRIORITYR97,Interrupt Priority Register 97" hgroup.long 0x588++0x03 hide.long 0x00 "GICD_IPRIORITYR98,Interrupt Priority Register 98" hgroup.long 0x58C++0x03 hide.long 0x00 "GICD_IPRIORITYR99,Interrupt Priority Register 99" hgroup.long 0x590++0x03 hide.long 0x00 "GICD_IPRIORITYR100,Interrupt Priority Register 100" hgroup.long 0x594++0x03 hide.long 0x00 "GICD_IPRIORITYR101,Interrupt Priority Register 101" hgroup.long 0x598++0x03 hide.long 0x00 "GICD_IPRIORITYR102,Interrupt Priority Register 102" hgroup.long 0x59C++0x03 hide.long 0x00 "GICD_IPRIORITYR103,Interrupt Priority Register 103" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0D) group.long 0x5A0++0x03 line.long 0x00 "GICD_IPRIORITYR104,Interrupt Priority Register 104" hexmask.long.byte 0x00 24.--31. 1. " INTID419 ,Interrupt ID419 Priority/Priority Byte Offset 419 " hexmask.long.byte 0x00 16.--23. 1. " INTID418 ,Interrupt ID418 Priority/Priority Byte Offset 418 " hexmask.long.byte 0x00 8.--15. 1. " INTID417 ,Interrupt ID417 Priority/Priority Byte Offset 417 " hexmask.long.byte 0x00 0.--7. 1. " INTID416 ,Interrupt ID416 Priority/Priority Byte Offset 416 " group.long 0x5A4++0x03 line.long 0x00 "GICD_IPRIORITYR105,Interrupt Priority Register 105" hexmask.long.byte 0x00 24.--31. 1. " INTID423 ,Interrupt ID423 Priority/Priority Byte Offset 423 " hexmask.long.byte 0x00 16.--23. 1. " INTID422 ,Interrupt ID422 Priority/Priority Byte Offset 422 " hexmask.long.byte 0x00 8.--15. 1. " INTID421 ,Interrupt ID421 Priority/Priority Byte Offset 421 " hexmask.long.byte 0x00 0.--7. 1. " INTID420 ,Interrupt ID420 Priority/Priority Byte Offset 420 " group.long 0x5A8++0x03 line.long 0x00 "GICD_IPRIORITYR106,Interrupt Priority Register 106" hexmask.long.byte 0x00 24.--31. 1. " INTID427 ,Interrupt ID427 Priority/Priority Byte Offset 427 " hexmask.long.byte 0x00 16.--23. 1. " INTID426 ,Interrupt ID426 Priority/Priority Byte Offset 426 " hexmask.long.byte 0x00 8.--15. 1. " INTID425 ,Interrupt ID425 Priority/Priority Byte Offset 425 " hexmask.long.byte 0x00 0.--7. 1. " INTID424 ,Interrupt ID424 Priority/Priority Byte Offset 424 " group.long 0x5AC++0x03 line.long 0x00 "GICD_IPRIORITYR107,Interrupt Priority Register 107" hexmask.long.byte 0x00 24.--31. 1. " INTID431 ,Interrupt ID431 Priority/Priority Byte Offset 431 " hexmask.long.byte 0x00 16.--23. 1. " INTID430 ,Interrupt ID430 Priority/Priority Byte Offset 430 " hexmask.long.byte 0x00 8.--15. 1. " INTID429 ,Interrupt ID429 Priority/Priority Byte Offset 429 " hexmask.long.byte 0x00 0.--7. 1. " INTID428 ,Interrupt ID428 Priority/Priority Byte Offset 428 " group.long 0x5B0++0x03 line.long 0x00 "GICD_IPRIORITYR108,Interrupt Priority Register 108" hexmask.long.byte 0x00 24.--31. 1. " INTID435 ,Interrupt ID435 Priority/Priority Byte Offset 435 " hexmask.long.byte 0x00 16.--23. 1. " INTID434 ,Interrupt ID434 Priority/Priority Byte Offset 434 " hexmask.long.byte 0x00 8.--15. 1. " INTID433 ,Interrupt ID433 Priority/Priority Byte Offset 433 " hexmask.long.byte 0x00 0.--7. 1. " INTID432 ,Interrupt ID432 Priority/Priority Byte Offset 432 " group.long 0x5B4++0x03 line.long 0x00 "GICD_IPRIORITYR109,Interrupt Priority Register 109" hexmask.long.byte 0x00 24.--31. 1. " INTID439 ,Interrupt ID439 Priority/Priority Byte Offset 439 " hexmask.long.byte 0x00 16.--23. 1. " INTID438 ,Interrupt ID438 Priority/Priority Byte Offset 438 " hexmask.long.byte 0x00 8.--15. 1. " INTID437 ,Interrupt ID437 Priority/Priority Byte Offset 437 " hexmask.long.byte 0x00 0.--7. 1. " INTID436 ,Interrupt ID436 Priority/Priority Byte Offset 436 " group.long 0x5B8++0x03 line.long 0x00 "GICD_IPRIORITYR110,Interrupt Priority Register 110" hexmask.long.byte 0x00 24.--31. 1. " INTID443 ,Interrupt ID443 Priority/Priority Byte Offset 443 " hexmask.long.byte 0x00 16.--23. 1. " INTID442 ,Interrupt ID442 Priority/Priority Byte Offset 442 " hexmask.long.byte 0x00 8.--15. 1. " INTID441 ,Interrupt ID441 Priority/Priority Byte Offset 441 " hexmask.long.byte 0x00 0.--7. 1. " INTID440 ,Interrupt ID440 Priority/Priority Byte Offset 440 " group.long 0x5BC++0x03 line.long 0x00 "GICD_IPRIORITYR111,Interrupt Priority Register 111" hexmask.long.byte 0x00 24.--31. 1. " INTID447 ,Interrupt ID447 Priority/Priority Byte Offset 447 " hexmask.long.byte 0x00 16.--23. 1. " INTID446 ,Interrupt ID446 Priority/Priority Byte Offset 446 " hexmask.long.byte 0x00 8.--15. 1. " INTID445 ,Interrupt ID445 Priority/Priority Byte Offset 445 " hexmask.long.byte 0x00 0.--7. 1. " INTID444 ,Interrupt ID444 Priority/Priority Byte Offset 444 " else hgroup.long 0x5A0++0x03 hide.long 0x00 "GICD_IPRIORITYR104,Interrupt Priority Register 104" hgroup.long 0x5A4++0x03 hide.long 0x00 "GICD_IPRIORITYR105,Interrupt Priority Register 105" hgroup.long 0x5A8++0x03 hide.long 0x00 "GICD_IPRIORITYR106,Interrupt Priority Register 106" hgroup.long 0x5AC++0x03 hide.long 0x00 "GICD_IPRIORITYR107,Interrupt Priority Register 107" hgroup.long 0x5B0++0x03 hide.long 0x00 "GICD_IPRIORITYR108,Interrupt Priority Register 108" hgroup.long 0x5B4++0x03 hide.long 0x00 "GICD_IPRIORITYR109,Interrupt Priority Register 109" hgroup.long 0x5B8++0x03 hide.long 0x00 "GICD_IPRIORITYR110,Interrupt Priority Register 110" hgroup.long 0x5BC++0x03 hide.long 0x00 "GICD_IPRIORITYR111,Interrupt Priority Register 111" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0E) group.long 0x5C0++0x03 line.long 0x00 "GICD_IPRIORITYR112,Interrupt Priority Register 112" hexmask.long.byte 0x00 24.--31. 1. " INTID451 ,Interrupt ID451 Priority/Priority Byte Offset 451 " hexmask.long.byte 0x00 16.--23. 1. " INTID450 ,Interrupt ID450 Priority/Priority Byte Offset 450 " hexmask.long.byte 0x00 8.--15. 1. " INTID449 ,Interrupt ID449 Priority/Priority Byte Offset 449 " hexmask.long.byte 0x00 0.--7. 1. " INTID448 ,Interrupt ID448 Priority/Priority Byte Offset 448 " group.long 0x5C4++0x03 line.long 0x00 "GICD_IPRIORITYR113,Interrupt Priority Register 113" hexmask.long.byte 0x00 24.--31. 1. " INTID455 ,Interrupt ID455 Priority/Priority Byte Offset 455 " hexmask.long.byte 0x00 16.--23. 1. " INTID454 ,Interrupt ID454 Priority/Priority Byte Offset 454 " hexmask.long.byte 0x00 8.--15. 1. " INTID453 ,Interrupt ID453 Priority/Priority Byte Offset 453 " hexmask.long.byte 0x00 0.--7. 1. " INTID452 ,Interrupt ID452 Priority/Priority Byte Offset 452 " group.long 0x5C8++0x03 line.long 0x00 "GICD_IPRIORITYR114,Interrupt Priority Register 114" hexmask.long.byte 0x00 24.--31. 1. " INTID459 ,Interrupt ID459 Priority/Priority Byte Offset 459 " hexmask.long.byte 0x00 16.--23. 1. " INTID458 ,Interrupt ID458 Priority/Priority Byte Offset 458 " hexmask.long.byte 0x00 8.--15. 1. " INTID457 ,Interrupt ID457 Priority/Priority Byte Offset 457 " hexmask.long.byte 0x00 0.--7. 1. " INTID456 ,Interrupt ID456 Priority/Priority Byte Offset 456 " group.long 0x5CC++0x03 line.long 0x00 "GICD_IPRIORITYR115,Interrupt Priority Register 115" hexmask.long.byte 0x00 24.--31. 1. " INTID463 ,Interrupt ID463 Priority/Priority Byte Offset 463 " hexmask.long.byte 0x00 16.--23. 1. " INTID462 ,Interrupt ID462 Priority/Priority Byte Offset 462 " hexmask.long.byte 0x00 8.--15. 1. " INTID461 ,Interrupt ID461 Priority/Priority Byte Offset 461 " hexmask.long.byte 0x00 0.--7. 1. " INTID460 ,Interrupt ID460 Priority/Priority Byte Offset 460 " group.long 0x5D0++0x03 line.long 0x00 "GICD_IPRIORITYR116,Interrupt Priority Register 116" hexmask.long.byte 0x00 24.--31. 1. " INTID467 ,Interrupt ID467 Priority/Priority Byte Offset 467 " hexmask.long.byte 0x00 16.--23. 1. " INTID466 ,Interrupt ID466 Priority/Priority Byte Offset 466 " hexmask.long.byte 0x00 8.--15. 1. " INTID465 ,Interrupt ID465 Priority/Priority Byte Offset 465 " hexmask.long.byte 0x00 0.--7. 1. " INTID464 ,Interrupt ID464 Priority/Priority Byte Offset 464 " group.long 0x5D4++0x03 line.long 0x00 "GICD_IPRIORITYR117,Interrupt Priority Register 117" hexmask.long.byte 0x00 24.--31. 1. " INTID471 ,Interrupt ID471 Priority/Priority Byte Offset 471 " hexmask.long.byte 0x00 16.--23. 1. " INTID470 ,Interrupt ID470 Priority/Priority Byte Offset 470 " hexmask.long.byte 0x00 8.--15. 1. " INTID469 ,Interrupt ID469 Priority/Priority Byte Offset 469 " hexmask.long.byte 0x00 0.--7. 1. " INTID468 ,Interrupt ID468 Priority/Priority Byte Offset 468 " group.long 0x5D8++0x03 line.long 0x00 "GICD_IPRIORITYR118,Interrupt Priority Register 118" hexmask.long.byte 0x00 24.--31. 1. " INTID475 ,Interrupt ID475 Priority/Priority Byte Offset 475 " hexmask.long.byte 0x00 16.--23. 1. " INTID474 ,Interrupt ID474 Priority/Priority Byte Offset 474 " hexmask.long.byte 0x00 8.--15. 1. " INTID473 ,Interrupt ID473 Priority/Priority Byte Offset 473 " hexmask.long.byte 0x00 0.--7. 1. " INTID472 ,Interrupt ID472 Priority/Priority Byte Offset 472 " group.long 0x5DC++0x03 line.long 0x00 "GICD_IPRIORITYR119,Interrupt Priority Register 119" hexmask.long.byte 0x00 24.--31. 1. " INTID479 ,Interrupt ID479 Priority/Priority Byte Offset 479 " hexmask.long.byte 0x00 16.--23. 1. " INTID478 ,Interrupt ID478 Priority/Priority Byte Offset 478 " hexmask.long.byte 0x00 8.--15. 1. " INTID477 ,Interrupt ID477 Priority/Priority Byte Offset 477 " hexmask.long.byte 0x00 0.--7. 1. " INTID476 ,Interrupt ID476 Priority/Priority Byte Offset 476 " else hgroup.long 0x5C0++0x03 hide.long 0x00 "GICD_IPRIORITYR112,Interrupt Priority Register 112" hgroup.long 0x5C4++0x03 hide.long 0x00 "GICD_IPRIORITYR113,Interrupt Priority Register 113" hgroup.long 0x5C8++0x03 hide.long 0x00 "GICD_IPRIORITYR114,Interrupt Priority Register 114" hgroup.long 0x5CC++0x03 hide.long 0x00 "GICD_IPRIORITYR115,Interrupt Priority Register 115" hgroup.long 0x5D0++0x03 hide.long 0x00 "GICD_IPRIORITYR116,Interrupt Priority Register 116" hgroup.long 0x5D4++0x03 hide.long 0x00 "GICD_IPRIORITYR117,Interrupt Priority Register 117" hgroup.long 0x5D8++0x03 hide.long 0x00 "GICD_IPRIORITYR118,Interrupt Priority Register 118" hgroup.long 0x5DC++0x03 hide.long 0x00 "GICD_IPRIORITYR119,Interrupt Priority Register 119" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0F) group.long 0x5E0++0x03 line.long 0x00 "GICD_IPRIORITYR120,Interrupt Priority Register 120" hexmask.long.byte 0x00 24.--31. 1. " INTID483 ,Interrupt ID483 Priority/Priority Byte Offset 483 " hexmask.long.byte 0x00 16.--23. 1. " INTID482 ,Interrupt ID482 Priority/Priority Byte Offset 482 " hexmask.long.byte 0x00 8.--15. 1. " INTID481 ,Interrupt ID481 Priority/Priority Byte Offset 481 " hexmask.long.byte 0x00 0.--7. 1. " INTID480 ,Interrupt ID480 Priority/Priority Byte Offset 480 " group.long 0x5E4++0x03 line.long 0x00 "GICD_IPRIORITYR121,Interrupt Priority Register 121" hexmask.long.byte 0x00 24.--31. 1. " INTID487 ,Interrupt ID487 Priority/Priority Byte Offset 487 " hexmask.long.byte 0x00 16.--23. 1. " INTID486 ,Interrupt ID486 Priority/Priority Byte Offset 486 " hexmask.long.byte 0x00 8.--15. 1. " INTID485 ,Interrupt ID485 Priority/Priority Byte Offset 485 " hexmask.long.byte 0x00 0.--7. 1. " INTID484 ,Interrupt ID484 Priority/Priority Byte Offset 484 " group.long 0x5E8++0x03 line.long 0x00 "GICD_IPRIORITYR122,Interrupt Priority Register 122" hexmask.long.byte 0x00 24.--31. 1. " INTID491 ,Interrupt ID491 Priority/Priority Byte Offset 491 " hexmask.long.byte 0x00 16.--23. 1. " INTID490 ,Interrupt ID490 Priority/Priority Byte Offset 490 " hexmask.long.byte 0x00 8.--15. 1. " INTID489 ,Interrupt ID489 Priority/Priority Byte Offset 489 " hexmask.long.byte 0x00 0.--7. 1. " INTID488 ,Interrupt ID488 Priority/Priority Byte Offset 488 " group.long 0x5EC++0x03 line.long 0x00 "GICD_IPRIORITYR123,Interrupt Priority Register 123" hexmask.long.byte 0x00 24.--31. 1. " INTID495 ,Interrupt ID495 Priority/Priority Byte Offset 495 " hexmask.long.byte 0x00 16.--23. 1. " INTID494 ,Interrupt ID494 Priority/Priority Byte Offset 494 " hexmask.long.byte 0x00 8.--15. 1. " INTID493 ,Interrupt ID493 Priority/Priority Byte Offset 493 " hexmask.long.byte 0x00 0.--7. 1. " INTID492 ,Interrupt ID492 Priority/Priority Byte Offset 492 " group.long 0x5F0++0x03 line.long 0x00 "GICD_IPRIORITYR124,Interrupt Priority Register 124" hexmask.long.byte 0x00 24.--31. 1. " INTID499 ,Interrupt ID499 Priority/Priority Byte Offset 499 " hexmask.long.byte 0x00 16.--23. 1. " INTID498 ,Interrupt ID498 Priority/Priority Byte Offset 498 " hexmask.long.byte 0x00 8.--15. 1. " INTID497 ,Interrupt ID497 Priority/Priority Byte Offset 497 " hexmask.long.byte 0x00 0.--7. 1. " INTID496 ,Interrupt ID496 Priority/Priority Byte Offset 496 " group.long 0x5F4++0x03 line.long 0x00 "GICD_IPRIORITYR125,Interrupt Priority Register 125" hexmask.long.byte 0x00 24.--31. 1. " INTID503 ,Interrupt ID503 Priority/Priority Byte Offset 503 " hexmask.long.byte 0x00 16.--23. 1. " INTID502 ,Interrupt ID502 Priority/Priority Byte Offset 502 " hexmask.long.byte 0x00 8.--15. 1. " INTID501 ,Interrupt ID501 Priority/Priority Byte Offset 501 " hexmask.long.byte 0x00 0.--7. 1. " INTID500 ,Interrupt ID500 Priority/Priority Byte Offset 500 " group.long 0x5F8++0x03 line.long 0x00 "GICD_IPRIORITYR126,Interrupt Priority Register 126" hexmask.long.byte 0x00 24.--31. 1. " INTID507 ,Interrupt ID507 Priority/Priority Byte Offset 507 " hexmask.long.byte 0x00 16.--23. 1. " INTID506 ,Interrupt ID506 Priority/Priority Byte Offset 506 " hexmask.long.byte 0x00 8.--15. 1. " INTID505 ,Interrupt ID505 Priority/Priority Byte Offset 505 " hexmask.long.byte 0x00 0.--7. 1. " INTID504 ,Interrupt ID504 Priority/Priority Byte Offset 504 " group.long 0x5FC++0x03 line.long 0x00 "GICD_IPRIORITYR127,Interrupt Priority Register 127" hexmask.long.byte 0x00 24.--31. 1. " INTID511 ,Interrupt ID511 Priority/Priority Byte Offset 511 " hexmask.long.byte 0x00 16.--23. 1. " INTID510 ,Interrupt ID510 Priority/Priority Byte Offset 510 " hexmask.long.byte 0x00 8.--15. 1. " INTID509 ,Interrupt ID509 Priority/Priority Byte Offset 509 " hexmask.long.byte 0x00 0.--7. 1. " INTID508 ,Interrupt ID508 Priority/Priority Byte Offset 508 " else hgroup.long 0x5E0++0x03 hide.long 0x00 "GICD_IPRIORITYR120,Interrupt Priority Register 120" hgroup.long 0x5E4++0x03 hide.long 0x00 "GICD_IPRIORITYR121,Interrupt Priority Register 121" hgroup.long 0x5E8++0x03 hide.long 0x00 "GICD_IPRIORITYR122,Interrupt Priority Register 122" hgroup.long 0x5EC++0x03 hide.long 0x00 "GICD_IPRIORITYR123,Interrupt Priority Register 123" hgroup.long 0x5F0++0x03 hide.long 0x00 "GICD_IPRIORITYR124,Interrupt Priority Register 124" hgroup.long 0x5F4++0x03 hide.long 0x00 "GICD_IPRIORITYR125,Interrupt Priority Register 125" hgroup.long 0x5F8++0x03 hide.long 0x00 "GICD_IPRIORITYR126,Interrupt Priority Register 126" hgroup.long 0x5FC++0x03 hide.long 0x00 "GICD_IPRIORITYR127,Interrupt Priority Register 127" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10) group.long 0x600++0x03 line.long 0x00 "GICD_IPRIORITYR128,Interrupt Priority Register 128" hexmask.long.byte 0x00 24.--31. 1. " INTID515 ,Interrupt ID515 Priority/Priority Byte Offset 515 " hexmask.long.byte 0x00 16.--23. 1. " INTID514 ,Interrupt ID514 Priority/Priority Byte Offset 514 " hexmask.long.byte 0x00 8.--15. 1. " INTID513 ,Interrupt ID513 Priority/Priority Byte Offset 513 " hexmask.long.byte 0x00 0.--7. 1. " INTID512 ,Interrupt ID512 Priority/Priority Byte Offset 512 " group.long 0x604++0x03 line.long 0x00 "GICD_IPRIORITYR129,Interrupt Priority Register 129" hexmask.long.byte 0x00 24.--31. 1. " INTID519 ,Interrupt ID519 Priority/Priority Byte Offset 519 " hexmask.long.byte 0x00 16.--23. 1. " INTID518 ,Interrupt ID518 Priority/Priority Byte Offset 518 " hexmask.long.byte 0x00 8.--15. 1. " INTID517 ,Interrupt ID517 Priority/Priority Byte Offset 517 " hexmask.long.byte 0x00 0.--7. 1. " INTID516 ,Interrupt ID516 Priority/Priority Byte Offset 516 " group.long 0x608++0x03 line.long 0x00 "GICD_IPRIORITYR130,Interrupt Priority Register 130" hexmask.long.byte 0x00 24.--31. 1. " INTID523 ,Interrupt ID523 Priority/Priority Byte Offset 523 " hexmask.long.byte 0x00 16.--23. 1. " INTID522 ,Interrupt ID522 Priority/Priority Byte Offset 522 " hexmask.long.byte 0x00 8.--15. 1. " INTID521 ,Interrupt ID521 Priority/Priority Byte Offset 521 " hexmask.long.byte 0x00 0.--7. 1. " INTID520 ,Interrupt ID520 Priority/Priority Byte Offset 520 " group.long 0x60C++0x03 line.long 0x00 "GICD_IPRIORITYR131,Interrupt Priority Register 131" hexmask.long.byte 0x00 24.--31. 1. " INTID527 ,Interrupt ID527 Priority/Priority Byte Offset 527 " hexmask.long.byte 0x00 16.--23. 1. " INTID526 ,Interrupt ID526 Priority/Priority Byte Offset 526 " hexmask.long.byte 0x00 8.--15. 1. " INTID525 ,Interrupt ID525 Priority/Priority Byte Offset 525 " hexmask.long.byte 0x00 0.--7. 1. " INTID524 ,Interrupt ID524 Priority/Priority Byte Offset 524 " group.long 0x610++0x03 line.long 0x00 "GICD_IPRIORITYR132,Interrupt Priority Register 132" hexmask.long.byte 0x00 24.--31. 1. " INTID531 ,Interrupt ID531 Priority/Priority Byte Offset 531 " hexmask.long.byte 0x00 16.--23. 1. " INTID530 ,Interrupt ID530 Priority/Priority Byte Offset 530 " hexmask.long.byte 0x00 8.--15. 1. " INTID529 ,Interrupt ID529 Priority/Priority Byte Offset 529 " hexmask.long.byte 0x00 0.--7. 1. " INTID528 ,Interrupt ID528 Priority/Priority Byte Offset 528 " group.long 0x614++0x03 line.long 0x00 "GICD_IPRIORITYR133,Interrupt Priority Register 133" hexmask.long.byte 0x00 24.--31. 1. " INTID535 ,Interrupt ID535 Priority/Priority Byte Offset 535 " hexmask.long.byte 0x00 16.--23. 1. " INTID534 ,Interrupt ID534 Priority/Priority Byte Offset 534 " hexmask.long.byte 0x00 8.--15. 1. " INTID533 ,Interrupt ID533 Priority/Priority Byte Offset 533 " hexmask.long.byte 0x00 0.--7. 1. " INTID532 ,Interrupt ID532 Priority/Priority Byte Offset 532 " group.long 0x618++0x03 line.long 0x00 "GICD_IPRIORITYR134,Interrupt Priority Register 134" hexmask.long.byte 0x00 24.--31. 1. " INTID539 ,Interrupt ID539 Priority/Priority Byte Offset 539 " hexmask.long.byte 0x00 16.--23. 1. " INTID538 ,Interrupt ID538 Priority/Priority Byte Offset 538 " hexmask.long.byte 0x00 8.--15. 1. " INTID537 ,Interrupt ID537 Priority/Priority Byte Offset 537 " hexmask.long.byte 0x00 0.--7. 1. " INTID536 ,Interrupt ID536 Priority/Priority Byte Offset 536 " group.long 0x61C++0x03 line.long 0x00 "GICD_IPRIORITYR135,Interrupt Priority Register 135" hexmask.long.byte 0x00 24.--31. 1. " INTID543 ,Interrupt ID543 Priority/Priority Byte Offset 543 " hexmask.long.byte 0x00 16.--23. 1. " INTID542 ,Interrupt ID542 Priority/Priority Byte Offset 542 " hexmask.long.byte 0x00 8.--15. 1. " INTID541 ,Interrupt ID541 Priority/Priority Byte Offset 541 " hexmask.long.byte 0x00 0.--7. 1. " INTID540 ,Interrupt ID540 Priority/Priority Byte Offset 540 " else hgroup.long 0x600++0x03 hide.long 0x00 "GICD_IPRIORITYR128,Interrupt Priority Register 128" hgroup.long 0x604++0x03 hide.long 0x00 "GICD_IPRIORITYR129,Interrupt Priority Register 129" hgroup.long 0x608++0x03 hide.long 0x00 "GICD_IPRIORITYR130,Interrupt Priority Register 130" hgroup.long 0x60C++0x03 hide.long 0x00 "GICD_IPRIORITYR131,Interrupt Priority Register 131" hgroup.long 0x610++0x03 hide.long 0x00 "GICD_IPRIORITYR132,Interrupt Priority Register 132" hgroup.long 0x614++0x03 hide.long 0x00 "GICD_IPRIORITYR133,Interrupt Priority Register 133" hgroup.long 0x618++0x03 hide.long 0x00 "GICD_IPRIORITYR134,Interrupt Priority Register 134" hgroup.long 0x61C++0x03 hide.long 0x00 "GICD_IPRIORITYR135,Interrupt Priority Register 135" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11) group.long 0x620++0x03 line.long 0x00 "GICD_IPRIORITYR136,Interrupt Priority Register 136" hexmask.long.byte 0x00 24.--31. 1. " INTID547 ,Interrupt ID547 Priority/Priority Byte Offset 547 " hexmask.long.byte 0x00 16.--23. 1. " INTID546 ,Interrupt ID546 Priority/Priority Byte Offset 546 " hexmask.long.byte 0x00 8.--15. 1. " INTID545 ,Interrupt ID545 Priority/Priority Byte Offset 545 " hexmask.long.byte 0x00 0.--7. 1. " INTID544 ,Interrupt ID544 Priority/Priority Byte Offset 544 " group.long 0x624++0x03 line.long 0x00 "GICD_IPRIORITYR137,Interrupt Priority Register 137" hexmask.long.byte 0x00 24.--31. 1. " INTID551 ,Interrupt ID551 Priority/Priority Byte Offset 551 " hexmask.long.byte 0x00 16.--23. 1. " INTID550 ,Interrupt ID550 Priority/Priority Byte Offset 550 " hexmask.long.byte 0x00 8.--15. 1. " INTID549 ,Interrupt ID549 Priority/Priority Byte Offset 549 " hexmask.long.byte 0x00 0.--7. 1. " INTID548 ,Interrupt ID548 Priority/Priority Byte Offset 548 " group.long 0x628++0x03 line.long 0x00 "GICD_IPRIORITYR138,Interrupt Priority Register 138" hexmask.long.byte 0x00 24.--31. 1. " INTID555 ,Interrupt ID555 Priority/Priority Byte Offset 555 " hexmask.long.byte 0x00 16.--23. 1. " INTID554 ,Interrupt ID554 Priority/Priority Byte Offset 554 " hexmask.long.byte 0x00 8.--15. 1. " INTID553 ,Interrupt ID553 Priority/Priority Byte Offset 553 " hexmask.long.byte 0x00 0.--7. 1. " INTID552 ,Interrupt ID552 Priority/Priority Byte Offset 552 " group.long 0x62C++0x03 line.long 0x00 "GICD_IPRIORITYR139,Interrupt Priority Register 139" hexmask.long.byte 0x00 24.--31. 1. " INTID559 ,Interrupt ID559 Priority/Priority Byte Offset 559 " hexmask.long.byte 0x00 16.--23. 1. " INTID558 ,Interrupt ID558 Priority/Priority Byte Offset 558 " hexmask.long.byte 0x00 8.--15. 1. " INTID557 ,Interrupt ID557 Priority/Priority Byte Offset 557 " hexmask.long.byte 0x00 0.--7. 1. " INTID556 ,Interrupt ID556 Priority/Priority Byte Offset 556 " group.long 0x630++0x03 line.long 0x00 "GICD_IPRIORITYR140,Interrupt Priority Register 140" hexmask.long.byte 0x00 24.--31. 1. " INTID563 ,Interrupt ID563 Priority/Priority Byte Offset 563 " hexmask.long.byte 0x00 16.--23. 1. " INTID562 ,Interrupt ID562 Priority/Priority Byte Offset 562 " hexmask.long.byte 0x00 8.--15. 1. " INTID561 ,Interrupt ID561 Priority/Priority Byte Offset 561 " hexmask.long.byte 0x00 0.--7. 1. " INTID560 ,Interrupt ID560 Priority/Priority Byte Offset 560 " group.long 0x634++0x03 line.long 0x00 "GICD_IPRIORITYR141,Interrupt Priority Register 141" hexmask.long.byte 0x00 24.--31. 1. " INTID567 ,Interrupt ID567 Priority/Priority Byte Offset 567 " hexmask.long.byte 0x00 16.--23. 1. " INTID566 ,Interrupt ID566 Priority/Priority Byte Offset 566 " hexmask.long.byte 0x00 8.--15. 1. " INTID565 ,Interrupt ID565 Priority/Priority Byte Offset 565 " hexmask.long.byte 0x00 0.--7. 1. " INTID564 ,Interrupt ID564 Priority/Priority Byte Offset 564 " group.long 0x638++0x03 line.long 0x00 "GICD_IPRIORITYR142,Interrupt Priority Register 142" hexmask.long.byte 0x00 24.--31. 1. " INTID571 ,Interrupt ID571 Priority/Priority Byte Offset 571 " hexmask.long.byte 0x00 16.--23. 1. " INTID570 ,Interrupt ID570 Priority/Priority Byte Offset 570 " hexmask.long.byte 0x00 8.--15. 1. " INTID569 ,Interrupt ID569 Priority/Priority Byte Offset 569 " hexmask.long.byte 0x00 0.--7. 1. " INTID568 ,Interrupt ID568 Priority/Priority Byte Offset 568 " group.long 0x63C++0x03 line.long 0x00 "GICD_IPRIORITYR143,Interrupt Priority Register 143" hexmask.long.byte 0x00 24.--31. 1. " INTID575 ,Interrupt ID575 Priority/Priority Byte Offset 575 " hexmask.long.byte 0x00 16.--23. 1. " INTID574 ,Interrupt ID574 Priority/Priority Byte Offset 574 " hexmask.long.byte 0x00 8.--15. 1. " INTID573 ,Interrupt ID573 Priority/Priority Byte Offset 573 " hexmask.long.byte 0x00 0.--7. 1. " INTID572 ,Interrupt ID572 Priority/Priority Byte Offset 572 " else hgroup.long 0x620++0x03 hide.long 0x00 "GICD_IPRIORITYR136,Interrupt Priority Register 136" hgroup.long 0x624++0x03 hide.long 0x00 "GICD_IPRIORITYR137,Interrupt Priority Register 137" hgroup.long 0x628++0x03 hide.long 0x00 "GICD_IPRIORITYR138,Interrupt Priority Register 138" hgroup.long 0x62C++0x03 hide.long 0x00 "GICD_IPRIORITYR139,Interrupt Priority Register 139" hgroup.long 0x630++0x03 hide.long 0x00 "GICD_IPRIORITYR140,Interrupt Priority Register 140" hgroup.long 0x634++0x03 hide.long 0x00 "GICD_IPRIORITYR141,Interrupt Priority Register 141" hgroup.long 0x638++0x03 hide.long 0x00 "GICD_IPRIORITYR142,Interrupt Priority Register 142" hgroup.long 0x63C++0x03 hide.long 0x00 "GICD_IPRIORITYR143,Interrupt Priority Register 143" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12) group.long 0x640++0x03 line.long 0x00 "GICD_IPRIORITYR144,Interrupt Priority Register 144" hexmask.long.byte 0x00 24.--31. 1. " INTID579 ,Interrupt ID579 Priority/Priority Byte Offset 579 " hexmask.long.byte 0x00 16.--23. 1. " INTID578 ,Interrupt ID578 Priority/Priority Byte Offset 578 " hexmask.long.byte 0x00 8.--15. 1. " INTID577 ,Interrupt ID577 Priority/Priority Byte Offset 577 " hexmask.long.byte 0x00 0.--7. 1. " INTID576 ,Interrupt ID576 Priority/Priority Byte Offset 576 " group.long 0x644++0x03 line.long 0x00 "GICD_IPRIORITYR145,Interrupt Priority Register 145" hexmask.long.byte 0x00 24.--31. 1. " INTID583 ,Interrupt ID583 Priority/Priority Byte Offset 583 " hexmask.long.byte 0x00 16.--23. 1. " INTID582 ,Interrupt ID582 Priority/Priority Byte Offset 582 " hexmask.long.byte 0x00 8.--15. 1. " INTID581 ,Interrupt ID581 Priority/Priority Byte Offset 581 " hexmask.long.byte 0x00 0.--7. 1. " INTID580 ,Interrupt ID580 Priority/Priority Byte Offset 580 " group.long 0x648++0x03 line.long 0x00 "GICD_IPRIORITYR146,Interrupt Priority Register 146" hexmask.long.byte 0x00 24.--31. 1. " INTID587 ,Interrupt ID587 Priority/Priority Byte Offset 587 " hexmask.long.byte 0x00 16.--23. 1. " INTID586 ,Interrupt ID586 Priority/Priority Byte Offset 586 " hexmask.long.byte 0x00 8.--15. 1. " INTID585 ,Interrupt ID585 Priority/Priority Byte Offset 585 " hexmask.long.byte 0x00 0.--7. 1. " INTID584 ,Interrupt ID584 Priority/Priority Byte Offset 584 " group.long 0x64C++0x03 line.long 0x00 "GICD_IPRIORITYR147,Interrupt Priority Register 147" hexmask.long.byte 0x00 24.--31. 1. " INTID591 ,Interrupt ID591 Priority/Priority Byte Offset 591 " hexmask.long.byte 0x00 16.--23. 1. " INTID590 ,Interrupt ID590 Priority/Priority Byte Offset 590 " hexmask.long.byte 0x00 8.--15. 1. " INTID589 ,Interrupt ID589 Priority/Priority Byte Offset 589 " hexmask.long.byte 0x00 0.--7. 1. " INTID588 ,Interrupt ID588 Priority/Priority Byte Offset 588 " group.long 0x650++0x03 line.long 0x00 "GICD_IPRIORITYR148,Interrupt Priority Register 148" hexmask.long.byte 0x00 24.--31. 1. " INTID595 ,Interrupt ID595 Priority/Priority Byte Offset 595 " hexmask.long.byte 0x00 16.--23. 1. " INTID594 ,Interrupt ID594 Priority/Priority Byte Offset 594 " hexmask.long.byte 0x00 8.--15. 1. " INTID593 ,Interrupt ID593 Priority/Priority Byte Offset 593 " hexmask.long.byte 0x00 0.--7. 1. " INTID592 ,Interrupt ID592 Priority/Priority Byte Offset 592 " group.long 0x654++0x03 line.long 0x00 "GICD_IPRIORITYR149,Interrupt Priority Register 149" hexmask.long.byte 0x00 24.--31. 1. " INTID599 ,Interrupt ID599 Priority/Priority Byte Offset 599 " hexmask.long.byte 0x00 16.--23. 1. " INTID598 ,Interrupt ID598 Priority/Priority Byte Offset 598 " hexmask.long.byte 0x00 8.--15. 1. " INTID597 ,Interrupt ID597 Priority/Priority Byte Offset 597 " hexmask.long.byte 0x00 0.--7. 1. " INTID596 ,Interrupt ID596 Priority/Priority Byte Offset 596 " group.long 0x658++0x03 line.long 0x00 "GICD_IPRIORITYR150,Interrupt Priority Register 150" hexmask.long.byte 0x00 24.--31. 1. " INTID603 ,Interrupt ID603 Priority/Priority Byte Offset 603 " hexmask.long.byte 0x00 16.--23. 1. " INTID602 ,Interrupt ID602 Priority/Priority Byte Offset 602 " hexmask.long.byte 0x00 8.--15. 1. " INTID601 ,Interrupt ID601 Priority/Priority Byte Offset 601 " hexmask.long.byte 0x00 0.--7. 1. " INTID600 ,Interrupt ID600 Priority/Priority Byte Offset 600 " group.long 0x65C++0x03 line.long 0x00 "GICD_IPRIORITYR151,Interrupt Priority Register 151" hexmask.long.byte 0x00 24.--31. 1. " INTID607 ,Interrupt ID607 Priority/Priority Byte Offset 607 " hexmask.long.byte 0x00 16.--23. 1. " INTID606 ,Interrupt ID606 Priority/Priority Byte Offset 606 " hexmask.long.byte 0x00 8.--15. 1. " INTID605 ,Interrupt ID605 Priority/Priority Byte Offset 605 " hexmask.long.byte 0x00 0.--7. 1. " INTID604 ,Interrupt ID604 Priority/Priority Byte Offset 604 " else hgroup.long 0x640++0x03 hide.long 0x00 "GICD_IPRIORITYR144,Interrupt Priority Register 144" hgroup.long 0x644++0x03 hide.long 0x00 "GICD_IPRIORITYR145,Interrupt Priority Register 145" hgroup.long 0x648++0x03 hide.long 0x00 "GICD_IPRIORITYR146,Interrupt Priority Register 146" hgroup.long 0x64C++0x03 hide.long 0x00 "GICD_IPRIORITYR147,Interrupt Priority Register 147" hgroup.long 0x650++0x03 hide.long 0x00 "GICD_IPRIORITYR148,Interrupt Priority Register 148" hgroup.long 0x654++0x03 hide.long 0x00 "GICD_IPRIORITYR149,Interrupt Priority Register 149" hgroup.long 0x658++0x03 hide.long 0x00 "GICD_IPRIORITYR150,Interrupt Priority Register 150" hgroup.long 0x65C++0x03 hide.long 0x00 "GICD_IPRIORITYR151,Interrupt Priority Register 151" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13) group.long 0x660++0x03 line.long 0x00 "GICD_IPRIORITYR152,Interrupt Priority Register 152" hexmask.long.byte 0x00 24.--31. 1. " INTID611 ,Interrupt ID611 Priority/Priority Byte Offset 611 " hexmask.long.byte 0x00 16.--23. 1. " INTID610 ,Interrupt ID610 Priority/Priority Byte Offset 610 " hexmask.long.byte 0x00 8.--15. 1. " INTID609 ,Interrupt ID609 Priority/Priority Byte Offset 609 " hexmask.long.byte 0x00 0.--7. 1. " INTID608 ,Interrupt ID608 Priority/Priority Byte Offset 608 " group.long 0x664++0x03 line.long 0x00 "GICD_IPRIORITYR153,Interrupt Priority Register 153" hexmask.long.byte 0x00 24.--31. 1. " INTID615 ,Interrupt ID615 Priority/Priority Byte Offset 615 " hexmask.long.byte 0x00 16.--23. 1. " INTID614 ,Interrupt ID614 Priority/Priority Byte Offset 614 " hexmask.long.byte 0x00 8.--15. 1. " INTID613 ,Interrupt ID613 Priority/Priority Byte Offset 613 " hexmask.long.byte 0x00 0.--7. 1. " INTID612 ,Interrupt ID612 Priority/Priority Byte Offset 612 " group.long 0x668++0x03 line.long 0x00 "GICD_IPRIORITYR154,Interrupt Priority Register 154" hexmask.long.byte 0x00 24.--31. 1. " INTID619 ,Interrupt ID619 Priority/Priority Byte Offset 619 " hexmask.long.byte 0x00 16.--23. 1. " INTID618 ,Interrupt ID618 Priority/Priority Byte Offset 618 " hexmask.long.byte 0x00 8.--15. 1. " INTID617 ,Interrupt ID617 Priority/Priority Byte Offset 617 " hexmask.long.byte 0x00 0.--7. 1. " INTID616 ,Interrupt ID616 Priority/Priority Byte Offset 616 " group.long 0x66C++0x03 line.long 0x00 "GICD_IPRIORITYR155,Interrupt Priority Register 155" hexmask.long.byte 0x00 24.--31. 1. " INTID623 ,Interrupt ID623 Priority/Priority Byte Offset 623 " hexmask.long.byte 0x00 16.--23. 1. " INTID622 ,Interrupt ID622 Priority/Priority Byte Offset 622 " hexmask.long.byte 0x00 8.--15. 1. " INTID621 ,Interrupt ID621 Priority/Priority Byte Offset 621 " hexmask.long.byte 0x00 0.--7. 1. " INTID620 ,Interrupt ID620 Priority/Priority Byte Offset 620 " group.long 0x670++0x03 line.long 0x00 "GICD_IPRIORITYR156,Interrupt Priority Register 156" hexmask.long.byte 0x00 24.--31. 1. " INTID627 ,Interrupt ID627 Priority/Priority Byte Offset 627 " hexmask.long.byte 0x00 16.--23. 1. " INTID626 ,Interrupt ID626 Priority/Priority Byte Offset 626 " hexmask.long.byte 0x00 8.--15. 1. " INTID625 ,Interrupt ID625 Priority/Priority Byte Offset 625 " hexmask.long.byte 0x00 0.--7. 1. " INTID624 ,Interrupt ID624 Priority/Priority Byte Offset 624 " group.long 0x674++0x03 line.long 0x00 "GICD_IPRIORITYR157,Interrupt Priority Register 157" hexmask.long.byte 0x00 24.--31. 1. " INTID631 ,Interrupt ID631 Priority/Priority Byte Offset 631 " hexmask.long.byte 0x00 16.--23. 1. " INTID630 ,Interrupt ID630 Priority/Priority Byte Offset 630 " hexmask.long.byte 0x00 8.--15. 1. " INTID629 ,Interrupt ID629 Priority/Priority Byte Offset 629 " hexmask.long.byte 0x00 0.--7. 1. " INTID628 ,Interrupt ID628 Priority/Priority Byte Offset 628 " group.long 0x678++0x03 line.long 0x00 "GICD_IPRIORITYR158,Interrupt Priority Register 158" hexmask.long.byte 0x00 24.--31. 1. " INTID635 ,Interrupt ID635 Priority/Priority Byte Offset 635 " hexmask.long.byte 0x00 16.--23. 1. " INTID634 ,Interrupt ID634 Priority/Priority Byte Offset 634 " hexmask.long.byte 0x00 8.--15. 1. " INTID633 ,Interrupt ID633 Priority/Priority Byte Offset 633 " hexmask.long.byte 0x00 0.--7. 1. " INTID632 ,Interrupt ID632 Priority/Priority Byte Offset 632 " group.long 0x67C++0x03 line.long 0x00 "GICD_IPRIORITYR159,Interrupt Priority Register 159" hexmask.long.byte 0x00 24.--31. 1. " INTID639 ,Interrupt ID639 Priority/Priority Byte Offset 639 " hexmask.long.byte 0x00 16.--23. 1. " INTID638 ,Interrupt ID638 Priority/Priority Byte Offset 638 " hexmask.long.byte 0x00 8.--15. 1. " INTID637 ,Interrupt ID637 Priority/Priority Byte Offset 637 " hexmask.long.byte 0x00 0.--7. 1. " INTID636 ,Interrupt ID636 Priority/Priority Byte Offset 636 " else hgroup.long 0x660++0x03 hide.long 0x00 "GICD_IPRIORITYR152,Interrupt Priority Register 152" hgroup.long 0x664++0x03 hide.long 0x00 "GICD_IPRIORITYR153,Interrupt Priority Register 153" hgroup.long 0x668++0x03 hide.long 0x00 "GICD_IPRIORITYR154,Interrupt Priority Register 154" hgroup.long 0x66C++0x03 hide.long 0x00 "GICD_IPRIORITYR155,Interrupt Priority Register 155" hgroup.long 0x670++0x03 hide.long 0x00 "GICD_IPRIORITYR156,Interrupt Priority Register 156" hgroup.long 0x674++0x03 hide.long 0x00 "GICD_IPRIORITYR157,Interrupt Priority Register 157" hgroup.long 0x678++0x03 hide.long 0x00 "GICD_IPRIORITYR158,Interrupt Priority Register 158" hgroup.long 0x67C++0x03 hide.long 0x00 "GICD_IPRIORITYR159,Interrupt Priority Register 159" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14) group.long 0x680++0x03 line.long 0x00 "GICD_IPRIORITYR160,Interrupt Priority Register 160" hexmask.long.byte 0x00 24.--31. 1. " INTID643 ,Interrupt ID643 Priority/Priority Byte Offset 643 " hexmask.long.byte 0x00 16.--23. 1. " INTID642 ,Interrupt ID642 Priority/Priority Byte Offset 642 " hexmask.long.byte 0x00 8.--15. 1. " INTID641 ,Interrupt ID641 Priority/Priority Byte Offset 641 " hexmask.long.byte 0x00 0.--7. 1. " INTID640 ,Interrupt ID640 Priority/Priority Byte Offset 640 " group.long 0x684++0x03 line.long 0x00 "GICD_IPRIORITYR161,Interrupt Priority Register 161" hexmask.long.byte 0x00 24.--31. 1. " INTID647 ,Interrupt ID647 Priority/Priority Byte Offset 647 " hexmask.long.byte 0x00 16.--23. 1. " INTID646 ,Interrupt ID646 Priority/Priority Byte Offset 646 " hexmask.long.byte 0x00 8.--15. 1. " INTID645 ,Interrupt ID645 Priority/Priority Byte Offset 645 " hexmask.long.byte 0x00 0.--7. 1. " INTID644 ,Interrupt ID644 Priority/Priority Byte Offset 644 " group.long 0x688++0x03 line.long 0x00 "GICD_IPRIORITYR162,Interrupt Priority Register 162" hexmask.long.byte 0x00 24.--31. 1. " INTID651 ,Interrupt ID651 Priority/Priority Byte Offset 651 " hexmask.long.byte 0x00 16.--23. 1. " INTID650 ,Interrupt ID650 Priority/Priority Byte Offset 650 " hexmask.long.byte 0x00 8.--15. 1. " INTID649 ,Interrupt ID649 Priority/Priority Byte Offset 649 " hexmask.long.byte 0x00 0.--7. 1. " INTID648 ,Interrupt ID648 Priority/Priority Byte Offset 648 " group.long 0x68C++0x03 line.long 0x00 "GICD_IPRIORITYR163,Interrupt Priority Register 163" hexmask.long.byte 0x00 24.--31. 1. " INTID655 ,Interrupt ID655 Priority/Priority Byte Offset 655 " hexmask.long.byte 0x00 16.--23. 1. " INTID654 ,Interrupt ID654 Priority/Priority Byte Offset 654 " hexmask.long.byte 0x00 8.--15. 1. " INTID653 ,Interrupt ID653 Priority/Priority Byte Offset 653 " hexmask.long.byte 0x00 0.--7. 1. " INTID652 ,Interrupt ID652 Priority/Priority Byte Offset 652 " group.long 0x690++0x03 line.long 0x00 "GICD_IPRIORITYR164,Interrupt Priority Register 164" hexmask.long.byte 0x00 24.--31. 1. " INTID659 ,Interrupt ID659 Priority/Priority Byte Offset 659 " hexmask.long.byte 0x00 16.--23. 1. " INTID658 ,Interrupt ID658 Priority/Priority Byte Offset 658 " hexmask.long.byte 0x00 8.--15. 1. " INTID657 ,Interrupt ID657 Priority/Priority Byte Offset 657 " hexmask.long.byte 0x00 0.--7. 1. " INTID656 ,Interrupt ID656 Priority/Priority Byte Offset 656 " group.long 0x694++0x03 line.long 0x00 "GICD_IPRIORITYR165,Interrupt Priority Register 165" hexmask.long.byte 0x00 24.--31. 1. " INTID663 ,Interrupt ID663 Priority/Priority Byte Offset 663 " hexmask.long.byte 0x00 16.--23. 1. " INTID662 ,Interrupt ID662 Priority/Priority Byte Offset 662 " hexmask.long.byte 0x00 8.--15. 1. " INTID661 ,Interrupt ID661 Priority/Priority Byte Offset 661 " hexmask.long.byte 0x00 0.--7. 1. " INTID660 ,Interrupt ID660 Priority/Priority Byte Offset 660 " group.long 0x698++0x03 line.long 0x00 "GICD_IPRIORITYR166,Interrupt Priority Register 166" hexmask.long.byte 0x00 24.--31. 1. " INTID667 ,Interrupt ID667 Priority/Priority Byte Offset 667 " hexmask.long.byte 0x00 16.--23. 1. " INTID666 ,Interrupt ID666 Priority/Priority Byte Offset 666 " hexmask.long.byte 0x00 8.--15. 1. " INTID665 ,Interrupt ID665 Priority/Priority Byte Offset 665 " hexmask.long.byte 0x00 0.--7. 1. " INTID664 ,Interrupt ID664 Priority/Priority Byte Offset 664 " group.long 0x69C++0x03 line.long 0x00 "GICD_IPRIORITYR167,Interrupt Priority Register 167" hexmask.long.byte 0x00 24.--31. 1. " INTID671 ,Interrupt ID671 Priority/Priority Byte Offset 671 " hexmask.long.byte 0x00 16.--23. 1. " INTID670 ,Interrupt ID670 Priority/Priority Byte Offset 670 " hexmask.long.byte 0x00 8.--15. 1. " INTID669 ,Interrupt ID669 Priority/Priority Byte Offset 669 " hexmask.long.byte 0x00 0.--7. 1. " INTID668 ,Interrupt ID668 Priority/Priority Byte Offset 668 " else hgroup.long 0x680++0x03 hide.long 0x00 "GICD_IPRIORITYR160,Interrupt Priority Register 160" hgroup.long 0x684++0x03 hide.long 0x00 "GICD_IPRIORITYR161,Interrupt Priority Register 161" hgroup.long 0x688++0x03 hide.long 0x00 "GICD_IPRIORITYR162,Interrupt Priority Register 162" hgroup.long 0x68C++0x03 hide.long 0x00 "GICD_IPRIORITYR163,Interrupt Priority Register 163" hgroup.long 0x690++0x03 hide.long 0x00 "GICD_IPRIORITYR164,Interrupt Priority Register 164" hgroup.long 0x694++0x03 hide.long 0x00 "GICD_IPRIORITYR165,Interrupt Priority Register 165" hgroup.long 0x698++0x03 hide.long 0x00 "GICD_IPRIORITYR166,Interrupt Priority Register 166" hgroup.long 0x69C++0x03 hide.long 0x00 "GICD_IPRIORITYR167,Interrupt Priority Register 167" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15) group.long 0x6A0++0x03 line.long 0x00 "GICD_IPRIORITYR168,Interrupt Priority Register 168" hexmask.long.byte 0x00 24.--31. 1. " INTID675 ,Interrupt ID675 Priority/Priority Byte Offset 675 " hexmask.long.byte 0x00 16.--23. 1. " INTID674 ,Interrupt ID674 Priority/Priority Byte Offset 674 " hexmask.long.byte 0x00 8.--15. 1. " INTID673 ,Interrupt ID673 Priority/Priority Byte Offset 673 " hexmask.long.byte 0x00 0.--7. 1. " INTID672 ,Interrupt ID672 Priority/Priority Byte Offset 672 " group.long 0x6A4++0x03 line.long 0x00 "GICD_IPRIORITYR169,Interrupt Priority Register 169" hexmask.long.byte 0x00 24.--31. 1. " INTID679 ,Interrupt ID679 Priority/Priority Byte Offset 679 " hexmask.long.byte 0x00 16.--23. 1. " INTID678 ,Interrupt ID678 Priority/Priority Byte Offset 678 " hexmask.long.byte 0x00 8.--15. 1. " INTID677 ,Interrupt ID677 Priority/Priority Byte Offset 677 " hexmask.long.byte 0x00 0.--7. 1. " INTID676 ,Interrupt ID676 Priority/Priority Byte Offset 676 " group.long 0x6A8++0x03 line.long 0x00 "GICD_IPRIORITYR170,Interrupt Priority Register 170" hexmask.long.byte 0x00 24.--31. 1. " INTID683 ,Interrupt ID683 Priority/Priority Byte Offset 683 " hexmask.long.byte 0x00 16.--23. 1. " INTID682 ,Interrupt ID682 Priority/Priority Byte Offset 682 " hexmask.long.byte 0x00 8.--15. 1. " INTID681 ,Interrupt ID681 Priority/Priority Byte Offset 681 " hexmask.long.byte 0x00 0.--7. 1. " INTID680 ,Interrupt ID680 Priority/Priority Byte Offset 680 " group.long 0x6AC++0x03 line.long 0x00 "GICD_IPRIORITYR171,Interrupt Priority Register 171" hexmask.long.byte 0x00 24.--31. 1. " INTID687 ,Interrupt ID687 Priority/Priority Byte Offset 687 " hexmask.long.byte 0x00 16.--23. 1. " INTID686 ,Interrupt ID686 Priority/Priority Byte Offset 686 " hexmask.long.byte 0x00 8.--15. 1. " INTID685 ,Interrupt ID685 Priority/Priority Byte Offset 685 " hexmask.long.byte 0x00 0.--7. 1. " INTID684 ,Interrupt ID684 Priority/Priority Byte Offset 684 " group.long 0x6B0++0x03 line.long 0x00 "GICD_IPRIORITYR172,Interrupt Priority Register 172" hexmask.long.byte 0x00 24.--31. 1. " INTID691 ,Interrupt ID691 Priority/Priority Byte Offset 691 " hexmask.long.byte 0x00 16.--23. 1. " INTID690 ,Interrupt ID690 Priority/Priority Byte Offset 690 " hexmask.long.byte 0x00 8.--15. 1. " INTID689 ,Interrupt ID689 Priority/Priority Byte Offset 689 " hexmask.long.byte 0x00 0.--7. 1. " INTID688 ,Interrupt ID688 Priority/Priority Byte Offset 688 " group.long 0x6B4++0x03 line.long 0x00 "GICD_IPRIORITYR173,Interrupt Priority Register 173" hexmask.long.byte 0x00 24.--31. 1. " INTID695 ,Interrupt ID695 Priority/Priority Byte Offset 695 " hexmask.long.byte 0x00 16.--23. 1. " INTID694 ,Interrupt ID694 Priority/Priority Byte Offset 694 " hexmask.long.byte 0x00 8.--15. 1. " INTID693 ,Interrupt ID693 Priority/Priority Byte Offset 693 " hexmask.long.byte 0x00 0.--7. 1. " INTID692 ,Interrupt ID692 Priority/Priority Byte Offset 692 " group.long 0x6B8++0x03 line.long 0x00 "GICD_IPRIORITYR174,Interrupt Priority Register 174" hexmask.long.byte 0x00 24.--31. 1. " INTID699 ,Interrupt ID699 Priority/Priority Byte Offset 699 " hexmask.long.byte 0x00 16.--23. 1. " INTID698 ,Interrupt ID698 Priority/Priority Byte Offset 698 " hexmask.long.byte 0x00 8.--15. 1. " INTID697 ,Interrupt ID697 Priority/Priority Byte Offset 697 " hexmask.long.byte 0x00 0.--7. 1. " INTID696 ,Interrupt ID696 Priority/Priority Byte Offset 696 " group.long 0x6BC++0x03 line.long 0x00 "GICD_IPRIORITYR175,Interrupt Priority Register 175" hexmask.long.byte 0x00 24.--31. 1. " INTID703 ,Interrupt ID703 Priority/Priority Byte Offset 703 " hexmask.long.byte 0x00 16.--23. 1. " INTID702 ,Interrupt ID702 Priority/Priority Byte Offset 702 " hexmask.long.byte 0x00 8.--15. 1. " INTID701 ,Interrupt ID701 Priority/Priority Byte Offset 701 " hexmask.long.byte 0x00 0.--7. 1. " INTID700 ,Interrupt ID700 Priority/Priority Byte Offset 700 " else hgroup.long 0x6A0++0x03 hide.long 0x00 "GICD_IPRIORITYR168,Interrupt Priority Register 168" hgroup.long 0x6A4++0x03 hide.long 0x00 "GICD_IPRIORITYR169,Interrupt Priority Register 169" hgroup.long 0x6A8++0x03 hide.long 0x00 "GICD_IPRIORITYR170,Interrupt Priority Register 170" hgroup.long 0x6AC++0x03 hide.long 0x00 "GICD_IPRIORITYR171,Interrupt Priority Register 171" hgroup.long 0x6B0++0x03 hide.long 0x00 "GICD_IPRIORITYR172,Interrupt Priority Register 172" hgroup.long 0x6B4++0x03 hide.long 0x00 "GICD_IPRIORITYR173,Interrupt Priority Register 173" hgroup.long 0x6B8++0x03 hide.long 0x00 "GICD_IPRIORITYR174,Interrupt Priority Register 174" hgroup.long 0x6BC++0x03 hide.long 0x00 "GICD_IPRIORITYR175,Interrupt Priority Register 175" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16) group.long 0x6C0++0x03 line.long 0x00 "GICD_IPRIORITYR176,Interrupt Priority Register 176" hexmask.long.byte 0x00 24.--31. 1. " INTID707 ,Interrupt ID707 Priority/Priority Byte Offset 707 " hexmask.long.byte 0x00 16.--23. 1. " INTID706 ,Interrupt ID706 Priority/Priority Byte Offset 706 " hexmask.long.byte 0x00 8.--15. 1. " INTID705 ,Interrupt ID705 Priority/Priority Byte Offset 705 " hexmask.long.byte 0x00 0.--7. 1. " INTID704 ,Interrupt ID704 Priority/Priority Byte Offset 704 " group.long 0x6C4++0x03 line.long 0x00 "GICD_IPRIORITYR177,Interrupt Priority Register 177" hexmask.long.byte 0x00 24.--31. 1. " INTID711 ,Interrupt ID711 Priority/Priority Byte Offset 711 " hexmask.long.byte 0x00 16.--23. 1. " INTID710 ,Interrupt ID710 Priority/Priority Byte Offset 710 " hexmask.long.byte 0x00 8.--15. 1. " INTID709 ,Interrupt ID709 Priority/Priority Byte Offset 709 " hexmask.long.byte 0x00 0.--7. 1. " INTID708 ,Interrupt ID708 Priority/Priority Byte Offset 708 " group.long 0x6C8++0x03 line.long 0x00 "GICD_IPRIORITYR178,Interrupt Priority Register 178" hexmask.long.byte 0x00 24.--31. 1. " INTID715 ,Interrupt ID715 Priority/Priority Byte Offset 715 " hexmask.long.byte 0x00 16.--23. 1. " INTID714 ,Interrupt ID714 Priority/Priority Byte Offset 714 " hexmask.long.byte 0x00 8.--15. 1. " INTID713 ,Interrupt ID713 Priority/Priority Byte Offset 713 " hexmask.long.byte 0x00 0.--7. 1. " INTID712 ,Interrupt ID712 Priority/Priority Byte Offset 712 " group.long 0x6CC++0x03 line.long 0x00 "GICD_IPRIORITYR179,Interrupt Priority Register 179" hexmask.long.byte 0x00 24.--31. 1. " INTID719 ,Interrupt ID719 Priority/Priority Byte Offset 719 " hexmask.long.byte 0x00 16.--23. 1. " INTID718 ,Interrupt ID718 Priority/Priority Byte Offset 718 " hexmask.long.byte 0x00 8.--15. 1. " INTID717 ,Interrupt ID717 Priority/Priority Byte Offset 717 " hexmask.long.byte 0x00 0.--7. 1. " INTID716 ,Interrupt ID716 Priority/Priority Byte Offset 716 " group.long 0x6D0++0x03 line.long 0x00 "GICD_IPRIORITYR180,Interrupt Priority Register 180" hexmask.long.byte 0x00 24.--31. 1. " INTID723 ,Interrupt ID723 Priority/Priority Byte Offset 723 " hexmask.long.byte 0x00 16.--23. 1. " INTID722 ,Interrupt ID722 Priority/Priority Byte Offset 722 " hexmask.long.byte 0x00 8.--15. 1. " INTID721 ,Interrupt ID721 Priority/Priority Byte Offset 721 " hexmask.long.byte 0x00 0.--7. 1. " INTID720 ,Interrupt ID720 Priority/Priority Byte Offset 720 " group.long 0x6D4++0x03 line.long 0x00 "GICD_IPRIORITYR181,Interrupt Priority Register 181" hexmask.long.byte 0x00 24.--31. 1. " INTID727 ,Interrupt ID727 Priority/Priority Byte Offset 727 " hexmask.long.byte 0x00 16.--23. 1. " INTID726 ,Interrupt ID726 Priority/Priority Byte Offset 726 " hexmask.long.byte 0x00 8.--15. 1. " INTID725 ,Interrupt ID725 Priority/Priority Byte Offset 725 " hexmask.long.byte 0x00 0.--7. 1. " INTID724 ,Interrupt ID724 Priority/Priority Byte Offset 724 " group.long 0x6D8++0x03 line.long 0x00 "GICD_IPRIORITYR182,Interrupt Priority Register 182" hexmask.long.byte 0x00 24.--31. 1. " INTID731 ,Interrupt ID731 Priority/Priority Byte Offset 731 " hexmask.long.byte 0x00 16.--23. 1. " INTID730 ,Interrupt ID730 Priority/Priority Byte Offset 730 " hexmask.long.byte 0x00 8.--15. 1. " INTID729 ,Interrupt ID729 Priority/Priority Byte Offset 729 " hexmask.long.byte 0x00 0.--7. 1. " INTID728 ,Interrupt ID728 Priority/Priority Byte Offset 728 " group.long 0x6DC++0x03 line.long 0x00 "GICD_IPRIORITYR183,Interrupt Priority Register 183" hexmask.long.byte 0x00 24.--31. 1. " INTID735 ,Interrupt ID735 Priority/Priority Byte Offset 735 " hexmask.long.byte 0x00 16.--23. 1. " INTID734 ,Interrupt ID734 Priority/Priority Byte Offset 734 " hexmask.long.byte 0x00 8.--15. 1. " INTID733 ,Interrupt ID733 Priority/Priority Byte Offset 733 " hexmask.long.byte 0x00 0.--7. 1. " INTID732 ,Interrupt ID732 Priority/Priority Byte Offset 732 " else hgroup.long 0x6C0++0x03 hide.long 0x00 "GICD_IPRIORITYR176,Interrupt Priority Register 176" hgroup.long 0x6C4++0x03 hide.long 0x00 "GICD_IPRIORITYR177,Interrupt Priority Register 177" hgroup.long 0x6C8++0x03 hide.long 0x00 "GICD_IPRIORITYR178,Interrupt Priority Register 178" hgroup.long 0x6CC++0x03 hide.long 0x00 "GICD_IPRIORITYR179,Interrupt Priority Register 179" hgroup.long 0x6D0++0x03 hide.long 0x00 "GICD_IPRIORITYR180,Interrupt Priority Register 180" hgroup.long 0x6D4++0x03 hide.long 0x00 "GICD_IPRIORITYR181,Interrupt Priority Register 181" hgroup.long 0x6D8++0x03 hide.long 0x00 "GICD_IPRIORITYR182,Interrupt Priority Register 182" hgroup.long 0x6DC++0x03 hide.long 0x00 "GICD_IPRIORITYR183,Interrupt Priority Register 183" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17) group.long 0x6E0++0x03 line.long 0x00 "GICD_IPRIORITYR184,Interrupt Priority Register 184" hexmask.long.byte 0x00 24.--31. 1. " INTID739 ,Interrupt ID739 Priority/Priority Byte Offset 739 " hexmask.long.byte 0x00 16.--23. 1. " INTID738 ,Interrupt ID738 Priority/Priority Byte Offset 738 " hexmask.long.byte 0x00 8.--15. 1. " INTID737 ,Interrupt ID737 Priority/Priority Byte Offset 737 " hexmask.long.byte 0x00 0.--7. 1. " INTID736 ,Interrupt ID736 Priority/Priority Byte Offset 736 " group.long 0x6E4++0x03 line.long 0x00 "GICD_IPRIORITYR185,Interrupt Priority Register 185" hexmask.long.byte 0x00 24.--31. 1. " INTID743 ,Interrupt ID743 Priority/Priority Byte Offset 743 " hexmask.long.byte 0x00 16.--23. 1. " INTID742 ,Interrupt ID742 Priority/Priority Byte Offset 742 " hexmask.long.byte 0x00 8.--15. 1. " INTID741 ,Interrupt ID741 Priority/Priority Byte Offset 741 " hexmask.long.byte 0x00 0.--7. 1. " INTID740 ,Interrupt ID740 Priority/Priority Byte Offset 740 " group.long 0x6E8++0x03 line.long 0x00 "GICD_IPRIORITYR186,Interrupt Priority Register 186" hexmask.long.byte 0x00 24.--31. 1. " INTID747 ,Interrupt ID747 Priority/Priority Byte Offset 747 " hexmask.long.byte 0x00 16.--23. 1. " INTID746 ,Interrupt ID746 Priority/Priority Byte Offset 746 " hexmask.long.byte 0x00 8.--15. 1. " INTID745 ,Interrupt ID745 Priority/Priority Byte Offset 745 " hexmask.long.byte 0x00 0.--7. 1. " INTID744 ,Interrupt ID744 Priority/Priority Byte Offset 744 " group.long 0x6EC++0x03 line.long 0x00 "GICD_IPRIORITYR187,Interrupt Priority Register 187" hexmask.long.byte 0x00 24.--31. 1. " INTID751 ,Interrupt ID751 Priority/Priority Byte Offset 751 " hexmask.long.byte 0x00 16.--23. 1. " INTID750 ,Interrupt ID750 Priority/Priority Byte Offset 750 " hexmask.long.byte 0x00 8.--15. 1. " INTID749 ,Interrupt ID749 Priority/Priority Byte Offset 749 " hexmask.long.byte 0x00 0.--7. 1. " INTID748 ,Interrupt ID748 Priority/Priority Byte Offset 748 " group.long 0x6F0++0x03 line.long 0x00 "GICD_IPRIORITYR188,Interrupt Priority Register 188" hexmask.long.byte 0x00 24.--31. 1. " INTID755 ,Interrupt ID755 Priority/Priority Byte Offset 755 " hexmask.long.byte 0x00 16.--23. 1. " INTID754 ,Interrupt ID754 Priority/Priority Byte Offset 754 " hexmask.long.byte 0x00 8.--15. 1. " INTID753 ,Interrupt ID753 Priority/Priority Byte Offset 753 " hexmask.long.byte 0x00 0.--7. 1. " INTID752 ,Interrupt ID752 Priority/Priority Byte Offset 752 " group.long 0x6F4++0x03 line.long 0x00 "GICD_IPRIORITYR189,Interrupt Priority Register 189" hexmask.long.byte 0x00 24.--31. 1. " INTID759 ,Interrupt ID759 Priority/Priority Byte Offset 759 " hexmask.long.byte 0x00 16.--23. 1. " INTID758 ,Interrupt ID758 Priority/Priority Byte Offset 758 " hexmask.long.byte 0x00 8.--15. 1. " INTID757 ,Interrupt ID757 Priority/Priority Byte Offset 757 " hexmask.long.byte 0x00 0.--7. 1. " INTID756 ,Interrupt ID756 Priority/Priority Byte Offset 756 " group.long 0x6F8++0x03 line.long 0x00 "GICD_IPRIORITYR190,Interrupt Priority Register 190" hexmask.long.byte 0x00 24.--31. 1. " INTID763 ,Interrupt ID763 Priority/Priority Byte Offset 763 " hexmask.long.byte 0x00 16.--23. 1. " INTID762 ,Interrupt ID762 Priority/Priority Byte Offset 762 " hexmask.long.byte 0x00 8.--15. 1. " INTID761 ,Interrupt ID761 Priority/Priority Byte Offset 761 " hexmask.long.byte 0x00 0.--7. 1. " INTID760 ,Interrupt ID760 Priority/Priority Byte Offset 760 " group.long 0x6FC++0x03 line.long 0x00 "GICD_IPRIORITYR191,Interrupt Priority Register 191" hexmask.long.byte 0x00 24.--31. 1. " INTID767 ,Interrupt ID767 Priority/Priority Byte Offset 767 " hexmask.long.byte 0x00 16.--23. 1. " INTID766 ,Interrupt ID766 Priority/Priority Byte Offset 766 " hexmask.long.byte 0x00 8.--15. 1. " INTID765 ,Interrupt ID765 Priority/Priority Byte Offset 765 " hexmask.long.byte 0x00 0.--7. 1. " INTID764 ,Interrupt ID764 Priority/Priority Byte Offset 764 " else hgroup.long 0x6E0++0x03 hide.long 0x00 "GICD_IPRIORITYR184,Interrupt Priority Register 184" hgroup.long 0x6E4++0x03 hide.long 0x00 "GICD_IPRIORITYR185,Interrupt Priority Register 185" hgroup.long 0x6E8++0x03 hide.long 0x00 "GICD_IPRIORITYR186,Interrupt Priority Register 186" hgroup.long 0x6EC++0x03 hide.long 0x00 "GICD_IPRIORITYR187,Interrupt Priority Register 187" hgroup.long 0x6F0++0x03 hide.long 0x00 "GICD_IPRIORITYR188,Interrupt Priority Register 188" hgroup.long 0x6F4++0x03 hide.long 0x00 "GICD_IPRIORITYR189,Interrupt Priority Register 189" hgroup.long 0x6F8++0x03 hide.long 0x00 "GICD_IPRIORITYR190,Interrupt Priority Register 190" hgroup.long 0x6FC++0x03 hide.long 0x00 "GICD_IPRIORITYR191,Interrupt Priority Register 191" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18) group.long 0x700++0x03 line.long 0x00 "GICD_IPRIORITYR192,Interrupt Priority Register 192" hexmask.long.byte 0x00 24.--31. 1. " INTID771 ,Interrupt ID771 Priority/Priority Byte Offset 771 " hexmask.long.byte 0x00 16.--23. 1. " INTID770 ,Interrupt ID770 Priority/Priority Byte Offset 770 " hexmask.long.byte 0x00 8.--15. 1. " INTID769 ,Interrupt ID769 Priority/Priority Byte Offset 769 " hexmask.long.byte 0x00 0.--7. 1. " INTID768 ,Interrupt ID768 Priority/Priority Byte Offset 768 " group.long 0x704++0x03 line.long 0x00 "GICD_IPRIORITYR193,Interrupt Priority Register 193" hexmask.long.byte 0x00 24.--31. 1. " INTID775 ,Interrupt ID775 Priority/Priority Byte Offset 775 " hexmask.long.byte 0x00 16.--23. 1. " INTID774 ,Interrupt ID774 Priority/Priority Byte Offset 774 " hexmask.long.byte 0x00 8.--15. 1. " INTID773 ,Interrupt ID773 Priority/Priority Byte Offset 773 " hexmask.long.byte 0x00 0.--7. 1. " INTID772 ,Interrupt ID772 Priority/Priority Byte Offset 772 " group.long 0x708++0x03 line.long 0x00 "GICD_IPRIORITYR194,Interrupt Priority Register 194" hexmask.long.byte 0x00 24.--31. 1. " INTID779 ,Interrupt ID779 Priority/Priority Byte Offset 779 " hexmask.long.byte 0x00 16.--23. 1. " INTID778 ,Interrupt ID778 Priority/Priority Byte Offset 778 " hexmask.long.byte 0x00 8.--15. 1. " INTID777 ,Interrupt ID777 Priority/Priority Byte Offset 777 " hexmask.long.byte 0x00 0.--7. 1. " INTID776 ,Interrupt ID776 Priority/Priority Byte Offset 776 " group.long 0x70C++0x03 line.long 0x00 "GICD_IPRIORITYR195,Interrupt Priority Register 195" hexmask.long.byte 0x00 24.--31. 1. " INTID783 ,Interrupt ID783 Priority/Priority Byte Offset 783 " hexmask.long.byte 0x00 16.--23. 1. " INTID782 ,Interrupt ID782 Priority/Priority Byte Offset 782 " hexmask.long.byte 0x00 8.--15. 1. " INTID781 ,Interrupt ID781 Priority/Priority Byte Offset 781 " hexmask.long.byte 0x00 0.--7. 1. " INTID780 ,Interrupt ID780 Priority/Priority Byte Offset 780 " group.long 0x710++0x03 line.long 0x00 "GICD_IPRIORITYR196,Interrupt Priority Register 196" hexmask.long.byte 0x00 24.--31. 1. " INTID787 ,Interrupt ID787 Priority/Priority Byte Offset 787 " hexmask.long.byte 0x00 16.--23. 1. " INTID786 ,Interrupt ID786 Priority/Priority Byte Offset 786 " hexmask.long.byte 0x00 8.--15. 1. " INTID785 ,Interrupt ID785 Priority/Priority Byte Offset 785 " hexmask.long.byte 0x00 0.--7. 1. " INTID784 ,Interrupt ID784 Priority/Priority Byte Offset 784 " group.long 0x714++0x03 line.long 0x00 "GICD_IPRIORITYR197,Interrupt Priority Register 197" hexmask.long.byte 0x00 24.--31. 1. " INTID791 ,Interrupt ID791 Priority/Priority Byte Offset 791 " hexmask.long.byte 0x00 16.--23. 1. " INTID790 ,Interrupt ID790 Priority/Priority Byte Offset 790 " hexmask.long.byte 0x00 8.--15. 1. " INTID789 ,Interrupt ID789 Priority/Priority Byte Offset 789 " hexmask.long.byte 0x00 0.--7. 1. " INTID788 ,Interrupt ID788 Priority/Priority Byte Offset 788 " group.long 0x718++0x03 line.long 0x00 "GICD_IPRIORITYR198,Interrupt Priority Register 198" hexmask.long.byte 0x00 24.--31. 1. " INTID795 ,Interrupt ID795 Priority/Priority Byte Offset 795 " hexmask.long.byte 0x00 16.--23. 1. " INTID794 ,Interrupt ID794 Priority/Priority Byte Offset 794 " hexmask.long.byte 0x00 8.--15. 1. " INTID793 ,Interrupt ID793 Priority/Priority Byte Offset 793 " hexmask.long.byte 0x00 0.--7. 1. " INTID792 ,Interrupt ID792 Priority/Priority Byte Offset 792 " group.long 0x71C++0x03 line.long 0x00 "GICD_IPRIORITYR199,Interrupt Priority Register 199" hexmask.long.byte 0x00 24.--31. 1. " INTID799 ,Interrupt ID799 Priority/Priority Byte Offset 799 " hexmask.long.byte 0x00 16.--23. 1. " INTID798 ,Interrupt ID798 Priority/Priority Byte Offset 798 " hexmask.long.byte 0x00 8.--15. 1. " INTID797 ,Interrupt ID797 Priority/Priority Byte Offset 797 " hexmask.long.byte 0x00 0.--7. 1. " INTID796 ,Interrupt ID796 Priority/Priority Byte Offset 796 " else hgroup.long 0x700++0x03 hide.long 0x00 "GICD_IPRIORITYR192,Interrupt Priority Register 192" hgroup.long 0x704++0x03 hide.long 0x00 "GICD_IPRIORITYR193,Interrupt Priority Register 193" hgroup.long 0x708++0x03 hide.long 0x00 "GICD_IPRIORITYR194,Interrupt Priority Register 194" hgroup.long 0x70C++0x03 hide.long 0x00 "GICD_IPRIORITYR195,Interrupt Priority Register 195" hgroup.long 0x710++0x03 hide.long 0x00 "GICD_IPRIORITYR196,Interrupt Priority Register 196" hgroup.long 0x714++0x03 hide.long 0x00 "GICD_IPRIORITYR197,Interrupt Priority Register 197" hgroup.long 0x718++0x03 hide.long 0x00 "GICD_IPRIORITYR198,Interrupt Priority Register 198" hgroup.long 0x71C++0x03 hide.long 0x00 "GICD_IPRIORITYR199,Interrupt Priority Register 199" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19) group.long 0x720++0x03 line.long 0x00 "GICD_IPRIORITYR200,Interrupt Priority Register 200" hexmask.long.byte 0x00 24.--31. 1. " INTID803 ,Interrupt ID803 Priority/Priority Byte Offset 803 " hexmask.long.byte 0x00 16.--23. 1. " INTID802 ,Interrupt ID802 Priority/Priority Byte Offset 802 " hexmask.long.byte 0x00 8.--15. 1. " INTID801 ,Interrupt ID801 Priority/Priority Byte Offset 801 " hexmask.long.byte 0x00 0.--7. 1. " INTID800 ,Interrupt ID800 Priority/Priority Byte Offset 800 " group.long 0x724++0x03 line.long 0x00 "GICD_IPRIORITYR201,Interrupt Priority Register 201" hexmask.long.byte 0x00 24.--31. 1. " INTID807 ,Interrupt ID807 Priority/Priority Byte Offset 807 " hexmask.long.byte 0x00 16.--23. 1. " INTID806 ,Interrupt ID806 Priority/Priority Byte Offset 806 " hexmask.long.byte 0x00 8.--15. 1. " INTID805 ,Interrupt ID805 Priority/Priority Byte Offset 805 " hexmask.long.byte 0x00 0.--7. 1. " INTID804 ,Interrupt ID804 Priority/Priority Byte Offset 804 " group.long 0x728++0x03 line.long 0x00 "GICD_IPRIORITYR202,Interrupt Priority Register 202" hexmask.long.byte 0x00 24.--31. 1. " INTID811 ,Interrupt ID811 Priority/Priority Byte Offset 811 " hexmask.long.byte 0x00 16.--23. 1. " INTID810 ,Interrupt ID810 Priority/Priority Byte Offset 810 " hexmask.long.byte 0x00 8.--15. 1. " INTID809 ,Interrupt ID809 Priority/Priority Byte Offset 809 " hexmask.long.byte 0x00 0.--7. 1. " INTID808 ,Interrupt ID808 Priority/Priority Byte Offset 808 " group.long 0x72C++0x03 line.long 0x00 "GICD_IPRIORITYR203,Interrupt Priority Register 203" hexmask.long.byte 0x00 24.--31. 1. " INTID815 ,Interrupt ID815 Priority/Priority Byte Offset 815 " hexmask.long.byte 0x00 16.--23. 1. " INTID814 ,Interrupt ID814 Priority/Priority Byte Offset 814 " hexmask.long.byte 0x00 8.--15. 1. " INTID813 ,Interrupt ID813 Priority/Priority Byte Offset 813 " hexmask.long.byte 0x00 0.--7. 1. " INTID812 ,Interrupt ID812 Priority/Priority Byte Offset 812 " group.long 0x730++0x03 line.long 0x00 "GICD_IPRIORITYR204,Interrupt Priority Register 204" hexmask.long.byte 0x00 24.--31. 1. " INTID819 ,Interrupt ID819 Priority/Priority Byte Offset 819 " hexmask.long.byte 0x00 16.--23. 1. " INTID818 ,Interrupt ID818 Priority/Priority Byte Offset 818 " hexmask.long.byte 0x00 8.--15. 1. " INTID817 ,Interrupt ID817 Priority/Priority Byte Offset 817 " hexmask.long.byte 0x00 0.--7. 1. " INTID816 ,Interrupt ID816 Priority/Priority Byte Offset 816 " group.long 0x734++0x03 line.long 0x00 "GICD_IPRIORITYR205,Interrupt Priority Register 205" hexmask.long.byte 0x00 24.--31. 1. " INTID823 ,Interrupt ID823 Priority/Priority Byte Offset 823 " hexmask.long.byte 0x00 16.--23. 1. " INTID822 ,Interrupt ID822 Priority/Priority Byte Offset 822 " hexmask.long.byte 0x00 8.--15. 1. " INTID821 ,Interrupt ID821 Priority/Priority Byte Offset 821 " hexmask.long.byte 0x00 0.--7. 1. " INTID820 ,Interrupt ID820 Priority/Priority Byte Offset 820 " group.long 0x738++0x03 line.long 0x00 "GICD_IPRIORITYR206,Interrupt Priority Register 206" hexmask.long.byte 0x00 24.--31. 1. " INTID827 ,Interrupt ID827 Priority/Priority Byte Offset 827 " hexmask.long.byte 0x00 16.--23. 1. " INTID826 ,Interrupt ID826 Priority/Priority Byte Offset 826 " hexmask.long.byte 0x00 8.--15. 1. " INTID825 ,Interrupt ID825 Priority/Priority Byte Offset 825 " hexmask.long.byte 0x00 0.--7. 1. " INTID824 ,Interrupt ID824 Priority/Priority Byte Offset 824 " group.long 0x73C++0x03 line.long 0x00 "GICD_IPRIORITYR207,Interrupt Priority Register 207" hexmask.long.byte 0x00 24.--31. 1. " INTID831 ,Interrupt ID831 Priority/Priority Byte Offset 831 " hexmask.long.byte 0x00 16.--23. 1. " INTID830 ,Interrupt ID830 Priority/Priority Byte Offset 830 " hexmask.long.byte 0x00 8.--15. 1. " INTID829 ,Interrupt ID829 Priority/Priority Byte Offset 829 " hexmask.long.byte 0x00 0.--7. 1. " INTID828 ,Interrupt ID828 Priority/Priority Byte Offset 828 " else hgroup.long 0x720++0x03 hide.long 0x00 "GICD_IPRIORITYR200,Interrupt Priority Register 200" hgroup.long 0x724++0x03 hide.long 0x00 "GICD_IPRIORITYR201,Interrupt Priority Register 201" hgroup.long 0x728++0x03 hide.long 0x00 "GICD_IPRIORITYR202,Interrupt Priority Register 202" hgroup.long 0x72C++0x03 hide.long 0x00 "GICD_IPRIORITYR203,Interrupt Priority Register 203" hgroup.long 0x730++0x03 hide.long 0x00 "GICD_IPRIORITYR204,Interrupt Priority Register 204" hgroup.long 0x734++0x03 hide.long 0x00 "GICD_IPRIORITYR205,Interrupt Priority Register 205" hgroup.long 0x738++0x03 hide.long 0x00 "GICD_IPRIORITYR206,Interrupt Priority Register 206" hgroup.long 0x73C++0x03 hide.long 0x00 "GICD_IPRIORITYR207,Interrupt Priority Register 207" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A) group.long 0x740++0x03 line.long 0x00 "GICD_IPRIORITYR208,Interrupt Priority Register 208" hexmask.long.byte 0x00 24.--31. 1. " INTID835 ,Interrupt ID835 Priority/Priority Byte Offset 835 " hexmask.long.byte 0x00 16.--23. 1. " INTID834 ,Interrupt ID834 Priority/Priority Byte Offset 834 " hexmask.long.byte 0x00 8.--15. 1. " INTID833 ,Interrupt ID833 Priority/Priority Byte Offset 833 " hexmask.long.byte 0x00 0.--7. 1. " INTID832 ,Interrupt ID832 Priority/Priority Byte Offset 832 " group.long 0x744++0x03 line.long 0x00 "GICD_IPRIORITYR209,Interrupt Priority Register 209" hexmask.long.byte 0x00 24.--31. 1. " INTID839 ,Interrupt ID839 Priority/Priority Byte Offset 839 " hexmask.long.byte 0x00 16.--23. 1. " INTID838 ,Interrupt ID838 Priority/Priority Byte Offset 838 " hexmask.long.byte 0x00 8.--15. 1. " INTID837 ,Interrupt ID837 Priority/Priority Byte Offset 837 " hexmask.long.byte 0x00 0.--7. 1. " INTID836 ,Interrupt ID836 Priority/Priority Byte Offset 836 " group.long 0x748++0x03 line.long 0x00 "GICD_IPRIORITYR210,Interrupt Priority Register 210" hexmask.long.byte 0x00 24.--31. 1. " INTID843 ,Interrupt ID843 Priority/Priority Byte Offset 843 " hexmask.long.byte 0x00 16.--23. 1. " INTID842 ,Interrupt ID842 Priority/Priority Byte Offset 842 " hexmask.long.byte 0x00 8.--15. 1. " INTID841 ,Interrupt ID841 Priority/Priority Byte Offset 841 " hexmask.long.byte 0x00 0.--7. 1. " INTID840 ,Interrupt ID840 Priority/Priority Byte Offset 840 " group.long 0x74C++0x03 line.long 0x00 "GICD_IPRIORITYR211,Interrupt Priority Register 211" hexmask.long.byte 0x00 24.--31. 1. " INTID847 ,Interrupt ID847 Priority/Priority Byte Offset 847 " hexmask.long.byte 0x00 16.--23. 1. " INTID846 ,Interrupt ID846 Priority/Priority Byte Offset 846 " hexmask.long.byte 0x00 8.--15. 1. " INTID845 ,Interrupt ID845 Priority/Priority Byte Offset 845 " hexmask.long.byte 0x00 0.--7. 1. " INTID844 ,Interrupt ID844 Priority/Priority Byte Offset 844 " group.long 0x750++0x03 line.long 0x00 "GICD_IPRIORITYR212,Interrupt Priority Register 212" hexmask.long.byte 0x00 24.--31. 1. " INTID851 ,Interrupt ID851 Priority/Priority Byte Offset 851 " hexmask.long.byte 0x00 16.--23. 1. " INTID850 ,Interrupt ID850 Priority/Priority Byte Offset 850 " hexmask.long.byte 0x00 8.--15. 1. " INTID849 ,Interrupt ID849 Priority/Priority Byte Offset 849 " hexmask.long.byte 0x00 0.--7. 1. " INTID848 ,Interrupt ID848 Priority/Priority Byte Offset 848 " group.long 0x754++0x03 line.long 0x00 "GICD_IPRIORITYR213,Interrupt Priority Register 213" hexmask.long.byte 0x00 24.--31. 1. " INTID855 ,Interrupt ID855 Priority/Priority Byte Offset 855 " hexmask.long.byte 0x00 16.--23. 1. " INTID854 ,Interrupt ID854 Priority/Priority Byte Offset 854 " hexmask.long.byte 0x00 8.--15. 1. " INTID853 ,Interrupt ID853 Priority/Priority Byte Offset 853 " hexmask.long.byte 0x00 0.--7. 1. " INTID852 ,Interrupt ID852 Priority/Priority Byte Offset 852 " group.long 0x758++0x03 line.long 0x00 "GICD_IPRIORITYR214,Interrupt Priority Register 214" hexmask.long.byte 0x00 24.--31. 1. " INTID859 ,Interrupt ID859 Priority/Priority Byte Offset 859 " hexmask.long.byte 0x00 16.--23. 1. " INTID858 ,Interrupt ID858 Priority/Priority Byte Offset 858 " hexmask.long.byte 0x00 8.--15. 1. " INTID857 ,Interrupt ID857 Priority/Priority Byte Offset 857 " hexmask.long.byte 0x00 0.--7. 1. " INTID856 ,Interrupt ID856 Priority/Priority Byte Offset 856 " group.long 0x75C++0x03 line.long 0x00 "GICD_IPRIORITYR215,Interrupt Priority Register 215" hexmask.long.byte 0x00 24.--31. 1. " INTID863 ,Interrupt ID863 Priority/Priority Byte Offset 863 " hexmask.long.byte 0x00 16.--23. 1. " INTID862 ,Interrupt ID862 Priority/Priority Byte Offset 862 " hexmask.long.byte 0x00 8.--15. 1. " INTID861 ,Interrupt ID861 Priority/Priority Byte Offset 861 " hexmask.long.byte 0x00 0.--7. 1. " INTID860 ,Interrupt ID860 Priority/Priority Byte Offset 860 " else hgroup.long 0x740++0x03 hide.long 0x00 "GICD_IPRIORITYR208,Interrupt Priority Register 208" hgroup.long 0x744++0x03 hide.long 0x00 "GICD_IPRIORITYR209,Interrupt Priority Register 209" hgroup.long 0x748++0x03 hide.long 0x00 "GICD_IPRIORITYR210,Interrupt Priority Register 210" hgroup.long 0x74C++0x03 hide.long 0x00 "GICD_IPRIORITYR211,Interrupt Priority Register 211" hgroup.long 0x750++0x03 hide.long 0x00 "GICD_IPRIORITYR212,Interrupt Priority Register 212" hgroup.long 0x754++0x03 hide.long 0x00 "GICD_IPRIORITYR213,Interrupt Priority Register 213" hgroup.long 0x758++0x03 hide.long 0x00 "GICD_IPRIORITYR214,Interrupt Priority Register 214" hgroup.long 0x75C++0x03 hide.long 0x00 "GICD_IPRIORITYR215,Interrupt Priority Register 215" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B) group.long 0x760++0x03 line.long 0x00 "GICD_IPRIORITYR216,Interrupt Priority Register 216" hexmask.long.byte 0x00 24.--31. 1. " INTID867 ,Interrupt ID867 Priority/Priority Byte Offset 867 " hexmask.long.byte 0x00 16.--23. 1. " INTID866 ,Interrupt ID866 Priority/Priority Byte Offset 866 " hexmask.long.byte 0x00 8.--15. 1. " INTID865 ,Interrupt ID865 Priority/Priority Byte Offset 865 " hexmask.long.byte 0x00 0.--7. 1. " INTID864 ,Interrupt ID864 Priority/Priority Byte Offset 864 " group.long 0x764++0x03 line.long 0x00 "GICD_IPRIORITYR217,Interrupt Priority Register 217" hexmask.long.byte 0x00 24.--31. 1. " INTID871 ,Interrupt ID871 Priority/Priority Byte Offset 871 " hexmask.long.byte 0x00 16.--23. 1. " INTID870 ,Interrupt ID870 Priority/Priority Byte Offset 870 " hexmask.long.byte 0x00 8.--15. 1. " INTID869 ,Interrupt ID869 Priority/Priority Byte Offset 869 " hexmask.long.byte 0x00 0.--7. 1. " INTID868 ,Interrupt ID868 Priority/Priority Byte Offset 868 " group.long 0x768++0x03 line.long 0x00 "GICD_IPRIORITYR218,Interrupt Priority Register 218" hexmask.long.byte 0x00 24.--31. 1. " INTID875 ,Interrupt ID875 Priority/Priority Byte Offset 875 " hexmask.long.byte 0x00 16.--23. 1. " INTID874 ,Interrupt ID874 Priority/Priority Byte Offset 874 " hexmask.long.byte 0x00 8.--15. 1. " INTID873 ,Interrupt ID873 Priority/Priority Byte Offset 873 " hexmask.long.byte 0x00 0.--7. 1. " INTID872 ,Interrupt ID872 Priority/Priority Byte Offset 872 " group.long 0x76C++0x03 line.long 0x00 "GICD_IPRIORITYR219,Interrupt Priority Register 219" hexmask.long.byte 0x00 24.--31. 1. " INTID879 ,Interrupt ID879 Priority/Priority Byte Offset 879 " hexmask.long.byte 0x00 16.--23. 1. " INTID878 ,Interrupt ID878 Priority/Priority Byte Offset 878 " hexmask.long.byte 0x00 8.--15. 1. " INTID877 ,Interrupt ID877 Priority/Priority Byte Offset 877 " hexmask.long.byte 0x00 0.--7. 1. " INTID876 ,Interrupt ID876 Priority/Priority Byte Offset 876 " group.long 0x770++0x03 line.long 0x00 "GICD_IPRIORITYR220,Interrupt Priority Register 220" hexmask.long.byte 0x00 24.--31. 1. " INTID883 ,Interrupt ID883 Priority/Priority Byte Offset 883 " hexmask.long.byte 0x00 16.--23. 1. " INTID882 ,Interrupt ID882 Priority/Priority Byte Offset 882 " hexmask.long.byte 0x00 8.--15. 1. " INTID881 ,Interrupt ID881 Priority/Priority Byte Offset 881 " hexmask.long.byte 0x00 0.--7. 1. " INTID880 ,Interrupt ID880 Priority/Priority Byte Offset 880 " group.long 0x774++0x03 line.long 0x00 "GICD_IPRIORITYR221,Interrupt Priority Register 221" hexmask.long.byte 0x00 24.--31. 1. " INTID887 ,Interrupt ID887 Priority/Priority Byte Offset 887 " hexmask.long.byte 0x00 16.--23. 1. " INTID886 ,Interrupt ID886 Priority/Priority Byte Offset 886 " hexmask.long.byte 0x00 8.--15. 1. " INTID885 ,Interrupt ID885 Priority/Priority Byte Offset 885 " hexmask.long.byte 0x00 0.--7. 1. " INTID884 ,Interrupt ID884 Priority/Priority Byte Offset 884 " group.long 0x778++0x03 line.long 0x00 "GICD_IPRIORITYR222,Interrupt Priority Register 222" hexmask.long.byte 0x00 24.--31. 1. " INTID891 ,Interrupt ID891 Priority/Priority Byte Offset 891 " hexmask.long.byte 0x00 16.--23. 1. " INTID890 ,Interrupt ID890 Priority/Priority Byte Offset 890 " hexmask.long.byte 0x00 8.--15. 1. " INTID889 ,Interrupt ID889 Priority/Priority Byte Offset 889 " hexmask.long.byte 0x00 0.--7. 1. " INTID888 ,Interrupt ID888 Priority/Priority Byte Offset 888 " group.long 0x77C++0x03 line.long 0x00 "GICD_IPRIORITYR223,Interrupt Priority Register 223" hexmask.long.byte 0x00 24.--31. 1. " INTID895 ,Interrupt ID895 Priority/Priority Byte Offset 895 " hexmask.long.byte 0x00 16.--23. 1. " INTID894 ,Interrupt ID894 Priority/Priority Byte Offset 894 " hexmask.long.byte 0x00 8.--15. 1. " INTID893 ,Interrupt ID893 Priority/Priority Byte Offset 893 " hexmask.long.byte 0x00 0.--7. 1. " INTID892 ,Interrupt ID892 Priority/Priority Byte Offset 892 " else hgroup.long 0x760++0x03 hide.long 0x00 "GICD_IPRIORITYR216,Interrupt Priority Register 216" hgroup.long 0x764++0x03 hide.long 0x00 "GICD_IPRIORITYR217,Interrupt Priority Register 217" hgroup.long 0x768++0x03 hide.long 0x00 "GICD_IPRIORITYR218,Interrupt Priority Register 218" hgroup.long 0x76C++0x03 hide.long 0x00 "GICD_IPRIORITYR219,Interrupt Priority Register 219" hgroup.long 0x770++0x03 hide.long 0x00 "GICD_IPRIORITYR220,Interrupt Priority Register 220" hgroup.long 0x774++0x03 hide.long 0x00 "GICD_IPRIORITYR221,Interrupt Priority Register 221" hgroup.long 0x778++0x03 hide.long 0x00 "GICD_IPRIORITYR222,Interrupt Priority Register 222" hgroup.long 0x77C++0x03 hide.long 0x00 "GICD_IPRIORITYR223,Interrupt Priority Register 223" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C) group.long 0x780++0x03 line.long 0x00 "GICD_IPRIORITYR224,Interrupt Priority Register 224" hexmask.long.byte 0x00 24.--31. 1. " INTID899 ,Interrupt ID899 Priority/Priority Byte Offset 899 " hexmask.long.byte 0x00 16.--23. 1. " INTID898 ,Interrupt ID898 Priority/Priority Byte Offset 898 " hexmask.long.byte 0x00 8.--15. 1. " INTID897 ,Interrupt ID897 Priority/Priority Byte Offset 897 " hexmask.long.byte 0x00 0.--7. 1. " INTID896 ,Interrupt ID896 Priority/Priority Byte Offset 896 " group.long 0x784++0x03 line.long 0x00 "GICD_IPRIORITYR225,Interrupt Priority Register 225" hexmask.long.byte 0x00 24.--31. 1. " INTID903 ,Interrupt ID903 Priority/Priority Byte Offset 903 " hexmask.long.byte 0x00 16.--23. 1. " INTID902 ,Interrupt ID902 Priority/Priority Byte Offset 902 " hexmask.long.byte 0x00 8.--15. 1. " INTID901 ,Interrupt ID901 Priority/Priority Byte Offset 901 " hexmask.long.byte 0x00 0.--7. 1. " INTID900 ,Interrupt ID900 Priority/Priority Byte Offset 900 " group.long 0x788++0x03 line.long 0x00 "GICD_IPRIORITYR226,Interrupt Priority Register 226" hexmask.long.byte 0x00 24.--31. 1. " INTID907 ,Interrupt ID907 Priority/Priority Byte Offset 907 " hexmask.long.byte 0x00 16.--23. 1. " INTID906 ,Interrupt ID906 Priority/Priority Byte Offset 906 " hexmask.long.byte 0x00 8.--15. 1. " INTID905 ,Interrupt ID905 Priority/Priority Byte Offset 905 " hexmask.long.byte 0x00 0.--7. 1. " INTID904 ,Interrupt ID904 Priority/Priority Byte Offset 904 " group.long 0x78C++0x03 line.long 0x00 "GICD_IPRIORITYR227,Interrupt Priority Register 227" hexmask.long.byte 0x00 24.--31. 1. " INTID911 ,Interrupt ID911 Priority/Priority Byte Offset 911 " hexmask.long.byte 0x00 16.--23. 1. " INTID910 ,Interrupt ID910 Priority/Priority Byte Offset 910 " hexmask.long.byte 0x00 8.--15. 1. " INTID909 ,Interrupt ID909 Priority/Priority Byte Offset 909 " hexmask.long.byte 0x00 0.--7. 1. " INTID908 ,Interrupt ID908 Priority/Priority Byte Offset 908 " group.long 0x790++0x03 line.long 0x00 "GICD_IPRIORITYR228,Interrupt Priority Register 228" hexmask.long.byte 0x00 24.--31. 1. " INTID915 ,Interrupt ID915 Priority/Priority Byte Offset 915 " hexmask.long.byte 0x00 16.--23. 1. " INTID914 ,Interrupt ID914 Priority/Priority Byte Offset 914 " hexmask.long.byte 0x00 8.--15. 1. " INTID913 ,Interrupt ID913 Priority/Priority Byte Offset 913 " hexmask.long.byte 0x00 0.--7. 1. " INTID912 ,Interrupt ID912 Priority/Priority Byte Offset 912 " group.long 0x794++0x03 line.long 0x00 "GICD_IPRIORITYR229,Interrupt Priority Register 229" hexmask.long.byte 0x00 24.--31. 1. " INTID919 ,Interrupt ID919 Priority/Priority Byte Offset 919 " hexmask.long.byte 0x00 16.--23. 1. " INTID918 ,Interrupt ID918 Priority/Priority Byte Offset 918 " hexmask.long.byte 0x00 8.--15. 1. " INTID917 ,Interrupt ID917 Priority/Priority Byte Offset 917 " hexmask.long.byte 0x00 0.--7. 1. " INTID916 ,Interrupt ID916 Priority/Priority Byte Offset 916 " group.long 0x798++0x03 line.long 0x00 "GICD_IPRIORITYR230,Interrupt Priority Register 230" hexmask.long.byte 0x00 24.--31. 1. " INTID923 ,Interrupt ID923 Priority/Priority Byte Offset 923 " hexmask.long.byte 0x00 16.--23. 1. " INTID922 ,Interrupt ID922 Priority/Priority Byte Offset 922 " hexmask.long.byte 0x00 8.--15. 1. " INTID921 ,Interrupt ID921 Priority/Priority Byte Offset 921 " hexmask.long.byte 0x00 0.--7. 1. " INTID920 ,Interrupt ID920 Priority/Priority Byte Offset 920 " group.long 0x79C++0x03 line.long 0x00 "GICD_IPRIORITYR231,Interrupt Priority Register 231" hexmask.long.byte 0x00 24.--31. 1. " INTID927 ,Interrupt ID927 Priority/Priority Byte Offset 927 " hexmask.long.byte 0x00 16.--23. 1. " INTID926 ,Interrupt ID926 Priority/Priority Byte Offset 926 " hexmask.long.byte 0x00 8.--15. 1. " INTID925 ,Interrupt ID925 Priority/Priority Byte Offset 925 " hexmask.long.byte 0x00 0.--7. 1. " INTID924 ,Interrupt ID924 Priority/Priority Byte Offset 924 " else hgroup.long 0x780++0x03 hide.long 0x00 "GICD_IPRIORITYR224,Interrupt Priority Register 224" hgroup.long 0x784++0x03 hide.long 0x00 "GICD_IPRIORITYR225,Interrupt Priority Register 225" hgroup.long 0x788++0x03 hide.long 0x00 "GICD_IPRIORITYR226,Interrupt Priority Register 226" hgroup.long 0x78C++0x03 hide.long 0x00 "GICD_IPRIORITYR227,Interrupt Priority Register 227" hgroup.long 0x790++0x03 hide.long 0x00 "GICD_IPRIORITYR228,Interrupt Priority Register 228" hgroup.long 0x794++0x03 hide.long 0x00 "GICD_IPRIORITYR229,Interrupt Priority Register 229" hgroup.long 0x798++0x03 hide.long 0x00 "GICD_IPRIORITYR230,Interrupt Priority Register 230" hgroup.long 0x79C++0x03 hide.long 0x00 "GICD_IPRIORITYR231,Interrupt Priority Register 231" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D) group.long 0x7A0++0x03 line.long 0x00 "GICD_IPRIORITYR232,Interrupt Priority Register 232" hexmask.long.byte 0x00 24.--31. 1. " INTID931 ,Interrupt ID931 Priority/Priority Byte Offset 931 " hexmask.long.byte 0x00 16.--23. 1. " INTID930 ,Interrupt ID930 Priority/Priority Byte Offset 930 " hexmask.long.byte 0x00 8.--15. 1. " INTID929 ,Interrupt ID929 Priority/Priority Byte Offset 929 " hexmask.long.byte 0x00 0.--7. 1. " INTID928 ,Interrupt ID928 Priority/Priority Byte Offset 928 " group.long 0x7A4++0x03 line.long 0x00 "GICD_IPRIORITYR233,Interrupt Priority Register 233" hexmask.long.byte 0x00 24.--31. 1. " INTID935 ,Interrupt ID935 Priority/Priority Byte Offset 935 " hexmask.long.byte 0x00 16.--23. 1. " INTID934 ,Interrupt ID934 Priority/Priority Byte Offset 934 " hexmask.long.byte 0x00 8.--15. 1. " INTID933 ,Interrupt ID933 Priority/Priority Byte Offset 933 " hexmask.long.byte 0x00 0.--7. 1. " INTID932 ,Interrupt ID932 Priority/Priority Byte Offset 932 " group.long 0x7A8++0x03 line.long 0x00 "GICD_IPRIORITYR234,Interrupt Priority Register 234" hexmask.long.byte 0x00 24.--31. 1. " INTID939 ,Interrupt ID939 Priority/Priority Byte Offset 939 " hexmask.long.byte 0x00 16.--23. 1. " INTID938 ,Interrupt ID938 Priority/Priority Byte Offset 938 " hexmask.long.byte 0x00 8.--15. 1. " INTID937 ,Interrupt ID937 Priority/Priority Byte Offset 937 " hexmask.long.byte 0x00 0.--7. 1. " INTID936 ,Interrupt ID936 Priority/Priority Byte Offset 936 " group.long 0x7AC++0x03 line.long 0x00 "GICD_IPRIORITYR235,Interrupt Priority Register 235" hexmask.long.byte 0x00 24.--31. 1. " INTID943 ,Interrupt ID943 Priority/Priority Byte Offset 943 " hexmask.long.byte 0x00 16.--23. 1. " INTID942 ,Interrupt ID942 Priority/Priority Byte Offset 942 " hexmask.long.byte 0x00 8.--15. 1. " INTID941 ,Interrupt ID941 Priority/Priority Byte Offset 941 " hexmask.long.byte 0x00 0.--7. 1. " INTID940 ,Interrupt ID940 Priority/Priority Byte Offset 940 " group.long 0x7B0++0x03 line.long 0x00 "GICD_IPRIORITYR236,Interrupt Priority Register 236" hexmask.long.byte 0x00 24.--31. 1. " INTID947 ,Interrupt ID947 Priority/Priority Byte Offset 947 " hexmask.long.byte 0x00 16.--23. 1. " INTID946 ,Interrupt ID946 Priority/Priority Byte Offset 946 " hexmask.long.byte 0x00 8.--15. 1. " INTID945 ,Interrupt ID945 Priority/Priority Byte Offset 945 " hexmask.long.byte 0x00 0.--7. 1. " INTID944 ,Interrupt ID944 Priority/Priority Byte Offset 944 " group.long 0x7B4++0x03 line.long 0x00 "GICD_IPRIORITYR237,Interrupt Priority Register 237" hexmask.long.byte 0x00 24.--31. 1. " INTID951 ,Interrupt ID951 Priority/Priority Byte Offset 951 " hexmask.long.byte 0x00 16.--23. 1. " INTID950 ,Interrupt ID950 Priority/Priority Byte Offset 950 " hexmask.long.byte 0x00 8.--15. 1. " INTID949 ,Interrupt ID949 Priority/Priority Byte Offset 949 " hexmask.long.byte 0x00 0.--7. 1. " INTID948 ,Interrupt ID948 Priority/Priority Byte Offset 948 " group.long 0x7B8++0x03 line.long 0x00 "GICD_IPRIORITYR238,Interrupt Priority Register 238" hexmask.long.byte 0x00 24.--31. 1. " INTID955 ,Interrupt ID955 Priority/Priority Byte Offset 955 " hexmask.long.byte 0x00 16.--23. 1. " INTID954 ,Interrupt ID954 Priority/Priority Byte Offset 954 " hexmask.long.byte 0x00 8.--15. 1. " INTID953 ,Interrupt ID953 Priority/Priority Byte Offset 953 " hexmask.long.byte 0x00 0.--7. 1. " INTID952 ,Interrupt ID952 Priority/Priority Byte Offset 952 " group.long 0x7BC++0x03 line.long 0x00 "GICD_IPRIORITYR239,Interrupt Priority Register 239" hexmask.long.byte 0x00 24.--31. 1. " INTID959 ,Interrupt ID959 Priority/Priority Byte Offset 959 " hexmask.long.byte 0x00 16.--23. 1. " INTID958 ,Interrupt ID958 Priority/Priority Byte Offset 958 " hexmask.long.byte 0x00 8.--15. 1. " INTID957 ,Interrupt ID957 Priority/Priority Byte Offset 957 " hexmask.long.byte 0x00 0.--7. 1. " INTID956 ,Interrupt ID956 Priority/Priority Byte Offset 956 " else hgroup.long 0x7A0++0x03 hide.long 0x00 "GICD_IPRIORITYR232,Interrupt Priority Register 232" hgroup.long 0x7A4++0x03 hide.long 0x00 "GICD_IPRIORITYR233,Interrupt Priority Register 233" hgroup.long 0x7A8++0x03 hide.long 0x00 "GICD_IPRIORITYR234,Interrupt Priority Register 234" hgroup.long 0x7AC++0x03 hide.long 0x00 "GICD_IPRIORITYR235,Interrupt Priority Register 235" hgroup.long 0x7B0++0x03 hide.long 0x00 "GICD_IPRIORITYR236,Interrupt Priority Register 236" hgroup.long 0x7B4++0x03 hide.long 0x00 "GICD_IPRIORITYR237,Interrupt Priority Register 237" hgroup.long 0x7B8++0x03 hide.long 0x00 "GICD_IPRIORITYR238,Interrupt Priority Register 238" hgroup.long 0x7BC++0x03 hide.long 0x00 "GICD_IPRIORITYR239,Interrupt Priority Register 239" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E) group.long 0x7C0++0x03 line.long 0x00 "GICD_IPRIORITYR240,Interrupt Priority Register 240" hexmask.long.byte 0x00 24.--31. 1. " INTID963 ,Interrupt ID963 Priority/Priority Byte Offset 963 " hexmask.long.byte 0x00 16.--23. 1. " INTID962 ,Interrupt ID962 Priority/Priority Byte Offset 962 " hexmask.long.byte 0x00 8.--15. 1. " INTID961 ,Interrupt ID961 Priority/Priority Byte Offset 961 " hexmask.long.byte 0x00 0.--7. 1. " INTID960 ,Interrupt ID960 Priority/Priority Byte Offset 960 " group.long 0x7C4++0x03 line.long 0x00 "GICD_IPRIORITYR241,Interrupt Priority Register 241" hexmask.long.byte 0x00 24.--31. 1. " INTID967 ,Interrupt ID967 Priority/Priority Byte Offset 967 " hexmask.long.byte 0x00 16.--23. 1. " INTID966 ,Interrupt ID966 Priority/Priority Byte Offset 966 " hexmask.long.byte 0x00 8.--15. 1. " INTID965 ,Interrupt ID965 Priority/Priority Byte Offset 965 " hexmask.long.byte 0x00 0.--7. 1. " INTID964 ,Interrupt ID964 Priority/Priority Byte Offset 964 " group.long 0x7C8++0x03 line.long 0x00 "GICD_IPRIORITYR242,Interrupt Priority Register 242" hexmask.long.byte 0x00 24.--31. 1. " INTID971 ,Interrupt ID971 Priority/Priority Byte Offset 971 " hexmask.long.byte 0x00 16.--23. 1. " INTID970 ,Interrupt ID970 Priority/Priority Byte Offset 970 " hexmask.long.byte 0x00 8.--15. 1. " INTID969 ,Interrupt ID969 Priority/Priority Byte Offset 969 " hexmask.long.byte 0x00 0.--7. 1. " INTID968 ,Interrupt ID968 Priority/Priority Byte Offset 968 " group.long 0x7CC++0x03 line.long 0x00 "GICD_IPRIORITYR243,Interrupt Priority Register 243" hexmask.long.byte 0x00 24.--31. 1. " INTID975 ,Interrupt ID975 Priority/Priority Byte Offset 975 " hexmask.long.byte 0x00 16.--23. 1. " INTID974 ,Interrupt ID974 Priority/Priority Byte Offset 974 " hexmask.long.byte 0x00 8.--15. 1. " INTID973 ,Interrupt ID973 Priority/Priority Byte Offset 973 " hexmask.long.byte 0x00 0.--7. 1. " INTID972 ,Interrupt ID972 Priority/Priority Byte Offset 972 " group.long 0x7D0++0x03 line.long 0x00 "GICD_IPRIORITYR244,Interrupt Priority Register 244" hexmask.long.byte 0x00 24.--31. 1. " INTID979 ,Interrupt ID979 Priority/Priority Byte Offset 979 " hexmask.long.byte 0x00 16.--23. 1. " INTID978 ,Interrupt ID978 Priority/Priority Byte Offset 978 " hexmask.long.byte 0x00 8.--15. 1. " INTID977 ,Interrupt ID977 Priority/Priority Byte Offset 977 " hexmask.long.byte 0x00 0.--7. 1. " INTID976 ,Interrupt ID976 Priority/Priority Byte Offset 976 " group.long 0x7D4++0x03 line.long 0x00 "GICD_IPRIORITYR245,Interrupt Priority Register 245" hexmask.long.byte 0x00 24.--31. 1. " INTID983 ,Interrupt ID983 Priority/Priority Byte Offset 983 " hexmask.long.byte 0x00 16.--23. 1. " INTID982 ,Interrupt ID982 Priority/Priority Byte Offset 982 " hexmask.long.byte 0x00 8.--15. 1. " INTID981 ,Interrupt ID981 Priority/Priority Byte Offset 981 " hexmask.long.byte 0x00 0.--7. 1. " INTID980 ,Interrupt ID980 Priority/Priority Byte Offset 980 " group.long 0x7D8++0x03 line.long 0x00 "GICD_IPRIORITYR246,Interrupt Priority Register 246" hexmask.long.byte 0x00 24.--31. 1. " INTID987 ,Interrupt ID987 Priority/Priority Byte Offset 987 " hexmask.long.byte 0x00 16.--23. 1. " INTID986 ,Interrupt ID986 Priority/Priority Byte Offset 986 " hexmask.long.byte 0x00 8.--15. 1. " INTID985 ,Interrupt ID985 Priority/Priority Byte Offset 985 " hexmask.long.byte 0x00 0.--7. 1. " INTID984 ,Interrupt ID984 Priority/Priority Byte Offset 984 " group.long 0x7DC++0x03 line.long 0x00 "GICD_IPRIORITYR247,Interrupt Priority Register 247" hexmask.long.byte 0x00 24.--31. 1. " INTID991 ,Interrupt ID991 Priority/Priority Byte Offset 991 " hexmask.long.byte 0x00 16.--23. 1. " INTID990 ,Interrupt ID990 Priority/Priority Byte Offset 990 " hexmask.long.byte 0x00 8.--15. 1. " INTID989 ,Interrupt ID989 Priority/Priority Byte Offset 989 " hexmask.long.byte 0x00 0.--7. 1. " INTID988 ,Interrupt ID988 Priority/Priority Byte Offset 988 " else hgroup.long 0x7C0++0x03 hide.long 0x00 "GICD_IPRIORITYR240,Interrupt Priority Register 240" hgroup.long 0x7C4++0x03 hide.long 0x00 "GICD_IPRIORITYR241,Interrupt Priority Register 241" hgroup.long 0x7C8++0x03 hide.long 0x00 "GICD_IPRIORITYR242,Interrupt Priority Register 242" hgroup.long 0x7CC++0x03 hide.long 0x00 "GICD_IPRIORITYR243,Interrupt Priority Register 243" hgroup.long 0x7D0++0x03 hide.long 0x00 "GICD_IPRIORITYR244,Interrupt Priority Register 244" hgroup.long 0x7D4++0x03 hide.long 0x00 "GICD_IPRIORITYR245,Interrupt Priority Register 245" hgroup.long 0x7D8++0x03 hide.long 0x00 "GICD_IPRIORITYR246,Interrupt Priority Register 246" hgroup.long 0x7DC++0x03 hide.long 0x00 "GICD_IPRIORITYR247,Interrupt Priority Register 247" endif tree.end width 19. tree "Interrupt Targets Registers" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x000000E0)>0x1) hgroup.long 0x800++0x03 hide.long 0x00 "GICD_ITARGETSR0,Interrupt Processor Targets Register 0" hgroup.long 0x804++0x03 hide.long 0x00 "GICD_ITARGETSR1,Interrupt Processor Targets Register 1" hgroup.long 0x808++0x03 hide.long 0x00 "GICD_ITARGETSR2,Interrupt Processor Targets Register 2" hgroup.long 0x80C++0x03 hide.long 0x00 "GICD_ITARGETSR3,Interrupt Processor Targets Register 3" hgroup.long 0x810++0x03 hide.long 0x00 "GICD_ITARGETSR4,Interrupt Processor Targets Register 4" hgroup.long 0x814++0x03 hide.long 0x00 "GICD_ITARGETSR5,Interrupt Processor Targets Register 5" hgroup.long 0x818++0x03 hide.long 0x00 "GICD_ITARGETSR6,Interrupt Processor Targets Register 6" hgroup.long 0x81C++0x03 hide.long 0x00 "GICD_ITARGETSR7,Interrupt Processor Targets Register 7" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x01) group.long 0x820++0x03 line.long 0x00 "GICD_ITARGETSR8,Interrupt Processor Targets Register 8" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO35 ,CPU Targets Byte Offset 35 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO34 ,CPU Targets Byte Offset 34 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO33 ,CPU Targets Byte Offset 33 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO32 ,CPU Targets Byte Offset 32 " group.long 0x824++0x03 line.long 0x00 "GICD_ITARGETSR9,Interrupt Processor Targets Register 9" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO39 ,CPU Targets Byte Offset 39 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO38 ,CPU Targets Byte Offset 38 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO37 ,CPU Targets Byte Offset 37 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO36 ,CPU Targets Byte Offset 36 " group.long 0x828++0x03 line.long 0x00 "GICD_ITARGETSR10,Interrupt Processor Targets Register 10" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO43 ,CPU Targets Byte Offset 43 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO42 ,CPU Targets Byte Offset 42 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO41 ,CPU Targets Byte Offset 41 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO40 ,CPU Targets Byte Offset 40 " group.long 0x82C++0x03 line.long 0x00 "GICD_ITARGETSR11,Interrupt Processor Targets Register 11" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO47 ,CPU Targets Byte Offset 47 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO46 ,CPU Targets Byte Offset 46 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO45 ,CPU Targets Byte Offset 45 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO44 ,CPU Targets Byte Offset 44 " group.long 0x830++0x03 line.long 0x00 "GICD_ITARGETSR12,Interrupt Processor Targets Register 12" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO51 ,CPU Targets Byte Offset 51 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO50 ,CPU Targets Byte Offset 50 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO49 ,CPU Targets Byte Offset 49 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO48 ,CPU Targets Byte Offset 48 " group.long 0x834++0x03 line.long 0x00 "GICD_ITARGETSR13,Interrupt Processor Targets Register 13" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO55 ,CPU Targets Byte Offset 55 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO54 ,CPU Targets Byte Offset 54 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO53 ,CPU Targets Byte Offset 53 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO52 ,CPU Targets Byte Offset 52 " group.long 0x838++0x03 line.long 0x00 "GICD_ITARGETSR14,Interrupt Processor Targets Register 14" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO59 ,CPU Targets Byte Offset 59 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO58 ,CPU Targets Byte Offset 58 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO57 ,CPU Targets Byte Offset 57 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO56 ,CPU Targets Byte Offset 56 " group.long 0x83C++0x03 line.long 0x00 "GICD_ITARGETSR15,Interrupt Processor Targets Register 15" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO63 ,CPU Targets Byte Offset 63 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO62 ,CPU Targets Byte Offset 62 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO61 ,CPU Targets Byte Offset 61 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO60 ,CPU Targets Byte Offset 60 " else hgroup.long 0x820++0x03 hide.long 0x00 "GICD_ITARGETSR8,Interrupt Processor Targets Register 8" hgroup.long 0x824++0x03 hide.long 0x00 "GICD_ITARGETSR9,Interrupt Processor Targets Register 9" hgroup.long 0x828++0x03 hide.long 0x00 "GICD_ITARGETSR10,Interrupt Processor Targets Register 10" hgroup.long 0x82C++0x03 hide.long 0x00 "GICD_ITARGETSR11,Interrupt Processor Targets Register 11" hgroup.long 0x830++0x03 hide.long 0x00 "GICD_ITARGETSR12,Interrupt Processor Targets Register 12" hgroup.long 0x834++0x03 hide.long 0x00 "GICD_ITARGETSR13,Interrupt Processor Targets Register 13" hgroup.long 0x838++0x03 hide.long 0x00 "GICD_ITARGETSR14,Interrupt Processor Targets Register 14" hgroup.long 0x83C++0x03 hide.long 0x00 "GICD_ITARGETSR15,Interrupt Processor Targets Register 15" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x02) group.long 0x840++0x03 line.long 0x00 "GICD_ITARGETSR16,Interrupt Processor Targets Register 16" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO67 ,CPU Targets Byte Offset 67 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO66 ,CPU Targets Byte Offset 66 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO65 ,CPU Targets Byte Offset 65 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO64 ,CPU Targets Byte Offset 64 " group.long 0x844++0x03 line.long 0x00 "GICD_ITARGETSR17,Interrupt Processor Targets Register 17" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO71 ,CPU Targets Byte Offset 71 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO70 ,CPU Targets Byte Offset 70 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO69 ,CPU Targets Byte Offset 69 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO68 ,CPU Targets Byte Offset 68 " group.long 0x848++0x03 line.long 0x00 "GICD_ITARGETSR18,Interrupt Processor Targets Register 18" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO75 ,CPU Targets Byte Offset 75 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO74 ,CPU Targets Byte Offset 74 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO73 ,CPU Targets Byte Offset 73 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO72 ,CPU Targets Byte Offset 72 " group.long 0x84C++0x03 line.long 0x00 "GICD_ITARGETSR19,Interrupt Processor Targets Register 19" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO79 ,CPU Targets Byte Offset 79 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO78 ,CPU Targets Byte Offset 78 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO77 ,CPU Targets Byte Offset 77 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO76 ,CPU Targets Byte Offset 76 " group.long 0x850++0x03 line.long 0x00 "GICD_ITARGETSR20,Interrupt Processor Targets Register 20" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO83 ,CPU Targets Byte Offset 83 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO82 ,CPU Targets Byte Offset 82 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO81 ,CPU Targets Byte Offset 81 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO80 ,CPU Targets Byte Offset 80 " group.long 0x854++0x03 line.long 0x00 "GICD_ITARGETSR21,Interrupt Processor Targets Register 21" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO87 ,CPU Targets Byte Offset 87 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO86 ,CPU Targets Byte Offset 86 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO85 ,CPU Targets Byte Offset 85 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO84 ,CPU Targets Byte Offset 84 " group.long 0x858++0x03 line.long 0x00 "GICD_ITARGETSR22,Interrupt Processor Targets Register 22" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO91 ,CPU Targets Byte Offset 91 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO90 ,CPU Targets Byte Offset 90 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO89 ,CPU Targets Byte Offset 89 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO88 ,CPU Targets Byte Offset 88 " group.long 0x85C++0x03 line.long 0x00 "GICD_ITARGETSR23,Interrupt Processor Targets Register 23" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO95 ,CPU Targets Byte Offset 95 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO94 ,CPU Targets Byte Offset 94 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO93 ,CPU Targets Byte Offset 93 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO92 ,CPU Targets Byte Offset 92 " else hgroup.long 0x840++0x03 hide.long 0x00 "GICD_ITARGETSR16,Interrupt Processor Targets Register 16" hgroup.long 0x844++0x03 hide.long 0x00 "GICD_ITARGETSR17,Interrupt Processor Targets Register 17" hgroup.long 0x848++0x03 hide.long 0x00 "GICD_ITARGETSR18,Interrupt Processor Targets Register 18" hgroup.long 0x84C++0x03 hide.long 0x00 "GICD_ITARGETSR19,Interrupt Processor Targets Register 19" hgroup.long 0x850++0x03 hide.long 0x00 "GICD_ITARGETSR20,Interrupt Processor Targets Register 20" hgroup.long 0x854++0x03 hide.long 0x00 "GICD_ITARGETSR21,Interrupt Processor Targets Register 21" hgroup.long 0x858++0x03 hide.long 0x00 "GICD_ITARGETSR22,Interrupt Processor Targets Register 22" hgroup.long 0x85C++0x03 hide.long 0x00 "GICD_ITARGETSR23,Interrupt Processor Targets Register 23" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x03) group.long 0x860++0x03 line.long 0x00 "GICD_ITARGETSR24,Interrupt Processor Targets Register 24" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO99 ,CPU Targets Byte Offset 99 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO98 ,CPU Targets Byte Offset 98 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO97 ,CPU Targets Byte Offset 97 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO96 ,CPU Targets Byte Offset 96 " group.long 0x864++0x03 line.long 0x00 "GICD_ITARGETSR25,Interrupt Processor Targets Register 25" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO103 ,CPU Targets Byte Offset 103 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO102 ,CPU Targets Byte Offset 102 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO101 ,CPU Targets Byte Offset 101 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO100 ,CPU Targets Byte Offset 100 " group.long 0x868++0x03 line.long 0x00 "GICD_ITARGETSR26,Interrupt Processor Targets Register 26" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO107 ,CPU Targets Byte Offset 107 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO106 ,CPU Targets Byte Offset 106 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO105 ,CPU Targets Byte Offset 105 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO104 ,CPU Targets Byte Offset 104 " group.long 0x86C++0x03 line.long 0x00 "GICD_ITARGETSR27,Interrupt Processor Targets Register 27" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO111 ,CPU Targets Byte Offset 111 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO110 ,CPU Targets Byte Offset 110 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO109 ,CPU Targets Byte Offset 109 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO108 ,CPU Targets Byte Offset 108 " group.long 0x870++0x03 line.long 0x00 "GICD_ITARGETSR28,Interrupt Processor Targets Register 28" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO115 ,CPU Targets Byte Offset 115 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO114 ,CPU Targets Byte Offset 114 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO113 ,CPU Targets Byte Offset 113 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO112 ,CPU Targets Byte Offset 112 " group.long 0x874++0x03 line.long 0x00 "GICD_ITARGETSR29,Interrupt Processor Targets Register 29" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO119 ,CPU Targets Byte Offset 119 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO118 ,CPU Targets Byte Offset 118 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO117 ,CPU Targets Byte Offset 117 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO116 ,CPU Targets Byte Offset 116 " group.long 0x878++0x03 line.long 0x00 "GICD_ITARGETSR30,Interrupt Processor Targets Register 30" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO123 ,CPU Targets Byte Offset 123 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO122 ,CPU Targets Byte Offset 122 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO121 ,CPU Targets Byte Offset 121 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO120 ,CPU Targets Byte Offset 120 " group.long 0x87C++0x03 line.long 0x00 "GICD_ITARGETSR31,Interrupt Processor Targets Register 31" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO127 ,CPU Targets Byte Offset 127 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO126 ,CPU Targets Byte Offset 126 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO125 ,CPU Targets Byte Offset 125 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO124 ,CPU Targets Byte Offset 124 " else hgroup.long 0x860++0x03 hide.long 0x00 "GICD_ITARGETSR24,Interrupt Processor Targets Register 24" hgroup.long 0x864++0x03 hide.long 0x00 "GICD_ITARGETSR25,Interrupt Processor Targets Register 25" hgroup.long 0x868++0x03 hide.long 0x00 "GICD_ITARGETSR26,Interrupt Processor Targets Register 26" hgroup.long 0x86C++0x03 hide.long 0x00 "GICD_ITARGETSR27,Interrupt Processor Targets Register 27" hgroup.long 0x870++0x03 hide.long 0x00 "GICD_ITARGETSR28,Interrupt Processor Targets Register 28" hgroup.long 0x874++0x03 hide.long 0x00 "GICD_ITARGETSR29,Interrupt Processor Targets Register 29" hgroup.long 0x878++0x03 hide.long 0x00 "GICD_ITARGETSR30,Interrupt Processor Targets Register 30" hgroup.long 0x87C++0x03 hide.long 0x00 "GICD_ITARGETSR31,Interrupt Processor Targets Register 31" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x04) group.long 0x880++0x03 line.long 0x00 "GICD_ITARGETSR32,Interrupt Processor Targets Register 32" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO131 ,CPU Targets Byte Offset 131 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO130 ,CPU Targets Byte Offset 130 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO129 ,CPU Targets Byte Offset 129 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO128 ,CPU Targets Byte Offset 128 " group.long 0x884++0x03 line.long 0x00 "GICD_ITARGETSR33,Interrupt Processor Targets Register 33" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO135 ,CPU Targets Byte Offset 135 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO134 ,CPU Targets Byte Offset 134 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO133 ,CPU Targets Byte Offset 133 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO132 ,CPU Targets Byte Offset 132 " group.long 0x888++0x03 line.long 0x00 "GICD_ITARGETSR34,Interrupt Processor Targets Register 34" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO139 ,CPU Targets Byte Offset 139 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO138 ,CPU Targets Byte Offset 138 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO137 ,CPU Targets Byte Offset 137 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO136 ,CPU Targets Byte Offset 136 " group.long 0x88C++0x03 line.long 0x00 "GICD_ITARGETSR35,Interrupt Processor Targets Register 35" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO143 ,CPU Targets Byte Offset 143 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO142 ,CPU Targets Byte Offset 142 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO141 ,CPU Targets Byte Offset 141 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO140 ,CPU Targets Byte Offset 140 " group.long 0x890++0x03 line.long 0x00 "GICD_ITARGETSR36,Interrupt Processor Targets Register 36" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO147 ,CPU Targets Byte Offset 147 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO146 ,CPU Targets Byte Offset 146 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO145 ,CPU Targets Byte Offset 145 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO144 ,CPU Targets Byte Offset 144 " group.long 0x894++0x03 line.long 0x00 "GICD_ITARGETSR37,Interrupt Processor Targets Register 37" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO151 ,CPU Targets Byte Offset 151 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO150 ,CPU Targets Byte Offset 150 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO149 ,CPU Targets Byte Offset 149 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO148 ,CPU Targets Byte Offset 148 " group.long 0x898++0x03 line.long 0x00 "GICD_ITARGETSR38,Interrupt Processor Targets Register 38" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO155 ,CPU Targets Byte Offset 155 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO154 ,CPU Targets Byte Offset 154 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO153 ,CPU Targets Byte Offset 153 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO152 ,CPU Targets Byte Offset 152 " group.long 0x89C++0x03 line.long 0x00 "GICD_ITARGETSR39,Interrupt Processor Targets Register 39" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO159 ,CPU Targets Byte Offset 159 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO158 ,CPU Targets Byte Offset 158 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO157 ,CPU Targets Byte Offset 157 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO156 ,CPU Targets Byte Offset 156 " else hgroup.long 0x880++0x03 hide.long 0x00 "GICD_ITARGETSR32,Interrupt Processor Targets Register 32" hgroup.long 0x884++0x03 hide.long 0x00 "GICD_ITARGETSR33,Interrupt Processor Targets Register 33" hgroup.long 0x888++0x03 hide.long 0x00 "GICD_ITARGETSR34,Interrupt Processor Targets Register 34" hgroup.long 0x88C++0x03 hide.long 0x00 "GICD_ITARGETSR35,Interrupt Processor Targets Register 35" hgroup.long 0x890++0x03 hide.long 0x00 "GICD_ITARGETSR36,Interrupt Processor Targets Register 36" hgroup.long 0x894++0x03 hide.long 0x00 "GICD_ITARGETSR37,Interrupt Processor Targets Register 37" hgroup.long 0x898++0x03 hide.long 0x00 "GICD_ITARGETSR38,Interrupt Processor Targets Register 38" hgroup.long 0x89C++0x03 hide.long 0x00 "GICD_ITARGETSR39,Interrupt Processor Targets Register 39" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x05) group.long 0x8A0++0x03 line.long 0x00 "GICD_ITARGETSR40,Interrupt Processor Targets Register 40" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO163 ,CPU Targets Byte Offset 163 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO162 ,CPU Targets Byte Offset 162 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO161 ,CPU Targets Byte Offset 161 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO160 ,CPU Targets Byte Offset 160 " group.long 0x8A4++0x03 line.long 0x00 "GICD_ITARGETSR41,Interrupt Processor Targets Register 41" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO167 ,CPU Targets Byte Offset 167 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO166 ,CPU Targets Byte Offset 166 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO165 ,CPU Targets Byte Offset 165 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO164 ,CPU Targets Byte Offset 164 " group.long 0x8A8++0x03 line.long 0x00 "GICD_ITARGETSR42,Interrupt Processor Targets Register 42" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO171 ,CPU Targets Byte Offset 171 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO170 ,CPU Targets Byte Offset 170 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO169 ,CPU Targets Byte Offset 169 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO168 ,CPU Targets Byte Offset 168 " group.long 0x8AC++0x03 line.long 0x00 "GICD_ITARGETSR43,Interrupt Processor Targets Register 43" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO175 ,CPU Targets Byte Offset 175 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO174 ,CPU Targets Byte Offset 174 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO173 ,CPU Targets Byte Offset 173 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO172 ,CPU Targets Byte Offset 172 " group.long 0x8B0++0x03 line.long 0x00 "GICD_ITARGETSR44,Interrupt Processor Targets Register 44" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO179 ,CPU Targets Byte Offset 179 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO178 ,CPU Targets Byte Offset 178 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO177 ,CPU Targets Byte Offset 177 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO176 ,CPU Targets Byte Offset 176 " group.long 0x8B4++0x03 line.long 0x00 "GICD_ITARGETSR45,Interrupt Processor Targets Register 45" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO183 ,CPU Targets Byte Offset 183 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO182 ,CPU Targets Byte Offset 182 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO181 ,CPU Targets Byte Offset 181 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO180 ,CPU Targets Byte Offset 180 " group.long 0x8B8++0x03 line.long 0x00 "GICD_ITARGETSR46,Interrupt Processor Targets Register 46" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO187 ,CPU Targets Byte Offset 187 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO186 ,CPU Targets Byte Offset 186 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO185 ,CPU Targets Byte Offset 185 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO184 ,CPU Targets Byte Offset 184 " group.long 0x8BC++0x03 line.long 0x00 "GICD_ITARGETSR47,Interrupt Processor Targets Register 47" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO191 ,CPU Targets Byte Offset 191 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO190 ,CPU Targets Byte Offset 190 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO189 ,CPU Targets Byte Offset 189 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO188 ,CPU Targets Byte Offset 188 " else hgroup.long 0x8A0++0x03 hide.long 0x00 "GICD_ITARGETSR40,Interrupt Processor Targets Register 40" hgroup.long 0x8A4++0x03 hide.long 0x00 "GICD_ITARGETSR41,Interrupt Processor Targets Register 41" hgroup.long 0x8A8++0x03 hide.long 0x00 "GICD_ITARGETSR42,Interrupt Processor Targets Register 42" hgroup.long 0x8AC++0x03 hide.long 0x00 "GICD_ITARGETSR43,Interrupt Processor Targets Register 43" hgroup.long 0x8B0++0x03 hide.long 0x00 "GICD_ITARGETSR44,Interrupt Processor Targets Register 44" hgroup.long 0x8B4++0x03 hide.long 0x00 "GICD_ITARGETSR45,Interrupt Processor Targets Register 45" hgroup.long 0x8B8++0x03 hide.long 0x00 "GICD_ITARGETSR46,Interrupt Processor Targets Register 46" hgroup.long 0x8BC++0x03 hide.long 0x00 "GICD_ITARGETSR47,Interrupt Processor Targets Register 47" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x06) group.long 0x8C0++0x03 line.long 0x00 "GICD_ITARGETSR48,Interrupt Processor Targets Register 48" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO195 ,CPU Targets Byte Offset 195 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO194 ,CPU Targets Byte Offset 194 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO193 ,CPU Targets Byte Offset 193 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO192 ,CPU Targets Byte Offset 192 " group.long 0x8C4++0x03 line.long 0x00 "GICD_ITARGETSR49,Interrupt Processor Targets Register 49" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO199 ,CPU Targets Byte Offset 199 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO198 ,CPU Targets Byte Offset 198 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO197 ,CPU Targets Byte Offset 197 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO196 ,CPU Targets Byte Offset 196 " group.long 0x8C8++0x03 line.long 0x00 "GICD_ITARGETSR50,Interrupt Processor Targets Register 50" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO203 ,CPU Targets Byte Offset 203 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO202 ,CPU Targets Byte Offset 202 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO201 ,CPU Targets Byte Offset 201 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO200 ,CPU Targets Byte Offset 200 " group.long 0x8CC++0x03 line.long 0x00 "GICD_ITARGETSR51,Interrupt Processor Targets Register 51" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO207 ,CPU Targets Byte Offset 207 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO206 ,CPU Targets Byte Offset 206 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO205 ,CPU Targets Byte Offset 205 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO204 ,CPU Targets Byte Offset 204 " group.long 0x8D0++0x03 line.long 0x00 "GICD_ITARGETSR52,Interrupt Processor Targets Register 52" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO211 ,CPU Targets Byte Offset 211 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO210 ,CPU Targets Byte Offset 210 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO209 ,CPU Targets Byte Offset 209 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO208 ,CPU Targets Byte Offset 208 " group.long 0x8D4++0x03 line.long 0x00 "GICD_ITARGETSR53,Interrupt Processor Targets Register 53" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO215 ,CPU Targets Byte Offset 215 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO214 ,CPU Targets Byte Offset 214 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO213 ,CPU Targets Byte Offset 213 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO212 ,CPU Targets Byte Offset 212 " group.long 0x8D8++0x03 line.long 0x00 "GICD_ITARGETSR54,Interrupt Processor Targets Register 54" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO219 ,CPU Targets Byte Offset 219 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO218 ,CPU Targets Byte Offset 218 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO217 ,CPU Targets Byte Offset 217 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO216 ,CPU Targets Byte Offset 216 " group.long 0x8DC++0x03 line.long 0x00 "GICD_ITARGETSR55,Interrupt Processor Targets Register 55" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO223 ,CPU Targets Byte Offset 223 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO222 ,CPU Targets Byte Offset 222 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO221 ,CPU Targets Byte Offset 221 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO220 ,CPU Targets Byte Offset 220 " else hgroup.long 0x8C0++0x03 hide.long 0x00 "GICD_ITARGETSR48,Interrupt Processor Targets Register 48" hgroup.long 0x8C4++0x03 hide.long 0x00 "GICD_ITARGETSR49,Interrupt Processor Targets Register 49" hgroup.long 0x8C8++0x03 hide.long 0x00 "GICD_ITARGETSR50,Interrupt Processor Targets Register 50" hgroup.long 0x8CC++0x03 hide.long 0x00 "GICD_ITARGETSR51,Interrupt Processor Targets Register 51" hgroup.long 0x8D0++0x03 hide.long 0x00 "GICD_ITARGETSR52,Interrupt Processor Targets Register 52" hgroup.long 0x8D4++0x03 hide.long 0x00 "GICD_ITARGETSR53,Interrupt Processor Targets Register 53" hgroup.long 0x8D8++0x03 hide.long 0x00 "GICD_ITARGETSR54,Interrupt Processor Targets Register 54" hgroup.long 0x8DC++0x03 hide.long 0x00 "GICD_ITARGETSR55,Interrupt Processor Targets Register 55" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x07) group.long 0x8E0++0x03 line.long 0x00 "GICD_ITARGETSR56,Interrupt Processor Targets Register 56" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO227 ,CPU Targets Byte Offset 227 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO226 ,CPU Targets Byte Offset 226 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO225 ,CPU Targets Byte Offset 225 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO224 ,CPU Targets Byte Offset 224 " group.long 0x8E4++0x03 line.long 0x00 "GICD_ITARGETSR57,Interrupt Processor Targets Register 57" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO231 ,CPU Targets Byte Offset 231 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO230 ,CPU Targets Byte Offset 230 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO229 ,CPU Targets Byte Offset 229 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO228 ,CPU Targets Byte Offset 228 " group.long 0x8E8++0x03 line.long 0x00 "GICD_ITARGETSR58,Interrupt Processor Targets Register 58" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO235 ,CPU Targets Byte Offset 235 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO234 ,CPU Targets Byte Offset 234 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO233 ,CPU Targets Byte Offset 233 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO232 ,CPU Targets Byte Offset 232 " group.long 0x8EC++0x03 line.long 0x00 "GICD_ITARGETSR59,Interrupt Processor Targets Register 59" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO239 ,CPU Targets Byte Offset 239 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO238 ,CPU Targets Byte Offset 238 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO237 ,CPU Targets Byte Offset 237 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO236 ,CPU Targets Byte Offset 236 " group.long 0x8F0++0x03 line.long 0x00 "GICD_ITARGETSR60,Interrupt Processor Targets Register 60" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO243 ,CPU Targets Byte Offset 243 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO242 ,CPU Targets Byte Offset 242 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO241 ,CPU Targets Byte Offset 241 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO240 ,CPU Targets Byte Offset 240 " group.long 0x8F4++0x03 line.long 0x00 "GICD_ITARGETSR61,Interrupt Processor Targets Register 61" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO247 ,CPU Targets Byte Offset 247 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO246 ,CPU Targets Byte Offset 246 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO245 ,CPU Targets Byte Offset 245 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO244 ,CPU Targets Byte Offset 244 " group.long 0x8F8++0x03 line.long 0x00 "GICD_ITARGETSR62,Interrupt Processor Targets Register 62" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO251 ,CPU Targets Byte Offset 251 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO250 ,CPU Targets Byte Offset 250 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO249 ,CPU Targets Byte Offset 249 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO248 ,CPU Targets Byte Offset 248 " group.long 0x8FC++0x03 line.long 0x00 "GICD_ITARGETSR63,Interrupt Processor Targets Register 63" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO255 ,CPU Targets Byte Offset 255 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO254 ,CPU Targets Byte Offset 254 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO253 ,CPU Targets Byte Offset 253 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO252 ,CPU Targets Byte Offset 252 " else hgroup.long 0x8E0++0x03 hide.long 0x00 "GICD_ITARGETSR56,Interrupt Processor Targets Register 56" hgroup.long 0x8E4++0x03 hide.long 0x00 "GICD_ITARGETSR57,Interrupt Processor Targets Register 57" hgroup.long 0x8E8++0x03 hide.long 0x00 "GICD_ITARGETSR58,Interrupt Processor Targets Register 58" hgroup.long 0x8EC++0x03 hide.long 0x00 "GICD_ITARGETSR59,Interrupt Processor Targets Register 59" hgroup.long 0x8F0++0x03 hide.long 0x00 "GICD_ITARGETSR60,Interrupt Processor Targets Register 60" hgroup.long 0x8F4++0x03 hide.long 0x00 "GICD_ITARGETSR61,Interrupt Processor Targets Register 61" hgroup.long 0x8F8++0x03 hide.long 0x00 "GICD_ITARGETSR62,Interrupt Processor Targets Register 62" hgroup.long 0x8FC++0x03 hide.long 0x00 "GICD_ITARGETSR63,Interrupt Processor Targets Register 63" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x08) group.long 0x900++0x03 line.long 0x00 "GICD_ITARGETSR64,Interrupt Processor Targets Register 64" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO259 ,CPU Targets Byte Offset 259 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO258 ,CPU Targets Byte Offset 258 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO257 ,CPU Targets Byte Offset 257 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO256 ,CPU Targets Byte Offset 256 " group.long 0x904++0x03 line.long 0x00 "GICD_ITARGETSR65,Interrupt Processor Targets Register 65" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO263 ,CPU Targets Byte Offset 263 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO262 ,CPU Targets Byte Offset 262 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO261 ,CPU Targets Byte Offset 261 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO260 ,CPU Targets Byte Offset 260 " group.long 0x908++0x03 line.long 0x00 "GICD_ITARGETSR66,Interrupt Processor Targets Register 66" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO267 ,CPU Targets Byte Offset 267 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO266 ,CPU Targets Byte Offset 266 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO265 ,CPU Targets Byte Offset 265 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO264 ,CPU Targets Byte Offset 264 " group.long 0x90C++0x03 line.long 0x00 "GICD_ITARGETSR67,Interrupt Processor Targets Register 67" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO271 ,CPU Targets Byte Offset 271 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO270 ,CPU Targets Byte Offset 270 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO269 ,CPU Targets Byte Offset 269 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO268 ,CPU Targets Byte Offset 268 " group.long 0x910++0x03 line.long 0x00 "GICD_ITARGETSR68,Interrupt Processor Targets Register 68" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO275 ,CPU Targets Byte Offset 275 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO274 ,CPU Targets Byte Offset 274 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO273 ,CPU Targets Byte Offset 273 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO272 ,CPU Targets Byte Offset 272 " group.long 0x914++0x03 line.long 0x00 "GICD_ITARGETSR69,Interrupt Processor Targets Register 69" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO279 ,CPU Targets Byte Offset 279 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO278 ,CPU Targets Byte Offset 278 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO277 ,CPU Targets Byte Offset 277 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO276 ,CPU Targets Byte Offset 276 " group.long 0x918++0x03 line.long 0x00 "GICD_ITARGETSR70,Interrupt Processor Targets Register 70" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO283 ,CPU Targets Byte Offset 283 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO282 ,CPU Targets Byte Offset 282 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO281 ,CPU Targets Byte Offset 281 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO280 ,CPU Targets Byte Offset 280 " group.long 0x91C++0x03 line.long 0x00 "GICD_ITARGETSR71,Interrupt Processor Targets Register 71" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO287 ,CPU Targets Byte Offset 287 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO286 ,CPU Targets Byte Offset 286 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO285 ,CPU Targets Byte Offset 285 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO284 ,CPU Targets Byte Offset 284 " else hgroup.long 0x900++0x03 hide.long 0x00 "GICD_ITARGETSR64,Interrupt Processor Targets Register 64" hgroup.long 0x904++0x03 hide.long 0x00 "GICD_ITARGETSR65,Interrupt Processor Targets Register 65" hgroup.long 0x908++0x03 hide.long 0x00 "GICD_ITARGETSR66,Interrupt Processor Targets Register 66" hgroup.long 0x90C++0x03 hide.long 0x00 "GICD_ITARGETSR67,Interrupt Processor Targets Register 67" hgroup.long 0x910++0x03 hide.long 0x00 "GICD_ITARGETSR68,Interrupt Processor Targets Register 68" hgroup.long 0x914++0x03 hide.long 0x00 "GICD_ITARGETSR69,Interrupt Processor Targets Register 69" hgroup.long 0x918++0x03 hide.long 0x00 "GICD_ITARGETSR70,Interrupt Processor Targets Register 70" hgroup.long 0x91C++0x03 hide.long 0x00 "GICD_ITARGETSR71,Interrupt Processor Targets Register 71" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x09) group.long 0x920++0x03 line.long 0x00 "GICD_ITARGETSR72,Interrupt Processor Targets Register 72" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO291 ,CPU Targets Byte Offset 291 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO290 ,CPU Targets Byte Offset 290 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO289 ,CPU Targets Byte Offset 289 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO288 ,CPU Targets Byte Offset 288 " group.long 0x924++0x03 line.long 0x00 "GICD_ITARGETSR73,Interrupt Processor Targets Register 73" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO295 ,CPU Targets Byte Offset 295 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO294 ,CPU Targets Byte Offset 294 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO293 ,CPU Targets Byte Offset 293 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO292 ,CPU Targets Byte Offset 292 " group.long 0x928++0x03 line.long 0x00 "GICD_ITARGETSR74,Interrupt Processor Targets Register 74" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO299 ,CPU Targets Byte Offset 299 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO298 ,CPU Targets Byte Offset 298 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO297 ,CPU Targets Byte Offset 297 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO296 ,CPU Targets Byte Offset 296 " group.long 0x92C++0x03 line.long 0x00 "GICD_ITARGETSR75,Interrupt Processor Targets Register 75" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO303 ,CPU Targets Byte Offset 303 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO302 ,CPU Targets Byte Offset 302 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO301 ,CPU Targets Byte Offset 301 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO300 ,CPU Targets Byte Offset 300 " group.long 0x930++0x03 line.long 0x00 "GICD_ITARGETSR76,Interrupt Processor Targets Register 76" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO307 ,CPU Targets Byte Offset 307 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO306 ,CPU Targets Byte Offset 306 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO305 ,CPU Targets Byte Offset 305 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO304 ,CPU Targets Byte Offset 304 " group.long 0x934++0x03 line.long 0x00 "GICD_ITARGETSR77,Interrupt Processor Targets Register 77" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO311 ,CPU Targets Byte Offset 311 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO310 ,CPU Targets Byte Offset 310 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO309 ,CPU Targets Byte Offset 309 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO308 ,CPU Targets Byte Offset 308 " group.long 0x938++0x03 line.long 0x00 "GICD_ITARGETSR78,Interrupt Processor Targets Register 78" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO315 ,CPU Targets Byte Offset 315 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO314 ,CPU Targets Byte Offset 314 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO313 ,CPU Targets Byte Offset 313 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO312 ,CPU Targets Byte Offset 312 " group.long 0x93C++0x03 line.long 0x00 "GICD_ITARGETSR79,Interrupt Processor Targets Register 79" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO319 ,CPU Targets Byte Offset 319 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO318 ,CPU Targets Byte Offset 318 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO317 ,CPU Targets Byte Offset 317 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO316 ,CPU Targets Byte Offset 316 " else hgroup.long 0x920++0x03 hide.long 0x00 "GICD_ITARGETSR72,Interrupt Processor Targets Register 72" hgroup.long 0x924++0x03 hide.long 0x00 "GICD_ITARGETSR73,Interrupt Processor Targets Register 73" hgroup.long 0x928++0x03 hide.long 0x00 "GICD_ITARGETSR74,Interrupt Processor Targets Register 74" hgroup.long 0x92C++0x03 hide.long 0x00 "GICD_ITARGETSR75,Interrupt Processor Targets Register 75" hgroup.long 0x930++0x03 hide.long 0x00 "GICD_ITARGETSR76,Interrupt Processor Targets Register 76" hgroup.long 0x934++0x03 hide.long 0x00 "GICD_ITARGETSR77,Interrupt Processor Targets Register 77" hgroup.long 0x938++0x03 hide.long 0x00 "GICD_ITARGETSR78,Interrupt Processor Targets Register 78" hgroup.long 0x93C++0x03 hide.long 0x00 "GICD_ITARGETSR79,Interrupt Processor Targets Register 79" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0A) group.long 0x940++0x03 line.long 0x00 "GICD_ITARGETSR80,Interrupt Processor Targets Register 80" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO323 ,CPU Targets Byte Offset 323 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO322 ,CPU Targets Byte Offset 322 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO321 ,CPU Targets Byte Offset 321 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO320 ,CPU Targets Byte Offset 320 " group.long 0x944++0x03 line.long 0x00 "GICD_ITARGETSR81,Interrupt Processor Targets Register 81" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO327 ,CPU Targets Byte Offset 327 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO326 ,CPU Targets Byte Offset 326 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO325 ,CPU Targets Byte Offset 325 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO324 ,CPU Targets Byte Offset 324 " group.long 0x948++0x03 line.long 0x00 "GICD_ITARGETSR82,Interrupt Processor Targets Register 82" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO331 ,CPU Targets Byte Offset 331 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO330 ,CPU Targets Byte Offset 330 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO329 ,CPU Targets Byte Offset 329 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO328 ,CPU Targets Byte Offset 328 " group.long 0x94C++0x03 line.long 0x00 "GICD_ITARGETSR83,Interrupt Processor Targets Register 83" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO335 ,CPU Targets Byte Offset 335 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO334 ,CPU Targets Byte Offset 334 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO333 ,CPU Targets Byte Offset 333 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO332 ,CPU Targets Byte Offset 332 " group.long 0x950++0x03 line.long 0x00 "GICD_ITARGETSR84,Interrupt Processor Targets Register 84" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO339 ,CPU Targets Byte Offset 339 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO338 ,CPU Targets Byte Offset 338 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO337 ,CPU Targets Byte Offset 337 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO336 ,CPU Targets Byte Offset 336 " group.long 0x954++0x03 line.long 0x00 "GICD_ITARGETSR85,Interrupt Processor Targets Register 85" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO343 ,CPU Targets Byte Offset 343 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO342 ,CPU Targets Byte Offset 342 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO341 ,CPU Targets Byte Offset 341 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO340 ,CPU Targets Byte Offset 340 " group.long 0x958++0x03 line.long 0x00 "GICD_ITARGETSR86,Interrupt Processor Targets Register 86" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO347 ,CPU Targets Byte Offset 347 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO346 ,CPU Targets Byte Offset 346 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO345 ,CPU Targets Byte Offset 345 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO344 ,CPU Targets Byte Offset 344 " group.long 0x95C++0x03 line.long 0x00 "GICD_ITARGETSR87,Interrupt Processor Targets Register 87" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO351 ,CPU Targets Byte Offset 351 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO350 ,CPU Targets Byte Offset 350 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO349 ,CPU Targets Byte Offset 349 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO348 ,CPU Targets Byte Offset 348 " else hgroup.long 0x940++0x03 hide.long 0x00 "GICD_ITARGETSR80,Interrupt Processor Targets Register 80" hgroup.long 0x944++0x03 hide.long 0x00 "GICD_ITARGETSR81,Interrupt Processor Targets Register 81" hgroup.long 0x948++0x03 hide.long 0x00 "GICD_ITARGETSR82,Interrupt Processor Targets Register 82" hgroup.long 0x94C++0x03 hide.long 0x00 "GICD_ITARGETSR83,Interrupt Processor Targets Register 83" hgroup.long 0x950++0x03 hide.long 0x00 "GICD_ITARGETSR84,Interrupt Processor Targets Register 84" hgroup.long 0x954++0x03 hide.long 0x00 "GICD_ITARGETSR85,Interrupt Processor Targets Register 85" hgroup.long 0x958++0x03 hide.long 0x00 "GICD_ITARGETSR86,Interrupt Processor Targets Register 86" hgroup.long 0x95C++0x03 hide.long 0x00 "GICD_ITARGETSR87,Interrupt Processor Targets Register 87" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0B) group.long 0x960++0x03 line.long 0x00 "GICD_ITARGETSR88,Interrupt Processor Targets Register 88" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO355 ,CPU Targets Byte Offset 355 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO354 ,CPU Targets Byte Offset 354 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO353 ,CPU Targets Byte Offset 353 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO352 ,CPU Targets Byte Offset 352 " group.long 0x964++0x03 line.long 0x00 "GICD_ITARGETSR89,Interrupt Processor Targets Register 89" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO359 ,CPU Targets Byte Offset 359 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO358 ,CPU Targets Byte Offset 358 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO357 ,CPU Targets Byte Offset 357 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO356 ,CPU Targets Byte Offset 356 " group.long 0x968++0x03 line.long 0x00 "GICD_ITARGETSR90,Interrupt Processor Targets Register 90" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO363 ,CPU Targets Byte Offset 363 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO362 ,CPU Targets Byte Offset 362 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO361 ,CPU Targets Byte Offset 361 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO360 ,CPU Targets Byte Offset 360 " group.long 0x96C++0x03 line.long 0x00 "GICD_ITARGETSR91,Interrupt Processor Targets Register 91" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO367 ,CPU Targets Byte Offset 367 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO366 ,CPU Targets Byte Offset 366 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO365 ,CPU Targets Byte Offset 365 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO364 ,CPU Targets Byte Offset 364 " group.long 0x970++0x03 line.long 0x00 "GICD_ITARGETSR92,Interrupt Processor Targets Register 92" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO371 ,CPU Targets Byte Offset 371 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO370 ,CPU Targets Byte Offset 370 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO369 ,CPU Targets Byte Offset 369 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO368 ,CPU Targets Byte Offset 368 " group.long 0x974++0x03 line.long 0x00 "GICD_ITARGETSR93,Interrupt Processor Targets Register 93" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO375 ,CPU Targets Byte Offset 375 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO374 ,CPU Targets Byte Offset 374 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO373 ,CPU Targets Byte Offset 373 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO372 ,CPU Targets Byte Offset 372 " group.long 0x978++0x03 line.long 0x00 "GICD_ITARGETSR94,Interrupt Processor Targets Register 94" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO379 ,CPU Targets Byte Offset 379 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO378 ,CPU Targets Byte Offset 378 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO377 ,CPU Targets Byte Offset 377 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO376 ,CPU Targets Byte Offset 376 " group.long 0x97C++0x03 line.long 0x00 "GICD_ITARGETSR95,Interrupt Processor Targets Register 95" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO383 ,CPU Targets Byte Offset 383 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO382 ,CPU Targets Byte Offset 382 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO381 ,CPU Targets Byte Offset 381 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO380 ,CPU Targets Byte Offset 380 " else hgroup.long 0x960++0x03 hide.long 0x00 "GICD_ITARGETSR88,Interrupt Processor Targets Register 88" hgroup.long 0x964++0x03 hide.long 0x00 "GICD_ITARGETSR89,Interrupt Processor Targets Register 89" hgroup.long 0x968++0x03 hide.long 0x00 "GICD_ITARGETSR90,Interrupt Processor Targets Register 90" hgroup.long 0x96C++0x03 hide.long 0x00 "GICD_ITARGETSR91,Interrupt Processor Targets Register 91" hgroup.long 0x970++0x03 hide.long 0x00 "GICD_ITARGETSR92,Interrupt Processor Targets Register 92" hgroup.long 0x974++0x03 hide.long 0x00 "GICD_ITARGETSR93,Interrupt Processor Targets Register 93" hgroup.long 0x978++0x03 hide.long 0x00 "GICD_ITARGETSR94,Interrupt Processor Targets Register 94" hgroup.long 0x97C++0x03 hide.long 0x00 "GICD_ITARGETSR95,Interrupt Processor Targets Register 95" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0C) group.long 0x980++0x03 line.long 0x00 "GICD_ITARGETSR96,Interrupt Processor Targets Register 96" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO387 ,CPU Targets Byte Offset 387 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO386 ,CPU Targets Byte Offset 386 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO385 ,CPU Targets Byte Offset 385 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO384 ,CPU Targets Byte Offset 384 " group.long 0x984++0x03 line.long 0x00 "GICD_ITARGETSR97,Interrupt Processor Targets Register 97" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO391 ,CPU Targets Byte Offset 391 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO390 ,CPU Targets Byte Offset 390 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO389 ,CPU Targets Byte Offset 389 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO388 ,CPU Targets Byte Offset 388 " group.long 0x988++0x03 line.long 0x00 "GICD_ITARGETSR98,Interrupt Processor Targets Register 98" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO395 ,CPU Targets Byte Offset 395 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO394 ,CPU Targets Byte Offset 394 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO393 ,CPU Targets Byte Offset 393 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO392 ,CPU Targets Byte Offset 392 " group.long 0x98C++0x03 line.long 0x00 "GICD_ITARGETSR99,Interrupt Processor Targets Register 99" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO399 ,CPU Targets Byte Offset 399 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO398 ,CPU Targets Byte Offset 398 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO397 ,CPU Targets Byte Offset 397 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO396 ,CPU Targets Byte Offset 396 " group.long 0x990++0x03 line.long 0x00 "GICD_ITARGETSR100,Interrupt Processor Targets Register 100" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO403 ,CPU Targets Byte Offset 403 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO402 ,CPU Targets Byte Offset 402 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO401 ,CPU Targets Byte Offset 401 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO400 ,CPU Targets Byte Offset 400 " group.long 0x994++0x03 line.long 0x00 "GICD_ITARGETSR101,Interrupt Processor Targets Register 101" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO407 ,CPU Targets Byte Offset 407 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO406 ,CPU Targets Byte Offset 406 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO405 ,CPU Targets Byte Offset 405 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO404 ,CPU Targets Byte Offset 404 " group.long 0x998++0x03 line.long 0x00 "GICD_ITARGETSR102,Interrupt Processor Targets Register 102" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO411 ,CPU Targets Byte Offset 411 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO410 ,CPU Targets Byte Offset 410 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO409 ,CPU Targets Byte Offset 409 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO408 ,CPU Targets Byte Offset 408 " group.long 0x99C++0x03 line.long 0x00 "GICD_ITARGETSR103,Interrupt Processor Targets Register 103" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO415 ,CPU Targets Byte Offset 415 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO414 ,CPU Targets Byte Offset 414 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO413 ,CPU Targets Byte Offset 413 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO412 ,CPU Targets Byte Offset 412 " else hgroup.long 0x980++0x03 hide.long 0x00 "GICD_ITARGETSR96,Interrupt Processor Targets Register 96" hgroup.long 0x984++0x03 hide.long 0x00 "GICD_ITARGETSR97,Interrupt Processor Targets Register 97" hgroup.long 0x988++0x03 hide.long 0x00 "GICD_ITARGETSR98,Interrupt Processor Targets Register 98" hgroup.long 0x98C++0x03 hide.long 0x00 "GICD_ITARGETSR99,Interrupt Processor Targets Register 99" hgroup.long 0x990++0x03 hide.long 0x00 "GICD_ITARGETSR100,Interrupt Processor Targets Register 100" hgroup.long 0x994++0x03 hide.long 0x00 "GICD_ITARGETSR101,Interrupt Processor Targets Register 101" hgroup.long 0x998++0x03 hide.long 0x00 "GICD_ITARGETSR102,Interrupt Processor Targets Register 102" hgroup.long 0x99C++0x03 hide.long 0x00 "GICD_ITARGETSR103,Interrupt Processor Targets Register 103" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0D) group.long 0x9A0++0x03 line.long 0x00 "GICD_ITARGETSR104,Interrupt Processor Targets Register 104" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO419 ,CPU Targets Byte Offset 419 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO418 ,CPU Targets Byte Offset 418 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO417 ,CPU Targets Byte Offset 417 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO416 ,CPU Targets Byte Offset 416 " group.long 0x9A4++0x03 line.long 0x00 "GICD_ITARGETSR105,Interrupt Processor Targets Register 105" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO423 ,CPU Targets Byte Offset 423 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO422 ,CPU Targets Byte Offset 422 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO421 ,CPU Targets Byte Offset 421 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO420 ,CPU Targets Byte Offset 420 " group.long 0x9A8++0x03 line.long 0x00 "GICD_ITARGETSR106,Interrupt Processor Targets Register 106" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO427 ,CPU Targets Byte Offset 427 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO426 ,CPU Targets Byte Offset 426 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO425 ,CPU Targets Byte Offset 425 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO424 ,CPU Targets Byte Offset 424 " group.long 0x9AC++0x03 line.long 0x00 "GICD_ITARGETSR107,Interrupt Processor Targets Register 107" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO431 ,CPU Targets Byte Offset 431 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO430 ,CPU Targets Byte Offset 430 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO429 ,CPU Targets Byte Offset 429 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO428 ,CPU Targets Byte Offset 428 " group.long 0x9B0++0x03 line.long 0x00 "GICD_ITARGETSR108,Interrupt Processor Targets Register 108" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO435 ,CPU Targets Byte Offset 435 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO434 ,CPU Targets Byte Offset 434 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO433 ,CPU Targets Byte Offset 433 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO432 ,CPU Targets Byte Offset 432 " group.long 0x9B4++0x03 line.long 0x00 "GICD_ITARGETSR109,Interrupt Processor Targets Register 109" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO439 ,CPU Targets Byte Offset 439 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO438 ,CPU Targets Byte Offset 438 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO437 ,CPU Targets Byte Offset 437 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO436 ,CPU Targets Byte Offset 436 " group.long 0x9B8++0x03 line.long 0x00 "GICD_ITARGETSR110,Interrupt Processor Targets Register 110" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO443 ,CPU Targets Byte Offset 443 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO442 ,CPU Targets Byte Offset 442 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO441 ,CPU Targets Byte Offset 441 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO440 ,CPU Targets Byte Offset 440 " group.long 0x9BC++0x03 line.long 0x00 "GICD_ITARGETSR111,Interrupt Processor Targets Register 111" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO447 ,CPU Targets Byte Offset 447 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO446 ,CPU Targets Byte Offset 446 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO445 ,CPU Targets Byte Offset 445 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO444 ,CPU Targets Byte Offset 444 " else hgroup.long 0x9A0++0x03 hide.long 0x00 "GICD_ITARGETSR104,Interrupt Processor Targets Register 104" hgroup.long 0x9A4++0x03 hide.long 0x00 "GICD_ITARGETSR105,Interrupt Processor Targets Register 105" hgroup.long 0x9A8++0x03 hide.long 0x00 "GICD_ITARGETSR106,Interrupt Processor Targets Register 106" hgroup.long 0x9AC++0x03 hide.long 0x00 "GICD_ITARGETSR107,Interrupt Processor Targets Register 107" hgroup.long 0x9B0++0x03 hide.long 0x00 "GICD_ITARGETSR108,Interrupt Processor Targets Register 108" hgroup.long 0x9B4++0x03 hide.long 0x00 "GICD_ITARGETSR109,Interrupt Processor Targets Register 109" hgroup.long 0x9B8++0x03 hide.long 0x00 "GICD_ITARGETSR110,Interrupt Processor Targets Register 110" hgroup.long 0x9BC++0x03 hide.long 0x00 "GICD_ITARGETSR111,Interrupt Processor Targets Register 111" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0E) group.long 0x9C0++0x03 line.long 0x00 "GICD_ITARGETSR112,Interrupt Processor Targets Register 112" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO451 ,CPU Targets Byte Offset 451 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO450 ,CPU Targets Byte Offset 450 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO449 ,CPU Targets Byte Offset 449 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO448 ,CPU Targets Byte Offset 448 " group.long 0x9C4++0x03 line.long 0x00 "GICD_ITARGETSR113,Interrupt Processor Targets Register 113" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO455 ,CPU Targets Byte Offset 455 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO454 ,CPU Targets Byte Offset 454 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO453 ,CPU Targets Byte Offset 453 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO452 ,CPU Targets Byte Offset 452 " group.long 0x9C8++0x03 line.long 0x00 "GICD_ITARGETSR114,Interrupt Processor Targets Register 114" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO459 ,CPU Targets Byte Offset 459 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO458 ,CPU Targets Byte Offset 458 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO457 ,CPU Targets Byte Offset 457 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO456 ,CPU Targets Byte Offset 456 " group.long 0x9CC++0x03 line.long 0x00 "GICD_ITARGETSR115,Interrupt Processor Targets Register 115" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO463 ,CPU Targets Byte Offset 463 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO462 ,CPU Targets Byte Offset 462 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO461 ,CPU Targets Byte Offset 461 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO460 ,CPU Targets Byte Offset 460 " group.long 0x9D0++0x03 line.long 0x00 "GICD_ITARGETSR116,Interrupt Processor Targets Register 116" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO467 ,CPU Targets Byte Offset 467 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO466 ,CPU Targets Byte Offset 466 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO465 ,CPU Targets Byte Offset 465 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO464 ,CPU Targets Byte Offset 464 " group.long 0x9D4++0x03 line.long 0x00 "GICD_ITARGETSR117,Interrupt Processor Targets Register 117" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO471 ,CPU Targets Byte Offset 471 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO470 ,CPU Targets Byte Offset 470 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO469 ,CPU Targets Byte Offset 469 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO468 ,CPU Targets Byte Offset 468 " group.long 0x9D8++0x03 line.long 0x00 "GICD_ITARGETSR118,Interrupt Processor Targets Register 118" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO475 ,CPU Targets Byte Offset 475 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO474 ,CPU Targets Byte Offset 474 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO473 ,CPU Targets Byte Offset 473 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO472 ,CPU Targets Byte Offset 472 " group.long 0x9DC++0x03 line.long 0x00 "GICD_ITARGETSR119,Interrupt Processor Targets Register 119" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO479 ,CPU Targets Byte Offset 479 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO478 ,CPU Targets Byte Offset 478 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO477 ,CPU Targets Byte Offset 477 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO476 ,CPU Targets Byte Offset 476 " else hgroup.long 0x9C0++0x03 hide.long 0x00 "GICD_ITARGETSR112,Interrupt Processor Targets Register 112" hgroup.long 0x9C4++0x03 hide.long 0x00 "GICD_ITARGETSR113,Interrupt Processor Targets Register 113" hgroup.long 0x9C8++0x03 hide.long 0x00 "GICD_ITARGETSR114,Interrupt Processor Targets Register 114" hgroup.long 0x9CC++0x03 hide.long 0x00 "GICD_ITARGETSR115,Interrupt Processor Targets Register 115" hgroup.long 0x9D0++0x03 hide.long 0x00 "GICD_ITARGETSR116,Interrupt Processor Targets Register 116" hgroup.long 0x9D4++0x03 hide.long 0x00 "GICD_ITARGETSR117,Interrupt Processor Targets Register 117" hgroup.long 0x9D8++0x03 hide.long 0x00 "GICD_ITARGETSR118,Interrupt Processor Targets Register 118" hgroup.long 0x9DC++0x03 hide.long 0x00 "GICD_ITARGETSR119,Interrupt Processor Targets Register 119" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0F) group.long 0x9E0++0x03 line.long 0x00 "GICD_ITARGETSR120,Interrupt Processor Targets Register 120" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO483 ,CPU Targets Byte Offset 483 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO482 ,CPU Targets Byte Offset 482 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO481 ,CPU Targets Byte Offset 481 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO480 ,CPU Targets Byte Offset 480 " group.long 0x9E4++0x03 line.long 0x00 "GICD_ITARGETSR121,Interrupt Processor Targets Register 121" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO487 ,CPU Targets Byte Offset 487 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO486 ,CPU Targets Byte Offset 486 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO485 ,CPU Targets Byte Offset 485 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO484 ,CPU Targets Byte Offset 484 " group.long 0x9E8++0x03 line.long 0x00 "GICD_ITARGETSR122,Interrupt Processor Targets Register 122" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO491 ,CPU Targets Byte Offset 491 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO490 ,CPU Targets Byte Offset 490 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO489 ,CPU Targets Byte Offset 489 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO488 ,CPU Targets Byte Offset 488 " group.long 0x9EC++0x03 line.long 0x00 "GICD_ITARGETSR123,Interrupt Processor Targets Register 123" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO495 ,CPU Targets Byte Offset 495 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO494 ,CPU Targets Byte Offset 494 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO493 ,CPU Targets Byte Offset 493 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO492 ,CPU Targets Byte Offset 492 " group.long 0x9F0++0x03 line.long 0x00 "GICD_ITARGETSR124,Interrupt Processor Targets Register 124" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO499 ,CPU Targets Byte Offset 499 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO498 ,CPU Targets Byte Offset 498 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO497 ,CPU Targets Byte Offset 497 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO496 ,CPU Targets Byte Offset 496 " group.long 0x9F4++0x03 line.long 0x00 "GICD_ITARGETSR125,Interrupt Processor Targets Register 125" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO503 ,CPU Targets Byte Offset 503 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO502 ,CPU Targets Byte Offset 502 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO501 ,CPU Targets Byte Offset 501 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO500 ,CPU Targets Byte Offset 500 " group.long 0x9F8++0x03 line.long 0x00 "GICD_ITARGETSR126,Interrupt Processor Targets Register 126" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO507 ,CPU Targets Byte Offset 507 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO506 ,CPU Targets Byte Offset 506 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO505 ,CPU Targets Byte Offset 505 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO504 ,CPU Targets Byte Offset 504 " group.long 0x9FC++0x03 line.long 0x00 "GICD_ITARGETSR127,Interrupt Processor Targets Register 127" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO511 ,CPU Targets Byte Offset 511 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO510 ,CPU Targets Byte Offset 510 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO509 ,CPU Targets Byte Offset 509 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO508 ,CPU Targets Byte Offset 508 " else hgroup.long 0x9E0++0x03 hide.long 0x00 "GICD_ITARGETSR120,Interrupt Processor Targets Register 120" hgroup.long 0x9E4++0x03 hide.long 0x00 "GICD_ITARGETSR121,Interrupt Processor Targets Register 121" hgroup.long 0x9E8++0x03 hide.long 0x00 "GICD_ITARGETSR122,Interrupt Processor Targets Register 122" hgroup.long 0x9EC++0x03 hide.long 0x00 "GICD_ITARGETSR123,Interrupt Processor Targets Register 123" hgroup.long 0x9F0++0x03 hide.long 0x00 "GICD_ITARGETSR124,Interrupt Processor Targets Register 124" hgroup.long 0x9F4++0x03 hide.long 0x00 "GICD_ITARGETSR125,Interrupt Processor Targets Register 125" hgroup.long 0x9F8++0x03 hide.long 0x00 "GICD_ITARGETSR126,Interrupt Processor Targets Register 126" hgroup.long 0x9FC++0x03 hide.long 0x00 "GICD_ITARGETSR127,Interrupt Processor Targets Register 127" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10) group.long 0xA00++0x03 line.long 0x00 "GICD_ITARGETSR128,Interrupt Processor Targets Register 128" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO515 ,CPU Targets Byte Offset 515 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO514 ,CPU Targets Byte Offset 514 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO513 ,CPU Targets Byte Offset 513 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO512 ,CPU Targets Byte Offset 512 " group.long 0xA04++0x03 line.long 0x00 "GICD_ITARGETSR129,Interrupt Processor Targets Register 129" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO519 ,CPU Targets Byte Offset 519 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO518 ,CPU Targets Byte Offset 518 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO517 ,CPU Targets Byte Offset 517 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO516 ,CPU Targets Byte Offset 516 " group.long 0xA08++0x03 line.long 0x00 "GICD_ITARGETSR130,Interrupt Processor Targets Register 130" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO523 ,CPU Targets Byte Offset 523 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO522 ,CPU Targets Byte Offset 522 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO521 ,CPU Targets Byte Offset 521 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO520 ,CPU Targets Byte Offset 520 " group.long 0xA0C++0x03 line.long 0x00 "GICD_ITARGETSR131,Interrupt Processor Targets Register 131" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO527 ,CPU Targets Byte Offset 527 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO526 ,CPU Targets Byte Offset 526 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO525 ,CPU Targets Byte Offset 525 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO524 ,CPU Targets Byte Offset 524 " group.long 0xA10++0x03 line.long 0x00 "GICD_ITARGETSR132,Interrupt Processor Targets Register 132" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO531 ,CPU Targets Byte Offset 531 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO530 ,CPU Targets Byte Offset 530 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO529 ,CPU Targets Byte Offset 529 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO528 ,CPU Targets Byte Offset 528 " group.long 0xA14++0x03 line.long 0x00 "GICD_ITARGETSR133,Interrupt Processor Targets Register 133" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO535 ,CPU Targets Byte Offset 535 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO534 ,CPU Targets Byte Offset 534 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO533 ,CPU Targets Byte Offset 533 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO532 ,CPU Targets Byte Offset 532 " group.long 0xA18++0x03 line.long 0x00 "GICD_ITARGETSR134,Interrupt Processor Targets Register 134" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO539 ,CPU Targets Byte Offset 539 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO538 ,CPU Targets Byte Offset 538 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO537 ,CPU Targets Byte Offset 537 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO536 ,CPU Targets Byte Offset 536 " group.long 0xA1C++0x03 line.long 0x00 "GICD_ITARGETSR135,Interrupt Processor Targets Register 135" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO543 ,CPU Targets Byte Offset 543 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO542 ,CPU Targets Byte Offset 542 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO541 ,CPU Targets Byte Offset 541 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO540 ,CPU Targets Byte Offset 540 " else hgroup.long 0xA00++0x03 hide.long 0x00 "GICD_ITARGETSR128,Interrupt Processor Targets Register 128" hgroup.long 0xA04++0x03 hide.long 0x00 "GICD_ITARGETSR129,Interrupt Processor Targets Register 129" hgroup.long 0xA08++0x03 hide.long 0x00 "GICD_ITARGETSR130,Interrupt Processor Targets Register 130" hgroup.long 0xA0C++0x03 hide.long 0x00 "GICD_ITARGETSR131,Interrupt Processor Targets Register 131" hgroup.long 0xA10++0x03 hide.long 0x00 "GICD_ITARGETSR132,Interrupt Processor Targets Register 132" hgroup.long 0xA14++0x03 hide.long 0x00 "GICD_ITARGETSR133,Interrupt Processor Targets Register 133" hgroup.long 0xA18++0x03 hide.long 0x00 "GICD_ITARGETSR134,Interrupt Processor Targets Register 134" hgroup.long 0xA1C++0x03 hide.long 0x00 "GICD_ITARGETSR135,Interrupt Processor Targets Register 135" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11) group.long 0xA20++0x03 line.long 0x00 "GICD_ITARGETSR136,Interrupt Processor Targets Register 136" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO547 ,CPU Targets Byte Offset 547 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO546 ,CPU Targets Byte Offset 546 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO545 ,CPU Targets Byte Offset 545 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO544 ,CPU Targets Byte Offset 544 " group.long 0xA24++0x03 line.long 0x00 "GICD_ITARGETSR137,Interrupt Processor Targets Register 137" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO551 ,CPU Targets Byte Offset 551 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO550 ,CPU Targets Byte Offset 550 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO549 ,CPU Targets Byte Offset 549 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO548 ,CPU Targets Byte Offset 548 " group.long 0xA28++0x03 line.long 0x00 "GICD_ITARGETSR138,Interrupt Processor Targets Register 138" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO555 ,CPU Targets Byte Offset 555 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO554 ,CPU Targets Byte Offset 554 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO553 ,CPU Targets Byte Offset 553 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO552 ,CPU Targets Byte Offset 552 " group.long 0xA2C++0x03 line.long 0x00 "GICD_ITARGETSR139,Interrupt Processor Targets Register 139" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO559 ,CPU Targets Byte Offset 559 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO558 ,CPU Targets Byte Offset 558 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO557 ,CPU Targets Byte Offset 557 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO556 ,CPU Targets Byte Offset 556 " group.long 0xA30++0x03 line.long 0x00 "GICD_ITARGETSR140,Interrupt Processor Targets Register 140" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO563 ,CPU Targets Byte Offset 563 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO562 ,CPU Targets Byte Offset 562 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO561 ,CPU Targets Byte Offset 561 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO560 ,CPU Targets Byte Offset 560 " group.long 0xA34++0x03 line.long 0x00 "GICD_ITARGETSR141,Interrupt Processor Targets Register 141" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO567 ,CPU Targets Byte Offset 567 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO566 ,CPU Targets Byte Offset 566 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO565 ,CPU Targets Byte Offset 565 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO564 ,CPU Targets Byte Offset 564 " group.long 0xA38++0x03 line.long 0x00 "GICD_ITARGETSR142,Interrupt Processor Targets Register 142" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO571 ,CPU Targets Byte Offset 571 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO570 ,CPU Targets Byte Offset 570 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO569 ,CPU Targets Byte Offset 569 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO568 ,CPU Targets Byte Offset 568 " group.long 0xA3C++0x03 line.long 0x00 "GICD_ITARGETSR143,Interrupt Processor Targets Register 143" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO575 ,CPU Targets Byte Offset 575 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO574 ,CPU Targets Byte Offset 574 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO573 ,CPU Targets Byte Offset 573 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO572 ,CPU Targets Byte Offset 572 " else hgroup.long 0xA20++0x03 hide.long 0x00 "GICD_ITARGETSR136,Interrupt Processor Targets Register 136" hgroup.long 0xA24++0x03 hide.long 0x00 "GICD_ITARGETSR137,Interrupt Processor Targets Register 137" hgroup.long 0xA28++0x03 hide.long 0x00 "GICD_ITARGETSR138,Interrupt Processor Targets Register 138" hgroup.long 0xA2C++0x03 hide.long 0x00 "GICD_ITARGETSR139,Interrupt Processor Targets Register 139" hgroup.long 0xA30++0x03 hide.long 0x00 "GICD_ITARGETSR140,Interrupt Processor Targets Register 140" hgroup.long 0xA34++0x03 hide.long 0x00 "GICD_ITARGETSR141,Interrupt Processor Targets Register 141" hgroup.long 0xA38++0x03 hide.long 0x00 "GICD_ITARGETSR142,Interrupt Processor Targets Register 142" hgroup.long 0xA3C++0x03 hide.long 0x00 "GICD_ITARGETSR143,Interrupt Processor Targets Register 143" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12) group.long 0xA40++0x03 line.long 0x00 "GICD_ITARGETSR144,Interrupt Processor Targets Register 144" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO579 ,CPU Targets Byte Offset 579 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO578 ,CPU Targets Byte Offset 578 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO577 ,CPU Targets Byte Offset 577 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO576 ,CPU Targets Byte Offset 576 " group.long 0xA44++0x03 line.long 0x00 "GICD_ITARGETSR145,Interrupt Processor Targets Register 145" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO583 ,CPU Targets Byte Offset 583 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO582 ,CPU Targets Byte Offset 582 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO581 ,CPU Targets Byte Offset 581 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO580 ,CPU Targets Byte Offset 580 " group.long 0xA48++0x03 line.long 0x00 "GICD_ITARGETSR146,Interrupt Processor Targets Register 146" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO587 ,CPU Targets Byte Offset 587 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO586 ,CPU Targets Byte Offset 586 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO585 ,CPU Targets Byte Offset 585 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO584 ,CPU Targets Byte Offset 584 " group.long 0xA4C++0x03 line.long 0x00 "GICD_ITARGETSR147,Interrupt Processor Targets Register 147" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO591 ,CPU Targets Byte Offset 591 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO590 ,CPU Targets Byte Offset 590 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO589 ,CPU Targets Byte Offset 589 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO588 ,CPU Targets Byte Offset 588 " group.long 0xA50++0x03 line.long 0x00 "GICD_ITARGETSR148,Interrupt Processor Targets Register 148" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO595 ,CPU Targets Byte Offset 595 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO594 ,CPU Targets Byte Offset 594 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO593 ,CPU Targets Byte Offset 593 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO592 ,CPU Targets Byte Offset 592 " group.long 0xA54++0x03 line.long 0x00 "GICD_ITARGETSR149,Interrupt Processor Targets Register 149" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO599 ,CPU Targets Byte Offset 599 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO598 ,CPU Targets Byte Offset 598 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO597 ,CPU Targets Byte Offset 597 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO596 ,CPU Targets Byte Offset 596 " group.long 0xA58++0x03 line.long 0x00 "GICD_ITARGETSR150,Interrupt Processor Targets Register 150" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO603 ,CPU Targets Byte Offset 603 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO602 ,CPU Targets Byte Offset 602 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO601 ,CPU Targets Byte Offset 601 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO600 ,CPU Targets Byte Offset 600 " group.long 0xA5C++0x03 line.long 0x00 "GICD_ITARGETSR151,Interrupt Processor Targets Register 151" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO607 ,CPU Targets Byte Offset 607 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO606 ,CPU Targets Byte Offset 606 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO605 ,CPU Targets Byte Offset 605 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO604 ,CPU Targets Byte Offset 604 " else hgroup.long 0xA40++0x03 hide.long 0x00 "GICD_ITARGETSR144,Interrupt Processor Targets Register 144" hgroup.long 0xA44++0x03 hide.long 0x00 "GICD_ITARGETSR145,Interrupt Processor Targets Register 145" hgroup.long 0xA48++0x03 hide.long 0x00 "GICD_ITARGETSR146,Interrupt Processor Targets Register 146" hgroup.long 0xA4C++0x03 hide.long 0x00 "GICD_ITARGETSR147,Interrupt Processor Targets Register 147" hgroup.long 0xA50++0x03 hide.long 0x00 "GICD_ITARGETSR148,Interrupt Processor Targets Register 148" hgroup.long 0xA54++0x03 hide.long 0x00 "GICD_ITARGETSR149,Interrupt Processor Targets Register 149" hgroup.long 0xA58++0x03 hide.long 0x00 "GICD_ITARGETSR150,Interrupt Processor Targets Register 150" hgroup.long 0xA5C++0x03 hide.long 0x00 "GICD_ITARGETSR151,Interrupt Processor Targets Register 151" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13) group.long 0xA60++0x03 line.long 0x00 "GICD_ITARGETSR152,Interrupt Processor Targets Register 152" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO611 ,CPU Targets Byte Offset 611 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO610 ,CPU Targets Byte Offset 610 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO609 ,CPU Targets Byte Offset 609 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO608 ,CPU Targets Byte Offset 608 " group.long 0xA64++0x03 line.long 0x00 "GICD_ITARGETSR153,Interrupt Processor Targets Register 153" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO615 ,CPU Targets Byte Offset 615 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO614 ,CPU Targets Byte Offset 614 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO613 ,CPU Targets Byte Offset 613 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO612 ,CPU Targets Byte Offset 612 " group.long 0xA68++0x03 line.long 0x00 "GICD_ITARGETSR154,Interrupt Processor Targets Register 154" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO619 ,CPU Targets Byte Offset 619 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO618 ,CPU Targets Byte Offset 618 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO617 ,CPU Targets Byte Offset 617 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO616 ,CPU Targets Byte Offset 616 " group.long 0xA6C++0x03 line.long 0x00 "GICD_ITARGETSR155,Interrupt Processor Targets Register 155" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO623 ,CPU Targets Byte Offset 623 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO622 ,CPU Targets Byte Offset 622 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO621 ,CPU Targets Byte Offset 621 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO620 ,CPU Targets Byte Offset 620 " group.long 0xA70++0x03 line.long 0x00 "GICD_ITARGETSR156,Interrupt Processor Targets Register 156" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO627 ,CPU Targets Byte Offset 627 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO626 ,CPU Targets Byte Offset 626 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO625 ,CPU Targets Byte Offset 625 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO624 ,CPU Targets Byte Offset 624 " group.long 0xA74++0x03 line.long 0x00 "GICD_ITARGETSR157,Interrupt Processor Targets Register 157" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO631 ,CPU Targets Byte Offset 631 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO630 ,CPU Targets Byte Offset 630 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO629 ,CPU Targets Byte Offset 629 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO628 ,CPU Targets Byte Offset 628 " group.long 0xA78++0x03 line.long 0x00 "GICD_ITARGETSR158,Interrupt Processor Targets Register 158" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO635 ,CPU Targets Byte Offset 635 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO634 ,CPU Targets Byte Offset 634 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO633 ,CPU Targets Byte Offset 633 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO632 ,CPU Targets Byte Offset 632 " group.long 0xA7C++0x03 line.long 0x00 "GICD_ITARGETSR159,Interrupt Processor Targets Register 159" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO639 ,CPU Targets Byte Offset 639 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO638 ,CPU Targets Byte Offset 638 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO637 ,CPU Targets Byte Offset 637 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO636 ,CPU Targets Byte Offset 636 " else hgroup.long 0xA60++0x03 hide.long 0x00 "GICD_ITARGETSR152,Interrupt Processor Targets Register 152" hgroup.long 0xA64++0x03 hide.long 0x00 "GICD_ITARGETSR153,Interrupt Processor Targets Register 153" hgroup.long 0xA68++0x03 hide.long 0x00 "GICD_ITARGETSR154,Interrupt Processor Targets Register 154" hgroup.long 0xA6C++0x03 hide.long 0x00 "GICD_ITARGETSR155,Interrupt Processor Targets Register 155" hgroup.long 0xA70++0x03 hide.long 0x00 "GICD_ITARGETSR156,Interrupt Processor Targets Register 156" hgroup.long 0xA74++0x03 hide.long 0x00 "GICD_ITARGETSR157,Interrupt Processor Targets Register 157" hgroup.long 0xA78++0x03 hide.long 0x00 "GICD_ITARGETSR158,Interrupt Processor Targets Register 158" hgroup.long 0xA7C++0x03 hide.long 0x00 "GICD_ITARGETSR159,Interrupt Processor Targets Register 159" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14) group.long 0xA80++0x03 line.long 0x00 "GICD_ITARGETSR160,Interrupt Processor Targets Register 160" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO643 ,CPU Targets Byte Offset 643 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO642 ,CPU Targets Byte Offset 642 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO641 ,CPU Targets Byte Offset 641 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO640 ,CPU Targets Byte Offset 640 " group.long 0xA84++0x03 line.long 0x00 "GICD_ITARGETSR161,Interrupt Processor Targets Register 161" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO647 ,CPU Targets Byte Offset 647 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO646 ,CPU Targets Byte Offset 646 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO645 ,CPU Targets Byte Offset 645 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO644 ,CPU Targets Byte Offset 644 " group.long 0xA88++0x03 line.long 0x00 "GICD_ITARGETSR162,Interrupt Processor Targets Register 162" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO651 ,CPU Targets Byte Offset 651 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO650 ,CPU Targets Byte Offset 650 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO649 ,CPU Targets Byte Offset 649 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO648 ,CPU Targets Byte Offset 648 " group.long 0xA8C++0x03 line.long 0x00 "GICD_ITARGETSR163,Interrupt Processor Targets Register 163" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO655 ,CPU Targets Byte Offset 655 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO654 ,CPU Targets Byte Offset 654 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO653 ,CPU Targets Byte Offset 653 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO652 ,CPU Targets Byte Offset 652 " group.long 0xA90++0x03 line.long 0x00 "GICD_ITARGETSR164,Interrupt Processor Targets Register 164" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO659 ,CPU Targets Byte Offset 659 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO658 ,CPU Targets Byte Offset 658 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO657 ,CPU Targets Byte Offset 657 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO656 ,CPU Targets Byte Offset 656 " group.long 0xA94++0x03 line.long 0x00 "GICD_ITARGETSR165,Interrupt Processor Targets Register 165" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO663 ,CPU Targets Byte Offset 663 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO662 ,CPU Targets Byte Offset 662 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO661 ,CPU Targets Byte Offset 661 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO660 ,CPU Targets Byte Offset 660 " group.long 0xA98++0x03 line.long 0x00 "GICD_ITARGETSR166,Interrupt Processor Targets Register 166" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO667 ,CPU Targets Byte Offset 667 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO666 ,CPU Targets Byte Offset 666 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO665 ,CPU Targets Byte Offset 665 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO664 ,CPU Targets Byte Offset 664 " group.long 0xA9C++0x03 line.long 0x00 "GICD_ITARGETSR167,Interrupt Processor Targets Register 167" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO671 ,CPU Targets Byte Offset 671 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO670 ,CPU Targets Byte Offset 670 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO669 ,CPU Targets Byte Offset 669 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO668 ,CPU Targets Byte Offset 668 " else hgroup.long 0xA80++0x03 hide.long 0x00 "GICD_ITARGETSR160,Interrupt Processor Targets Register 160" hgroup.long 0xA84++0x03 hide.long 0x00 "GICD_ITARGETSR161,Interrupt Processor Targets Register 161" hgroup.long 0xA88++0x03 hide.long 0x00 "GICD_ITARGETSR162,Interrupt Processor Targets Register 162" hgroup.long 0xA8C++0x03 hide.long 0x00 "GICD_ITARGETSR163,Interrupt Processor Targets Register 163" hgroup.long 0xA90++0x03 hide.long 0x00 "GICD_ITARGETSR164,Interrupt Processor Targets Register 164" hgroup.long 0xA94++0x03 hide.long 0x00 "GICD_ITARGETSR165,Interrupt Processor Targets Register 165" hgroup.long 0xA98++0x03 hide.long 0x00 "GICD_ITARGETSR166,Interrupt Processor Targets Register 166" hgroup.long 0xA9C++0x03 hide.long 0x00 "GICD_ITARGETSR167,Interrupt Processor Targets Register 167" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15) group.long 0xAA0++0x03 line.long 0x00 "GICD_ITARGETSR168,Interrupt Processor Targets Register 168" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO675 ,CPU Targets Byte Offset 675 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO674 ,CPU Targets Byte Offset 674 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO673 ,CPU Targets Byte Offset 673 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO672 ,CPU Targets Byte Offset 672 " group.long 0xAA4++0x03 line.long 0x00 "GICD_ITARGETSR169,Interrupt Processor Targets Register 169" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO679 ,CPU Targets Byte Offset 679 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO678 ,CPU Targets Byte Offset 678 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO677 ,CPU Targets Byte Offset 677 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO676 ,CPU Targets Byte Offset 676 " group.long 0xAA8++0x03 line.long 0x00 "GICD_ITARGETSR170,Interrupt Processor Targets Register 170" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO683 ,CPU Targets Byte Offset 683 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO682 ,CPU Targets Byte Offset 682 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO681 ,CPU Targets Byte Offset 681 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO680 ,CPU Targets Byte Offset 680 " group.long 0xAAC++0x03 line.long 0x00 "GICD_ITARGETSR171,Interrupt Processor Targets Register 171" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO687 ,CPU Targets Byte Offset 687 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO686 ,CPU Targets Byte Offset 686 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO685 ,CPU Targets Byte Offset 685 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO684 ,CPU Targets Byte Offset 684 " group.long 0xAB0++0x03 line.long 0x00 "GICD_ITARGETSR172,Interrupt Processor Targets Register 172" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO691 ,CPU Targets Byte Offset 691 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO690 ,CPU Targets Byte Offset 690 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO689 ,CPU Targets Byte Offset 689 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO688 ,CPU Targets Byte Offset 688 " group.long 0xAB4++0x03 line.long 0x00 "GICD_ITARGETSR173,Interrupt Processor Targets Register 173" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO695 ,CPU Targets Byte Offset 695 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO694 ,CPU Targets Byte Offset 694 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO693 ,CPU Targets Byte Offset 693 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO692 ,CPU Targets Byte Offset 692 " group.long 0xAB8++0x03 line.long 0x00 "GICD_ITARGETSR174,Interrupt Processor Targets Register 174" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO699 ,CPU Targets Byte Offset 699 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO698 ,CPU Targets Byte Offset 698 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO697 ,CPU Targets Byte Offset 697 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO696 ,CPU Targets Byte Offset 696 " group.long 0xABC++0x03 line.long 0x00 "GICD_ITARGETSR175,Interrupt Processor Targets Register 175" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO703 ,CPU Targets Byte Offset 703 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO702 ,CPU Targets Byte Offset 702 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO701 ,CPU Targets Byte Offset 701 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO700 ,CPU Targets Byte Offset 700 " else hgroup.long 0xAA0++0x03 hide.long 0x00 "GICD_ITARGETSR168,Interrupt Processor Targets Register 168" hgroup.long 0xAA4++0x03 hide.long 0x00 "GICD_ITARGETSR169,Interrupt Processor Targets Register 169" hgroup.long 0xAA8++0x03 hide.long 0x00 "GICD_ITARGETSR170,Interrupt Processor Targets Register 170" hgroup.long 0xAAC++0x03 hide.long 0x00 "GICD_ITARGETSR171,Interrupt Processor Targets Register 171" hgroup.long 0xAB0++0x03 hide.long 0x00 "GICD_ITARGETSR172,Interrupt Processor Targets Register 172" hgroup.long 0xAB4++0x03 hide.long 0x00 "GICD_ITARGETSR173,Interrupt Processor Targets Register 173" hgroup.long 0xAB8++0x03 hide.long 0x00 "GICD_ITARGETSR174,Interrupt Processor Targets Register 174" hgroup.long 0xABC++0x03 hide.long 0x00 "GICD_ITARGETSR175,Interrupt Processor Targets Register 175" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16) group.long 0xAC0++0x03 line.long 0x00 "GICD_ITARGETSR176,Interrupt Processor Targets Register 176" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO707 ,CPU Targets Byte Offset 707 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO706 ,CPU Targets Byte Offset 706 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO705 ,CPU Targets Byte Offset 705 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO704 ,CPU Targets Byte Offset 704 " group.long 0xAC4++0x03 line.long 0x00 "GICD_ITARGETSR177,Interrupt Processor Targets Register 177" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO711 ,CPU Targets Byte Offset 711 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO710 ,CPU Targets Byte Offset 710 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO709 ,CPU Targets Byte Offset 709 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO708 ,CPU Targets Byte Offset 708 " group.long 0xAC8++0x03 line.long 0x00 "GICD_ITARGETSR178,Interrupt Processor Targets Register 178" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO715 ,CPU Targets Byte Offset 715 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO714 ,CPU Targets Byte Offset 714 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO713 ,CPU Targets Byte Offset 713 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO712 ,CPU Targets Byte Offset 712 " group.long 0xACC++0x03 line.long 0x00 "GICD_ITARGETSR179,Interrupt Processor Targets Register 179" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO719 ,CPU Targets Byte Offset 719 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO718 ,CPU Targets Byte Offset 718 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO717 ,CPU Targets Byte Offset 717 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO716 ,CPU Targets Byte Offset 716 " group.long 0xAD0++0x03 line.long 0x00 "GICD_ITARGETSR180,Interrupt Processor Targets Register 180" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO723 ,CPU Targets Byte Offset 723 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO722 ,CPU Targets Byte Offset 722 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO721 ,CPU Targets Byte Offset 721 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO720 ,CPU Targets Byte Offset 720 " group.long 0xAD4++0x03 line.long 0x00 "GICD_ITARGETSR181,Interrupt Processor Targets Register 181" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO727 ,CPU Targets Byte Offset 727 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO726 ,CPU Targets Byte Offset 726 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO725 ,CPU Targets Byte Offset 725 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO724 ,CPU Targets Byte Offset 724 " group.long 0xAD8++0x03 line.long 0x00 "GICD_ITARGETSR182,Interrupt Processor Targets Register 182" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO731 ,CPU Targets Byte Offset 731 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO730 ,CPU Targets Byte Offset 730 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO729 ,CPU Targets Byte Offset 729 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO728 ,CPU Targets Byte Offset 728 " group.long 0xADC++0x03 line.long 0x00 "GICD_ITARGETSR183,Interrupt Processor Targets Register 183" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO735 ,CPU Targets Byte Offset 735 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO734 ,CPU Targets Byte Offset 734 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO733 ,CPU Targets Byte Offset 733 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO732 ,CPU Targets Byte Offset 732 " else hgroup.long 0xAC0++0x03 hide.long 0x00 "GICD_ITARGETSR176,Interrupt Processor Targets Register 176" hgroup.long 0xAC4++0x03 hide.long 0x00 "GICD_ITARGETSR177,Interrupt Processor Targets Register 177" hgroup.long 0xAC8++0x03 hide.long 0x00 "GICD_ITARGETSR178,Interrupt Processor Targets Register 178" hgroup.long 0xACC++0x03 hide.long 0x00 "GICD_ITARGETSR179,Interrupt Processor Targets Register 179" hgroup.long 0xAD0++0x03 hide.long 0x00 "GICD_ITARGETSR180,Interrupt Processor Targets Register 180" hgroup.long 0xAD4++0x03 hide.long 0x00 "GICD_ITARGETSR181,Interrupt Processor Targets Register 181" hgroup.long 0xAD8++0x03 hide.long 0x00 "GICD_ITARGETSR182,Interrupt Processor Targets Register 182" hgroup.long 0xADC++0x03 hide.long 0x00 "GICD_ITARGETSR183,Interrupt Processor Targets Register 183" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17) group.long 0xAE0++0x03 line.long 0x00 "GICD_ITARGETSR184,Interrupt Processor Targets Register 184" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO739 ,CPU Targets Byte Offset 739 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO738 ,CPU Targets Byte Offset 738 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO737 ,CPU Targets Byte Offset 737 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO736 ,CPU Targets Byte Offset 736 " group.long 0xAE4++0x03 line.long 0x00 "GICD_ITARGETSR185,Interrupt Processor Targets Register 185" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO743 ,CPU Targets Byte Offset 743 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO742 ,CPU Targets Byte Offset 742 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO741 ,CPU Targets Byte Offset 741 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO740 ,CPU Targets Byte Offset 740 " group.long 0xAE8++0x03 line.long 0x00 "GICD_ITARGETSR186,Interrupt Processor Targets Register 186" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO747 ,CPU Targets Byte Offset 747 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO746 ,CPU Targets Byte Offset 746 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO745 ,CPU Targets Byte Offset 745 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO744 ,CPU Targets Byte Offset 744 " group.long 0xAEC++0x03 line.long 0x00 "GICD_ITARGETSR187,Interrupt Processor Targets Register 187" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO751 ,CPU Targets Byte Offset 751 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO750 ,CPU Targets Byte Offset 750 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO749 ,CPU Targets Byte Offset 749 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO748 ,CPU Targets Byte Offset 748 " group.long 0xAF0++0x03 line.long 0x00 "GICD_ITARGETSR188,Interrupt Processor Targets Register 188" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO755 ,CPU Targets Byte Offset 755 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO754 ,CPU Targets Byte Offset 754 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO753 ,CPU Targets Byte Offset 753 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO752 ,CPU Targets Byte Offset 752 " group.long 0xAF4++0x03 line.long 0x00 "GICD_ITARGETSR189,Interrupt Processor Targets Register 189" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO759 ,CPU Targets Byte Offset 759 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO758 ,CPU Targets Byte Offset 758 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO757 ,CPU Targets Byte Offset 757 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO756 ,CPU Targets Byte Offset 756 " group.long 0xAF8++0x03 line.long 0x00 "GICD_ITARGETSR190,Interrupt Processor Targets Register 190" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO763 ,CPU Targets Byte Offset 763 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO762 ,CPU Targets Byte Offset 762 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO761 ,CPU Targets Byte Offset 761 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO760 ,CPU Targets Byte Offset 760 " group.long 0xAFC++0x03 line.long 0x00 "GICD_ITARGETSR191,Interrupt Processor Targets Register 191" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO767 ,CPU Targets Byte Offset 767 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO766 ,CPU Targets Byte Offset 766 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO765 ,CPU Targets Byte Offset 765 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO764 ,CPU Targets Byte Offset 764 " else hgroup.long 0xAE0++0x03 hide.long 0x00 "GICD_ITARGETSR184,Interrupt Processor Targets Register 184" hgroup.long 0xAE4++0x03 hide.long 0x00 "GICD_ITARGETSR185,Interrupt Processor Targets Register 185" hgroup.long 0xAE8++0x03 hide.long 0x00 "GICD_ITARGETSR186,Interrupt Processor Targets Register 186" hgroup.long 0xAEC++0x03 hide.long 0x00 "GICD_ITARGETSR187,Interrupt Processor Targets Register 187" hgroup.long 0xAF0++0x03 hide.long 0x00 "GICD_ITARGETSR188,Interrupt Processor Targets Register 188" hgroup.long 0xAF4++0x03 hide.long 0x00 "GICD_ITARGETSR189,Interrupt Processor Targets Register 189" hgroup.long 0xAF8++0x03 hide.long 0x00 "GICD_ITARGETSR190,Interrupt Processor Targets Register 190" hgroup.long 0xAFC++0x03 hide.long 0x00 "GICD_ITARGETSR191,Interrupt Processor Targets Register 191" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18) group.long 0xB00++0x03 line.long 0x00 "GICD_ITARGETSR192,Interrupt Processor Targets Register 192" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO771 ,CPU Targets Byte Offset 771 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO770 ,CPU Targets Byte Offset 770 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO769 ,CPU Targets Byte Offset 769 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO768 ,CPU Targets Byte Offset 768 " group.long 0xB04++0x03 line.long 0x00 "GICD_ITARGETSR193,Interrupt Processor Targets Register 193" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO775 ,CPU Targets Byte Offset 775 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO774 ,CPU Targets Byte Offset 774 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO773 ,CPU Targets Byte Offset 773 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO772 ,CPU Targets Byte Offset 772 " group.long 0xB08++0x03 line.long 0x00 "GICD_ITARGETSR194,Interrupt Processor Targets Register 194" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO779 ,CPU Targets Byte Offset 779 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO778 ,CPU Targets Byte Offset 778 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO777 ,CPU Targets Byte Offset 777 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO776 ,CPU Targets Byte Offset 776 " group.long 0xB0C++0x03 line.long 0x00 "GICD_ITARGETSR195,Interrupt Processor Targets Register 195" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO783 ,CPU Targets Byte Offset 783 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO782 ,CPU Targets Byte Offset 782 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO781 ,CPU Targets Byte Offset 781 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO780 ,CPU Targets Byte Offset 780 " group.long 0xB10++0x03 line.long 0x00 "GICD_ITARGETSR196,Interrupt Processor Targets Register 196" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO787 ,CPU Targets Byte Offset 787 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO786 ,CPU Targets Byte Offset 786 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO785 ,CPU Targets Byte Offset 785 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO784 ,CPU Targets Byte Offset 784 " group.long 0xB14++0x03 line.long 0x00 "GICD_ITARGETSR197,Interrupt Processor Targets Register 197" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO791 ,CPU Targets Byte Offset 791 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO790 ,CPU Targets Byte Offset 790 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO789 ,CPU Targets Byte Offset 789 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO788 ,CPU Targets Byte Offset 788 " group.long 0xB18++0x03 line.long 0x00 "GICD_ITARGETSR198,Interrupt Processor Targets Register 198" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO795 ,CPU Targets Byte Offset 795 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO794 ,CPU Targets Byte Offset 794 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO793 ,CPU Targets Byte Offset 793 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO792 ,CPU Targets Byte Offset 792 " group.long 0xB1C++0x03 line.long 0x00 "GICD_ITARGETSR199,Interrupt Processor Targets Register 199" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO799 ,CPU Targets Byte Offset 799 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO798 ,CPU Targets Byte Offset 798 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO797 ,CPU Targets Byte Offset 797 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO796 ,CPU Targets Byte Offset 796 " else hgroup.long 0xB00++0x03 hide.long 0x00 "GICD_ITARGETSR192,Interrupt Processor Targets Register 192" hgroup.long 0xB04++0x03 hide.long 0x00 "GICD_ITARGETSR193,Interrupt Processor Targets Register 193" hgroup.long 0xB08++0x03 hide.long 0x00 "GICD_ITARGETSR194,Interrupt Processor Targets Register 194" hgroup.long 0xB0C++0x03 hide.long 0x00 "GICD_ITARGETSR195,Interrupt Processor Targets Register 195" hgroup.long 0xB10++0x03 hide.long 0x00 "GICD_ITARGETSR196,Interrupt Processor Targets Register 196" hgroup.long 0xB14++0x03 hide.long 0x00 "GICD_ITARGETSR197,Interrupt Processor Targets Register 197" hgroup.long 0xB18++0x03 hide.long 0x00 "GICD_ITARGETSR198,Interrupt Processor Targets Register 198" hgroup.long 0xB1C++0x03 hide.long 0x00 "GICD_ITARGETSR199,Interrupt Processor Targets Register 199" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19) group.long 0xB20++0x03 line.long 0x00 "GICD_ITARGETSR200,Interrupt Processor Targets Register 200" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO803 ,CPU Targets Byte Offset 803 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO802 ,CPU Targets Byte Offset 802 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO801 ,CPU Targets Byte Offset 801 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO800 ,CPU Targets Byte Offset 800 " group.long 0xB24++0x03 line.long 0x00 "GICD_ITARGETSR201,Interrupt Processor Targets Register 201" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO807 ,CPU Targets Byte Offset 807 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO806 ,CPU Targets Byte Offset 806 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO805 ,CPU Targets Byte Offset 805 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO804 ,CPU Targets Byte Offset 804 " group.long 0xB28++0x03 line.long 0x00 "GICD_ITARGETSR202,Interrupt Processor Targets Register 202" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO811 ,CPU Targets Byte Offset 811 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO810 ,CPU Targets Byte Offset 810 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO809 ,CPU Targets Byte Offset 809 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO808 ,CPU Targets Byte Offset 808 " group.long 0xB2C++0x03 line.long 0x00 "GICD_ITARGETSR203,Interrupt Processor Targets Register 203" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO815 ,CPU Targets Byte Offset 815 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO814 ,CPU Targets Byte Offset 814 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO813 ,CPU Targets Byte Offset 813 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO812 ,CPU Targets Byte Offset 812 " group.long 0xB30++0x03 line.long 0x00 "GICD_ITARGETSR204,Interrupt Processor Targets Register 204" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO819 ,CPU Targets Byte Offset 819 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO818 ,CPU Targets Byte Offset 818 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO817 ,CPU Targets Byte Offset 817 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO816 ,CPU Targets Byte Offset 816 " group.long 0xB34++0x03 line.long 0x00 "GICD_ITARGETSR205,Interrupt Processor Targets Register 205" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO823 ,CPU Targets Byte Offset 823 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO822 ,CPU Targets Byte Offset 822 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO821 ,CPU Targets Byte Offset 821 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO820 ,CPU Targets Byte Offset 820 " group.long 0xB38++0x03 line.long 0x00 "GICD_ITARGETSR206,Interrupt Processor Targets Register 206" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO827 ,CPU Targets Byte Offset 827 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO826 ,CPU Targets Byte Offset 826 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO825 ,CPU Targets Byte Offset 825 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO824 ,CPU Targets Byte Offset 824 " group.long 0xB3C++0x03 line.long 0x00 "GICD_ITARGETSR207,Interrupt Processor Targets Register 207" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO831 ,CPU Targets Byte Offset 831 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO830 ,CPU Targets Byte Offset 830 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO829 ,CPU Targets Byte Offset 829 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO828 ,CPU Targets Byte Offset 828 " else hgroup.long 0xB20++0x03 hide.long 0x00 "GICD_ITARGETSR200,Interrupt Processor Targets Register 200" hgroup.long 0xB24++0x03 hide.long 0x00 "GICD_ITARGETSR201,Interrupt Processor Targets Register 201" hgroup.long 0xB28++0x03 hide.long 0x00 "GICD_ITARGETSR202,Interrupt Processor Targets Register 202" hgroup.long 0xB2C++0x03 hide.long 0x00 "GICD_ITARGETSR203,Interrupt Processor Targets Register 203" hgroup.long 0xB30++0x03 hide.long 0x00 "GICD_ITARGETSR204,Interrupt Processor Targets Register 204" hgroup.long 0xB34++0x03 hide.long 0x00 "GICD_ITARGETSR205,Interrupt Processor Targets Register 205" hgroup.long 0xB38++0x03 hide.long 0x00 "GICD_ITARGETSR206,Interrupt Processor Targets Register 206" hgroup.long 0xB3C++0x03 hide.long 0x00 "GICD_ITARGETSR207,Interrupt Processor Targets Register 207" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A) group.long 0xB40++0x03 line.long 0x00 "GICD_ITARGETSR208,Interrupt Processor Targets Register 208" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO835 ,CPU Targets Byte Offset 835 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO834 ,CPU Targets Byte Offset 834 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO833 ,CPU Targets Byte Offset 833 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO832 ,CPU Targets Byte Offset 832 " group.long 0xB44++0x03 line.long 0x00 "GICD_ITARGETSR209,Interrupt Processor Targets Register 209" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO839 ,CPU Targets Byte Offset 839 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO838 ,CPU Targets Byte Offset 838 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO837 ,CPU Targets Byte Offset 837 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO836 ,CPU Targets Byte Offset 836 " group.long 0xB48++0x03 line.long 0x00 "GICD_ITARGETSR210,Interrupt Processor Targets Register 210" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO843 ,CPU Targets Byte Offset 843 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO842 ,CPU Targets Byte Offset 842 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO841 ,CPU Targets Byte Offset 841 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO840 ,CPU Targets Byte Offset 840 " group.long 0xB4C++0x03 line.long 0x00 "GICD_ITARGETSR211,Interrupt Processor Targets Register 211" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO847 ,CPU Targets Byte Offset 847 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO846 ,CPU Targets Byte Offset 846 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO845 ,CPU Targets Byte Offset 845 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO844 ,CPU Targets Byte Offset 844 " group.long 0xB50++0x03 line.long 0x00 "GICD_ITARGETSR212,Interrupt Processor Targets Register 212" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO851 ,CPU Targets Byte Offset 851 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO850 ,CPU Targets Byte Offset 850 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO849 ,CPU Targets Byte Offset 849 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO848 ,CPU Targets Byte Offset 848 " group.long 0xB54++0x03 line.long 0x00 "GICD_ITARGETSR213,Interrupt Processor Targets Register 213" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO855 ,CPU Targets Byte Offset 855 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO854 ,CPU Targets Byte Offset 854 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO853 ,CPU Targets Byte Offset 853 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO852 ,CPU Targets Byte Offset 852 " group.long 0xB58++0x03 line.long 0x00 "GICD_ITARGETSR214,Interrupt Processor Targets Register 214" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO859 ,CPU Targets Byte Offset 859 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO858 ,CPU Targets Byte Offset 858 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO857 ,CPU Targets Byte Offset 857 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO856 ,CPU Targets Byte Offset 856 " group.long 0xB5C++0x03 line.long 0x00 "GICD_ITARGETSR215,Interrupt Processor Targets Register 215" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO863 ,CPU Targets Byte Offset 863 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO862 ,CPU Targets Byte Offset 862 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO861 ,CPU Targets Byte Offset 861 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO860 ,CPU Targets Byte Offset 860 " else hgroup.long 0xB40++0x03 hide.long 0x00 "GICD_ITARGETSR208,Interrupt Processor Targets Register 208" hgroup.long 0xB44++0x03 hide.long 0x00 "GICD_ITARGETSR209,Interrupt Processor Targets Register 209" hgroup.long 0xB48++0x03 hide.long 0x00 "GICD_ITARGETSR210,Interrupt Processor Targets Register 210" hgroup.long 0xB4C++0x03 hide.long 0x00 "GICD_ITARGETSR211,Interrupt Processor Targets Register 211" hgroup.long 0xB50++0x03 hide.long 0x00 "GICD_ITARGETSR212,Interrupt Processor Targets Register 212" hgroup.long 0xB54++0x03 hide.long 0x00 "GICD_ITARGETSR213,Interrupt Processor Targets Register 213" hgroup.long 0xB58++0x03 hide.long 0x00 "GICD_ITARGETSR214,Interrupt Processor Targets Register 214" hgroup.long 0xB5C++0x03 hide.long 0x00 "GICD_ITARGETSR215,Interrupt Processor Targets Register 215" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B) group.long 0xB60++0x03 line.long 0x00 "GICD_ITARGETSR216,Interrupt Processor Targets Register 216" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO867 ,CPU Targets Byte Offset 867 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO866 ,CPU Targets Byte Offset 866 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO865 ,CPU Targets Byte Offset 865 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO864 ,CPU Targets Byte Offset 864 " group.long 0xB64++0x03 line.long 0x00 "GICD_ITARGETSR217,Interrupt Processor Targets Register 217" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO871 ,CPU Targets Byte Offset 871 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO870 ,CPU Targets Byte Offset 870 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO869 ,CPU Targets Byte Offset 869 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO868 ,CPU Targets Byte Offset 868 " group.long 0xB68++0x03 line.long 0x00 "GICD_ITARGETSR218,Interrupt Processor Targets Register 218" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO875 ,CPU Targets Byte Offset 875 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO874 ,CPU Targets Byte Offset 874 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO873 ,CPU Targets Byte Offset 873 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO872 ,CPU Targets Byte Offset 872 " group.long 0xB6C++0x03 line.long 0x00 "GICD_ITARGETSR219,Interrupt Processor Targets Register 219" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO879 ,CPU Targets Byte Offset 879 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO878 ,CPU Targets Byte Offset 878 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO877 ,CPU Targets Byte Offset 877 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO876 ,CPU Targets Byte Offset 876 " group.long 0xB70++0x03 line.long 0x00 "GICD_ITARGETSR220,Interrupt Processor Targets Register 220" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO883 ,CPU Targets Byte Offset 883 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO882 ,CPU Targets Byte Offset 882 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO881 ,CPU Targets Byte Offset 881 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO880 ,CPU Targets Byte Offset 880 " group.long 0xB74++0x03 line.long 0x00 "GICD_ITARGETSR221,Interrupt Processor Targets Register 221" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO887 ,CPU Targets Byte Offset 887 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO886 ,CPU Targets Byte Offset 886 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO885 ,CPU Targets Byte Offset 885 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO884 ,CPU Targets Byte Offset 884 " group.long 0xB78++0x03 line.long 0x00 "GICD_ITARGETSR222,Interrupt Processor Targets Register 222" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO891 ,CPU Targets Byte Offset 891 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO890 ,CPU Targets Byte Offset 890 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO889 ,CPU Targets Byte Offset 889 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO888 ,CPU Targets Byte Offset 888 " group.long 0xB7C++0x03 line.long 0x00 "GICD_ITARGETSR223,Interrupt Processor Targets Register 223" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO895 ,CPU Targets Byte Offset 895 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO894 ,CPU Targets Byte Offset 894 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO893 ,CPU Targets Byte Offset 893 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO892 ,CPU Targets Byte Offset 892 " else hgroup.long 0xB60++0x03 hide.long 0x00 "GICD_ITARGETSR216,Interrupt Processor Targets Register 216" hgroup.long 0xB64++0x03 hide.long 0x00 "GICD_ITARGETSR217,Interrupt Processor Targets Register 217" hgroup.long 0xB68++0x03 hide.long 0x00 "GICD_ITARGETSR218,Interrupt Processor Targets Register 218" hgroup.long 0xB6C++0x03 hide.long 0x00 "GICD_ITARGETSR219,Interrupt Processor Targets Register 219" hgroup.long 0xB70++0x03 hide.long 0x00 "GICD_ITARGETSR220,Interrupt Processor Targets Register 220" hgroup.long 0xB74++0x03 hide.long 0x00 "GICD_ITARGETSR221,Interrupt Processor Targets Register 221" hgroup.long 0xB78++0x03 hide.long 0x00 "GICD_ITARGETSR222,Interrupt Processor Targets Register 222" hgroup.long 0xB7C++0x03 hide.long 0x00 "GICD_ITARGETSR223,Interrupt Processor Targets Register 223" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C) group.long 0xB80++0x03 line.long 0x00 "GICD_ITARGETSR224,Interrupt Processor Targets Register 224" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO899 ,CPU Targets Byte Offset 899 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO898 ,CPU Targets Byte Offset 898 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO897 ,CPU Targets Byte Offset 897 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO896 ,CPU Targets Byte Offset 896 " group.long 0xB84++0x03 line.long 0x00 "GICD_ITARGETSR225,Interrupt Processor Targets Register 225" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO903 ,CPU Targets Byte Offset 903 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO902 ,CPU Targets Byte Offset 902 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO901 ,CPU Targets Byte Offset 901 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO900 ,CPU Targets Byte Offset 900 " group.long 0xB88++0x03 line.long 0x00 "GICD_ITARGETSR226,Interrupt Processor Targets Register 226" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO907 ,CPU Targets Byte Offset 907 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO906 ,CPU Targets Byte Offset 906 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO905 ,CPU Targets Byte Offset 905 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO904 ,CPU Targets Byte Offset 904 " group.long 0xB8C++0x03 line.long 0x00 "GICD_ITARGETSR227,Interrupt Processor Targets Register 227" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO911 ,CPU Targets Byte Offset 911 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO910 ,CPU Targets Byte Offset 910 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO909 ,CPU Targets Byte Offset 909 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO908 ,CPU Targets Byte Offset 908 " group.long 0xB90++0x03 line.long 0x00 "GICD_ITARGETSR228,Interrupt Processor Targets Register 228" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO915 ,CPU Targets Byte Offset 915 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO914 ,CPU Targets Byte Offset 914 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO913 ,CPU Targets Byte Offset 913 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO912 ,CPU Targets Byte Offset 912 " group.long 0xB94++0x03 line.long 0x00 "GICD_ITARGETSR229,Interrupt Processor Targets Register 229" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO919 ,CPU Targets Byte Offset 919 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO918 ,CPU Targets Byte Offset 918 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO917 ,CPU Targets Byte Offset 917 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO916 ,CPU Targets Byte Offset 916 " group.long 0xB98++0x03 line.long 0x00 "GICD_ITARGETSR230,Interrupt Processor Targets Register 230" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO923 ,CPU Targets Byte Offset 923 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO922 ,CPU Targets Byte Offset 922 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO921 ,CPU Targets Byte Offset 921 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO920 ,CPU Targets Byte Offset 920 " group.long 0xB9C++0x03 line.long 0x00 "GICD_ITARGETSR231,Interrupt Processor Targets Register 231" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO927 ,CPU Targets Byte Offset 927 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO926 ,CPU Targets Byte Offset 926 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO925 ,CPU Targets Byte Offset 925 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO924 ,CPU Targets Byte Offset 924 " else hgroup.long 0xB80++0x03 hide.long 0x00 "GICD_ITARGETSR224,Interrupt Processor Targets Register 224" hgroup.long 0xB84++0x03 hide.long 0x00 "GICD_ITARGETSR225,Interrupt Processor Targets Register 225" hgroup.long 0xB88++0x03 hide.long 0x00 "GICD_ITARGETSR226,Interrupt Processor Targets Register 226" hgroup.long 0xB8C++0x03 hide.long 0x00 "GICD_ITARGETSR227,Interrupt Processor Targets Register 227" hgroup.long 0xB90++0x03 hide.long 0x00 "GICD_ITARGETSR228,Interrupt Processor Targets Register 228" hgroup.long 0xB94++0x03 hide.long 0x00 "GICD_ITARGETSR229,Interrupt Processor Targets Register 229" hgroup.long 0xB98++0x03 hide.long 0x00 "GICD_ITARGETSR230,Interrupt Processor Targets Register 230" hgroup.long 0xB9C++0x03 hide.long 0x00 "GICD_ITARGETSR231,Interrupt Processor Targets Register 231" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D) group.long 0xBA0++0x03 line.long 0x00 "GICD_ITARGETSR232,Interrupt Processor Targets Register 232" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO931 ,CPU Targets Byte Offset 931 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO930 ,CPU Targets Byte Offset 930 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO929 ,CPU Targets Byte Offset 929 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO928 ,CPU Targets Byte Offset 928 " group.long 0xBA4++0x03 line.long 0x00 "GICD_ITARGETSR233,Interrupt Processor Targets Register 233" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO935 ,CPU Targets Byte Offset 935 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO934 ,CPU Targets Byte Offset 934 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO933 ,CPU Targets Byte Offset 933 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO932 ,CPU Targets Byte Offset 932 " group.long 0xBA8++0x03 line.long 0x00 "GICD_ITARGETSR234,Interrupt Processor Targets Register 234" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO939 ,CPU Targets Byte Offset 939 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO938 ,CPU Targets Byte Offset 938 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO937 ,CPU Targets Byte Offset 937 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO936 ,CPU Targets Byte Offset 936 " group.long 0xBAC++0x03 line.long 0x00 "GICD_ITARGETSR235,Interrupt Processor Targets Register 235" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO943 ,CPU Targets Byte Offset 943 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO942 ,CPU Targets Byte Offset 942 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO941 ,CPU Targets Byte Offset 941 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO940 ,CPU Targets Byte Offset 940 " group.long 0xBB0++0x03 line.long 0x00 "GICD_ITARGETSR236,Interrupt Processor Targets Register 236" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO947 ,CPU Targets Byte Offset 947 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO946 ,CPU Targets Byte Offset 946 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO945 ,CPU Targets Byte Offset 945 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO944 ,CPU Targets Byte Offset 944 " group.long 0xBB4++0x03 line.long 0x00 "GICD_ITARGETSR237,Interrupt Processor Targets Register 237" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO951 ,CPU Targets Byte Offset 951 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO950 ,CPU Targets Byte Offset 950 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO949 ,CPU Targets Byte Offset 949 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO948 ,CPU Targets Byte Offset 948 " group.long 0xBB8++0x03 line.long 0x00 "GICD_ITARGETSR238,Interrupt Processor Targets Register 238" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO955 ,CPU Targets Byte Offset 955 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO954 ,CPU Targets Byte Offset 954 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO953 ,CPU Targets Byte Offset 953 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO952 ,CPU Targets Byte Offset 952 " group.long 0xBBC++0x03 line.long 0x00 "GICD_ITARGETSR239,Interrupt Processor Targets Register 239" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO959 ,CPU Targets Byte Offset 959 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO958 ,CPU Targets Byte Offset 958 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO957 ,CPU Targets Byte Offset 957 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO956 ,CPU Targets Byte Offset 956 " else hgroup.long 0xBA0++0x03 hide.long 0x00 "GICD_ITARGETSR232,Interrupt Processor Targets Register 232" hgroup.long 0xBA4++0x03 hide.long 0x00 "GICD_ITARGETSR233,Interrupt Processor Targets Register 233" hgroup.long 0xBA8++0x03 hide.long 0x00 "GICD_ITARGETSR234,Interrupt Processor Targets Register 234" hgroup.long 0xBAC++0x03 hide.long 0x00 "GICD_ITARGETSR235,Interrupt Processor Targets Register 235" hgroup.long 0xBB0++0x03 hide.long 0x00 "GICD_ITARGETSR236,Interrupt Processor Targets Register 236" hgroup.long 0xBB4++0x03 hide.long 0x00 "GICD_ITARGETSR237,Interrupt Processor Targets Register 237" hgroup.long 0xBB8++0x03 hide.long 0x00 "GICD_ITARGETSR238,Interrupt Processor Targets Register 238" hgroup.long 0xBBC++0x03 hide.long 0x00 "GICD_ITARGETSR239,Interrupt Processor Targets Register 239" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E) group.long 0xBC0++0x03 line.long 0x00 "GICD_ITARGETSR240,Interrupt Processor Targets Register 240" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO963 ,CPU Targets Byte Offset 963 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO962 ,CPU Targets Byte Offset 962 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO961 ,CPU Targets Byte Offset 961 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO960 ,CPU Targets Byte Offset 960 " group.long 0xBC4++0x03 line.long 0x00 "GICD_ITARGETSR241,Interrupt Processor Targets Register 241" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO967 ,CPU Targets Byte Offset 967 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO966 ,CPU Targets Byte Offset 966 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO965 ,CPU Targets Byte Offset 965 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO964 ,CPU Targets Byte Offset 964 " group.long 0xBC8++0x03 line.long 0x00 "GICD_ITARGETSR242,Interrupt Processor Targets Register 242" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO971 ,CPU Targets Byte Offset 971 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO970 ,CPU Targets Byte Offset 970 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO969 ,CPU Targets Byte Offset 969 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO968 ,CPU Targets Byte Offset 968 " group.long 0xBCC++0x03 line.long 0x00 "GICD_ITARGETSR243,Interrupt Processor Targets Register 243" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO975 ,CPU Targets Byte Offset 975 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO974 ,CPU Targets Byte Offset 974 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO973 ,CPU Targets Byte Offset 973 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO972 ,CPU Targets Byte Offset 972 " group.long 0xBD0++0x03 line.long 0x00 "GICD_ITARGETSR244,Interrupt Processor Targets Register 244" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO979 ,CPU Targets Byte Offset 979 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO978 ,CPU Targets Byte Offset 978 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO977 ,CPU Targets Byte Offset 977 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO976 ,CPU Targets Byte Offset 976 " group.long 0xBD4++0x03 line.long 0x00 "GICD_ITARGETSR245,Interrupt Processor Targets Register 245" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO983 ,CPU Targets Byte Offset 983 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO982 ,CPU Targets Byte Offset 982 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO981 ,CPU Targets Byte Offset 981 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO980 ,CPU Targets Byte Offset 980 " group.long 0xBD8++0x03 line.long 0x00 "GICD_ITARGETSR246,Interrupt Processor Targets Register 246" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO987 ,CPU Targets Byte Offset 987 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO986 ,CPU Targets Byte Offset 986 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO985 ,CPU Targets Byte Offset 985 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO984 ,CPU Targets Byte Offset 984 " group.long 0xBDC++0x03 line.long 0x00 "GICD_ITARGETSR247,Interrupt Processor Targets Register 247" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO991 ,CPU Targets Byte Offset 991 " hexmask.long.byte 0x00 16.--23. 1. " CPUTBO990 ,CPU Targets Byte Offset 990 " hexmask.long.byte 0x00 8.--15. 1. " CPUTBO989 ,CPU Targets Byte Offset 989 " hexmask.long.byte 0x00 0.--7. 1. " CPUTBO988 ,CPU Targets Byte Offset 988 " else hgroup.long 0xBC0++0x03 hide.long 0x00 "GICD_ITARGETSR240,Interrupt Processor Targets Register 240" hgroup.long 0xBC4++0x03 hide.long 0x00 "GICD_ITARGETSR241,Interrupt Processor Targets Register 241" hgroup.long 0xBC8++0x03 hide.long 0x00 "GICD_ITARGETSR242,Interrupt Processor Targets Register 242" hgroup.long 0xBCC++0x03 hide.long 0x00 "GICD_ITARGETSR243,Interrupt Processor Targets Register 243" hgroup.long 0xBD0++0x03 hide.long 0x00 "GICD_ITARGETSR244,Interrupt Processor Targets Register 244" hgroup.long 0xBD4++0x03 hide.long 0x00 "GICD_ITARGETSR245,Interrupt Processor Targets Register 245" hgroup.long 0xBD8++0x03 hide.long 0x00 "GICD_ITARGETSR246,Interrupt Processor Targets Register 246" hgroup.long 0xBDC++0x03 hide.long 0x00 "GICD_ITARGETSR247,Interrupt Processor Targets Register 247" endif else hgroup.long 0x800++0x03 hide.long 0x00 "GICD_ITARGETSR0 ,Interrupt Processor Targets Register 0 " hgroup.long 0x804++0x03 hide.long 0x00 "GICD_ITARGETSR1 ,Interrupt Processor Targets Register 1 " hgroup.long 0x808++0x03 hide.long 0x00 "GICD_ITARGETSR2 ,Interrupt Processor Targets Register 2 " hgroup.long 0x80C++0x03 hide.long 0x00 "GICD_ITARGETSR3 ,Interrupt Processor Targets Register 3 " hgroup.long 0x810++0x03 hide.long 0x00 "GICD_ITARGETSR4 ,Interrupt Processor Targets Register 4 " hgroup.long 0x814++0x03 hide.long 0x00 "GICD_ITARGETSR5 ,Interrupt Processor Targets Register 5 " hgroup.long 0x818++0x03 hide.long 0x00 "GICD_ITARGETSR6 ,Interrupt Processor Targets Register 6 " hgroup.long 0x81C++0x03 hide.long 0x00 "GICD_ITARGETSR7 ,Interrupt Processor Targets Register 7 " hgroup.long 0x820++0x03 hide.long 0x00 "GICD_ITARGETSR8 ,Interrupt Processor Targets Register 8 " hgroup.long 0x824++0x03 hide.long 0x00 "GICD_ITARGETSR9 ,Interrupt Processor Targets Register 9 " hgroup.long 0x828++0x03 hide.long 0x00 "GICD_ITARGETSR10 ,Interrupt Processor Targets Register 10 " hgroup.long 0x82C++0x03 hide.long 0x00 "GICD_ITARGETSR11 ,Interrupt Processor Targets Register 11 " hgroup.long 0x830++0x03 hide.long 0x00 "GICD_ITARGETSR12 ,Interrupt Processor Targets Register 12 " hgroup.long 0x834++0x03 hide.long 0x00 "GICD_ITARGETSR13 ,Interrupt Processor Targets Register 13 " hgroup.long 0x838++0x03 hide.long 0x00 "GICD_ITARGETSR14 ,Interrupt Processor Targets Register 14 " hgroup.long 0x83C++0x03 hide.long 0x00 "GICD_ITARGETSR15 ,Interrupt Processor Targets Register 15 " hgroup.long 0x840++0x03 hide.long 0x00 "GICD_ITARGETSR16 ,Interrupt Processor Targets Register 16 " hgroup.long 0x844++0x03 hide.long 0x00 "GICD_ITARGETSR17 ,Interrupt Processor Targets Register 17 " hgroup.long 0x848++0x03 hide.long 0x00 "GICD_ITARGETSR18 ,Interrupt Processor Targets Register 18 " hgroup.long 0x84C++0x03 hide.long 0x00 "GICD_ITARGETSR19 ,Interrupt Processor Targets Register 19 " hgroup.long 0x850++0x03 hide.long 0x00 "GICD_ITARGETSR20 ,Interrupt Processor Targets Register 20 " hgroup.long 0x854++0x03 hide.long 0x00 "GICD_ITARGETSR21 ,Interrupt Processor Targets Register 21 " hgroup.long 0x858++0x03 hide.long 0x00 "GICD_ITARGETSR22 ,Interrupt Processor Targets Register 22 " hgroup.long 0x85C++0x03 hide.long 0x00 "GICD_ITARGETSR23 ,Interrupt Processor Targets Register 23 " hgroup.long 0x860++0x03 hide.long 0x00 "GICD_ITARGETSR24 ,Interrupt Processor Targets Register 24 " hgroup.long 0x864++0x03 hide.long 0x00 "GICD_ITARGETSR25 ,Interrupt Processor Targets Register 25 " hgroup.long 0x868++0x03 hide.long 0x00 "GICD_ITARGETSR26 ,Interrupt Processor Targets Register 26 " hgroup.long 0x86C++0x03 hide.long 0x00 "GICD_ITARGETSR27 ,Interrupt Processor Targets Register 27 " hgroup.long 0x870++0x03 hide.long 0x00 "GICD_ITARGETSR28 ,Interrupt Processor Targets Register 28 " hgroup.long 0x874++0x03 hide.long 0x00 "GICD_ITARGETSR29 ,Interrupt Processor Targets Register 29 " hgroup.long 0x878++0x03 hide.long 0x00 "GICD_ITARGETSR30 ,Interrupt Processor Targets Register 30 " hgroup.long 0x87C++0x03 hide.long 0x00 "GICD_ITARGETSR31 ,Interrupt Processor Targets Register 31 " hgroup.long 0x880++0x03 hide.long 0x00 "GICD_ITARGETSR32 ,Interrupt Processor Targets Register 32 " hgroup.long 0x884++0x03 hide.long 0x00 "GICD_ITARGETSR33 ,Interrupt Processor Targets Register 33 " hgroup.long 0x888++0x03 hide.long 0x00 "GICD_ITARGETSR34 ,Interrupt Processor Targets Register 34 " hgroup.long 0x88C++0x03 hide.long 0x00 "GICD_ITARGETSR35 ,Interrupt Processor Targets Register 35 " hgroup.long 0x890++0x03 hide.long 0x00 "GICD_ITARGETSR36 ,Interrupt Processor Targets Register 36 " hgroup.long 0x894++0x03 hide.long 0x00 "GICD_ITARGETSR37 ,Interrupt Processor Targets Register 37 " hgroup.long 0x898++0x03 hide.long 0x00 "GICD_ITARGETSR38 ,Interrupt Processor Targets Register 38 " hgroup.long 0x89C++0x03 hide.long 0x00 "GICD_ITARGETSR39 ,Interrupt Processor Targets Register 39 " hgroup.long 0x8A0++0x03 hide.long 0x00 "GICD_ITARGETSR40 ,Interrupt Processor Targets Register 40 " hgroup.long 0x8A4++0x03 hide.long 0x00 "GICD_ITARGETSR41 ,Interrupt Processor Targets Register 41 " hgroup.long 0x8A8++0x03 hide.long 0x00 "GICD_ITARGETSR42 ,Interrupt Processor Targets Register 42 " hgroup.long 0x8AC++0x03 hide.long 0x00 "GICD_ITARGETSR43 ,Interrupt Processor Targets Register 43 " hgroup.long 0x8B0++0x03 hide.long 0x00 "GICD_ITARGETSR44 ,Interrupt Processor Targets Register 44 " hgroup.long 0x8B4++0x03 hide.long 0x00 "GICD_ITARGETSR45 ,Interrupt Processor Targets Register 45 " hgroup.long 0x8B8++0x03 hide.long 0x00 "GICD_ITARGETSR46 ,Interrupt Processor Targets Register 46 " hgroup.long 0x8BC++0x03 hide.long 0x00 "GICD_ITARGETSR47 ,Interrupt Processor Targets Register 47 " hgroup.long 0x8C0++0x03 hide.long 0x00 "GICD_ITARGETSR48 ,Interrupt Processor Targets Register 48 " hgroup.long 0x8C4++0x03 hide.long 0x00 "GICD_ITARGETSR49 ,Interrupt Processor Targets Register 49 " hgroup.long 0x8C8++0x03 hide.long 0x00 "GICD_ITARGETSR50 ,Interrupt Processor Targets Register 50 " hgroup.long 0x8CC++0x03 hide.long 0x00 "GICD_ITARGETSR51 ,Interrupt Processor Targets Register 51 " hgroup.long 0x8D0++0x03 hide.long 0x00 "GICD_ITARGETSR52 ,Interrupt Processor Targets Register 52 " hgroup.long 0x8D4++0x03 hide.long 0x00 "GICD_ITARGETSR53 ,Interrupt Processor Targets Register 53 " hgroup.long 0x8D8++0x03 hide.long 0x00 "GICD_ITARGETSR54 ,Interrupt Processor Targets Register 54 " hgroup.long 0x8DC++0x03 hide.long 0x00 "GICD_ITARGETSR55 ,Interrupt Processor Targets Register 55 " hgroup.long 0x8E0++0x03 hide.long 0x00 "GICD_ITARGETSR56 ,Interrupt Processor Targets Register 56 " hgroup.long 0x8E4++0x03 hide.long 0x00 "GICD_ITARGETSR57 ,Interrupt Processor Targets Register 57 " hgroup.long 0x8E8++0x03 hide.long 0x00 "GICD_ITARGETSR58 ,Interrupt Processor Targets Register 58 " hgroup.long 0x8EC++0x03 hide.long 0x00 "GICD_ITARGETSR59 ,Interrupt Processor Targets Register 59 " hgroup.long 0x8F0++0x03 hide.long 0x00 "GICD_ITARGETSR60 ,Interrupt Processor Targets Register 60 " hgroup.long 0x8F4++0x03 hide.long 0x00 "GICD_ITARGETSR61 ,Interrupt Processor Targets Register 61 " hgroup.long 0x8F8++0x03 hide.long 0x00 "GICD_ITARGETSR62 ,Interrupt Processor Targets Register 62 " hgroup.long 0x8FC++0x03 hide.long 0x00 "GICD_ITARGETSR63 ,Interrupt Processor Targets Register 63 " hgroup.long 0x900++0x03 hide.long 0x00 "GICD_ITARGETSR64 ,Interrupt Processor Targets Register 64 " hgroup.long 0x904++0x03 hide.long 0x00 "GICD_ITARGETSR65 ,Interrupt Processor Targets Register 65 " hgroup.long 0x908++0x03 hide.long 0x00 "GICD_ITARGETSR66 ,Interrupt Processor Targets Register 66 " hgroup.long 0x90C++0x03 hide.long 0x00 "GICD_ITARGETSR67 ,Interrupt Processor Targets Register 67 " hgroup.long 0x910++0x03 hide.long 0x00 "GICD_ITARGETSR68 ,Interrupt Processor Targets Register 68 " hgroup.long 0x914++0x03 hide.long 0x00 "GICD_ITARGETSR69 ,Interrupt Processor Targets Register 69 " hgroup.long 0x918++0x03 hide.long 0x00 "GICD_ITARGETSR70 ,Interrupt Processor Targets Register 70 " hgroup.long 0x91C++0x03 hide.long 0x00 "GICD_ITARGETSR71 ,Interrupt Processor Targets Register 71 " hgroup.long 0x920++0x03 hide.long 0x00 "GICD_ITARGETSR72 ,Interrupt Processor Targets Register 72 " hgroup.long 0x924++0x03 hide.long 0x00 "GICD_ITARGETSR73 ,Interrupt Processor Targets Register 73 " hgroup.long 0x928++0x03 hide.long 0x00 "GICD_ITARGETSR74 ,Interrupt Processor Targets Register 74 " hgroup.long 0x92C++0x03 hide.long 0x00 "GICD_ITARGETSR75 ,Interrupt Processor Targets Register 75 " hgroup.long 0x930++0x03 hide.long 0x00 "GICD_ITARGETSR76 ,Interrupt Processor Targets Register 76 " hgroup.long 0x934++0x03 hide.long 0x00 "GICD_ITARGETSR77 ,Interrupt Processor Targets Register 77 " hgroup.long 0x938++0x03 hide.long 0x00 "GICD_ITARGETSR78 ,Interrupt Processor Targets Register 78 " hgroup.long 0x93C++0x03 hide.long 0x00 "GICD_ITARGETSR79 ,Interrupt Processor Targets Register 79 " hgroup.long 0x940++0x03 hide.long 0x00 "GICD_ITARGETSR80 ,Interrupt Processor Targets Register 80 " hgroup.long 0x944++0x03 hide.long 0x00 "GICD_ITARGETSR81 ,Interrupt Processor Targets Register 81 " hgroup.long 0x948++0x03 hide.long 0x00 "GICD_ITARGETSR82 ,Interrupt Processor Targets Register 82 " hgroup.long 0x94C++0x03 hide.long 0x00 "GICD_ITARGETSR83 ,Interrupt Processor Targets Register 83 " hgroup.long 0x950++0x03 hide.long 0x00 "GICD_ITARGETSR84 ,Interrupt Processor Targets Register 84 " hgroup.long 0x954++0x03 hide.long 0x00 "GICD_ITARGETSR85 ,Interrupt Processor Targets Register 85 " hgroup.long 0x958++0x03 hide.long 0x00 "GICD_ITARGETSR86 ,Interrupt Processor Targets Register 86 " hgroup.long 0x95C++0x03 hide.long 0x00 "GICD_ITARGETSR87 ,Interrupt Processor Targets Register 87 " hgroup.long 0x960++0x03 hide.long 0x00 "GICD_ITARGETSR88 ,Interrupt Processor Targets Register 88 " hgroup.long 0x964++0x03 hide.long 0x00 "GICD_ITARGETSR89 ,Interrupt Processor Targets Register 89 " hgroup.long 0x968++0x03 hide.long 0x00 "GICD_ITARGETSR90 ,Interrupt Processor Targets Register 90 " hgroup.long 0x96C++0x03 hide.long 0x00 "GICD_ITARGETSR91 ,Interrupt Processor Targets Register 91 " hgroup.long 0x970++0x03 hide.long 0x00 "GICD_ITARGETSR92 ,Interrupt Processor Targets Register 92 " hgroup.long 0x974++0x03 hide.long 0x00 "GICD_ITARGETSR93 ,Interrupt Processor Targets Register 93 " hgroup.long 0x978++0x03 hide.long 0x00 "GICD_ITARGETSR94 ,Interrupt Processor Targets Register 94 " hgroup.long 0x97C++0x03 hide.long 0x00 "GICD_ITARGETSR95 ,Interrupt Processor Targets Register 95 " hgroup.long 0x980++0x03 hide.long 0x00 "GICD_ITARGETSR96 ,Interrupt Processor Targets Register 96 " hgroup.long 0x984++0x03 hide.long 0x00 "GICD_ITARGETSR97 ,Interrupt Processor Targets Register 97 " hgroup.long 0x988++0x03 hide.long 0x00 "GICD_ITARGETSR98 ,Interrupt Processor Targets Register 98 " hgroup.long 0x98C++0x03 hide.long 0x00 "GICD_ITARGETSR99 ,Interrupt Processor Targets Register 99 " hgroup.long 0x990++0x03 hide.long 0x00 "GICD_ITARGETSR100,Interrupt Processor Targets Register 100" hgroup.long 0x994++0x03 hide.long 0x00 "GICD_ITARGETSR101,Interrupt Processor Targets Register 101" hgroup.long 0x998++0x03 hide.long 0x00 "GICD_ITARGETSR102,Interrupt Processor Targets Register 102" hgroup.long 0x99C++0x03 hide.long 0x00 "GICD_ITARGETSR103,Interrupt Processor Targets Register 103" hgroup.long 0x9A0++0x03 hide.long 0x00 "GICD_ITARGETSR104,Interrupt Processor Targets Register 104" hgroup.long 0x9A4++0x03 hide.long 0x00 "GICD_ITARGETSR105,Interrupt Processor Targets Register 105" hgroup.long 0x9A8++0x03 hide.long 0x00 "GICD_ITARGETSR106,Interrupt Processor Targets Register 106" hgroup.long 0x9AC++0x03 hide.long 0x00 "GICD_ITARGETSR107,Interrupt Processor Targets Register 107" hgroup.long 0x9B0++0x03 hide.long 0x00 "GICD_ITARGETSR108,Interrupt Processor Targets Register 108" hgroup.long 0x9B4++0x03 hide.long 0x00 "GICD_ITARGETSR109,Interrupt Processor Targets Register 109" hgroup.long 0x9B8++0x03 hide.long 0x00 "GICD_ITARGETSR110,Interrupt Processor Targets Register 110" hgroup.long 0x9BC++0x03 hide.long 0x00 "GICD_ITARGETSR111,Interrupt Processor Targets Register 111" hgroup.long 0x9C0++0x03 hide.long 0x00 "GICD_ITARGETSR112,Interrupt Processor Targets Register 112" hgroup.long 0x9C4++0x03 hide.long 0x00 "GICD_ITARGETSR113,Interrupt Processor Targets Register 113" hgroup.long 0x9C8++0x03 hide.long 0x00 "GICD_ITARGETSR114,Interrupt Processor Targets Register 114" hgroup.long 0x9CC++0x03 hide.long 0x00 "GICD_ITARGETSR115,Interrupt Processor Targets Register 115" hgroup.long 0x9D0++0x03 hide.long 0x00 "GICD_ITARGETSR116,Interrupt Processor Targets Register 116" hgroup.long 0x9D4++0x03 hide.long 0x00 "GICD_ITARGETSR117,Interrupt Processor Targets Register 117" hgroup.long 0x9D8++0x03 hide.long 0x00 "GICD_ITARGETSR118,Interrupt Processor Targets Register 118" hgroup.long 0x9DC++0x03 hide.long 0x00 "GICD_ITARGETSR119,Interrupt Processor Targets Register 119" hgroup.long 0x9E0++0x03 hide.long 0x00 "GICD_ITARGETSR120,Interrupt Processor Targets Register 120" hgroup.long 0x9E4++0x03 hide.long 0x00 "GICD_ITARGETSR121,Interrupt Processor Targets Register 121" hgroup.long 0x9E8++0x03 hide.long 0x00 "GICD_ITARGETSR122,Interrupt Processor Targets Register 122" hgroup.long 0x9EC++0x03 hide.long 0x00 "GICD_ITARGETSR123,Interrupt Processor Targets Register 123" hgroup.long 0x9F0++0x03 hide.long 0x00 "GICD_ITARGETSR124,Interrupt Processor Targets Register 124" hgroup.long 0x9F4++0x03 hide.long 0x00 "GICD_ITARGETSR125,Interrupt Processor Targets Register 125" hgroup.long 0x9F8++0x03 hide.long 0x00 "GICD_ITARGETSR126,Interrupt Processor Targets Register 126" hgroup.long 0x9FC++0x03 hide.long 0x00 "GICD_ITARGETSR127,Interrupt Processor Targets Register 127" hgroup.long 0xA00++0x03 hide.long 0x00 "GICD_ITARGETSR128,Interrupt Processor Targets Register 128" hgroup.long 0xA04++0x03 hide.long 0x00 "GICD_ITARGETSR129,Interrupt Processor Targets Register 129" hgroup.long 0xA08++0x03 hide.long 0x00 "GICD_ITARGETSR130,Interrupt Processor Targets Register 130" hgroup.long 0xA0C++0x03 hide.long 0x00 "GICD_ITARGETSR131,Interrupt Processor Targets Register 131" hgroup.long 0xA10++0x03 hide.long 0x00 "GICD_ITARGETSR132,Interrupt Processor Targets Register 132" hgroup.long 0xA14++0x03 hide.long 0x00 "GICD_ITARGETSR133,Interrupt Processor Targets Register 133" hgroup.long 0xA18++0x03 hide.long 0x00 "GICD_ITARGETSR134,Interrupt Processor Targets Register 134" hgroup.long 0xA1C++0x03 hide.long 0x00 "GICD_ITARGETSR135,Interrupt Processor Targets Register 135" hgroup.long 0xA20++0x03 hide.long 0x00 "GICD_ITARGETSR136,Interrupt Processor Targets Register 136" hgroup.long 0xA24++0x03 hide.long 0x00 "GICD_ITARGETSR137,Interrupt Processor Targets Register 137" hgroup.long 0xA28++0x03 hide.long 0x00 "GICD_ITARGETSR138,Interrupt Processor Targets Register 138" hgroup.long 0xA2C++0x03 hide.long 0x00 "GICD_ITARGETSR139,Interrupt Processor Targets Register 139" hgroup.long 0xA30++0x03 hide.long 0x00 "GICD_ITARGETSR140,Interrupt Processor Targets Register 140" hgroup.long 0xA34++0x03 hide.long 0x00 "GICD_ITARGETSR141,Interrupt Processor Targets Register 141" hgroup.long 0xA38++0x03 hide.long 0x00 "GICD_ITARGETSR142,Interrupt Processor Targets Register 142" hgroup.long 0xA3C++0x03 hide.long 0x00 "GICD_ITARGETSR143,Interrupt Processor Targets Register 143" hgroup.long 0xA40++0x03 hide.long 0x00 "GICD_ITARGETSR144,Interrupt Processor Targets Register 144" hgroup.long 0xA44++0x03 hide.long 0x00 "GICD_ITARGETSR145,Interrupt Processor Targets Register 145" hgroup.long 0xA48++0x03 hide.long 0x00 "GICD_ITARGETSR146,Interrupt Processor Targets Register 146" hgroup.long 0xA4C++0x03 hide.long 0x00 "GICD_ITARGETSR147,Interrupt Processor Targets Register 147" hgroup.long 0xA50++0x03 hide.long 0x00 "GICD_ITARGETSR148,Interrupt Processor Targets Register 148" hgroup.long 0xA54++0x03 hide.long 0x00 "GICD_ITARGETSR149,Interrupt Processor Targets Register 149" hgroup.long 0xA58++0x03 hide.long 0x00 "GICD_ITARGETSR150,Interrupt Processor Targets Register 150" hgroup.long 0xA5C++0x03 hide.long 0x00 "GICD_ITARGETSR151,Interrupt Processor Targets Register 151" hgroup.long 0xA60++0x03 hide.long 0x00 "GICD_ITARGETSR152,Interrupt Processor Targets Register 152" hgroup.long 0xA64++0x03 hide.long 0x00 "GICD_ITARGETSR153,Interrupt Processor Targets Register 153" hgroup.long 0xA68++0x03 hide.long 0x00 "GICD_ITARGETSR154,Interrupt Processor Targets Register 154" hgroup.long 0xA6C++0x03 hide.long 0x00 "GICD_ITARGETSR155,Interrupt Processor Targets Register 155" hgroup.long 0xA70++0x03 hide.long 0x00 "GICD_ITARGETSR156,Interrupt Processor Targets Register 156" hgroup.long 0xA74++0x03 hide.long 0x00 "GICD_ITARGETSR157,Interrupt Processor Targets Register 157" hgroup.long 0xA78++0x03 hide.long 0x00 "GICD_ITARGETSR158,Interrupt Processor Targets Register 158" hgroup.long 0xA7C++0x03 hide.long 0x00 "GICD_ITARGETSR159,Interrupt Processor Targets Register 159" hgroup.long 0xA80++0x03 hide.long 0x00 "GICD_ITARGETSR160,Interrupt Processor Targets Register 160" hgroup.long 0xA84++0x03 hide.long 0x00 "GICD_ITARGETSR161,Interrupt Processor Targets Register 161" hgroup.long 0xA88++0x03 hide.long 0x00 "GICD_ITARGETSR162,Interrupt Processor Targets Register 162" hgroup.long 0xA8C++0x03 hide.long 0x00 "GICD_ITARGETSR163,Interrupt Processor Targets Register 163" hgroup.long 0xA90++0x03 hide.long 0x00 "GICD_ITARGETSR164,Interrupt Processor Targets Register 164" hgroup.long 0xA94++0x03 hide.long 0x00 "GICD_ITARGETSR165,Interrupt Processor Targets Register 165" hgroup.long 0xA98++0x03 hide.long 0x00 "GICD_ITARGETSR166,Interrupt Processor Targets Register 166" hgroup.long 0xA9C++0x03 hide.long 0x00 "GICD_ITARGETSR167,Interrupt Processor Targets Register 167" hgroup.long 0xAA0++0x03 hide.long 0x00 "GICD_ITARGETSR168,Interrupt Processor Targets Register 168" hgroup.long 0xAA4++0x03 hide.long 0x00 "GICD_ITARGETSR169,Interrupt Processor Targets Register 169" hgroup.long 0xAA8++0x03 hide.long 0x00 "GICD_ITARGETSR170,Interrupt Processor Targets Register 170" hgroup.long 0xAAC++0x03 hide.long 0x00 "GICD_ITARGETSR171,Interrupt Processor Targets Register 171" hgroup.long 0xAB0++0x03 hide.long 0x00 "GICD_ITARGETSR172,Interrupt Processor Targets Register 172" hgroup.long 0xAB4++0x03 hide.long 0x00 "GICD_ITARGETSR173,Interrupt Processor Targets Register 173" hgroup.long 0xAB8++0x03 hide.long 0x00 "GICD_ITARGETSR174,Interrupt Processor Targets Register 174" hgroup.long 0xABC++0x03 hide.long 0x00 "GICD_ITARGETSR175,Interrupt Processor Targets Register 175" hgroup.long 0xAC0++0x03 hide.long 0x00 "GICD_ITARGETSR176,Interrupt Processor Targets Register 176" hgroup.long 0xAC4++0x03 hide.long 0x00 "GICD_ITARGETSR177,Interrupt Processor Targets Register 177" hgroup.long 0xAC8++0x03 hide.long 0x00 "GICD_ITARGETSR178,Interrupt Processor Targets Register 178" hgroup.long 0xACC++0x03 hide.long 0x00 "GICD_ITARGETSR179,Interrupt Processor Targets Register 179" hgroup.long 0xAD0++0x03 hide.long 0x00 "GICD_ITARGETSR180,Interrupt Processor Targets Register 180" hgroup.long 0xAD4++0x03 hide.long 0x00 "GICD_ITARGETSR181,Interrupt Processor Targets Register 181" hgroup.long 0xAD8++0x03 hide.long 0x00 "GICD_ITARGETSR182,Interrupt Processor Targets Register 182" hgroup.long 0xADC++0x03 hide.long 0x00 "GICD_ITARGETSR183,Interrupt Processor Targets Register 183" hgroup.long 0xAE0++0x03 hide.long 0x00 "GICD_ITARGETSR184,Interrupt Processor Targets Register 184" hgroup.long 0xAE4++0x03 hide.long 0x00 "GICD_ITARGETSR185,Interrupt Processor Targets Register 185" hgroup.long 0xAE8++0x03 hide.long 0x00 "GICD_ITARGETSR186,Interrupt Processor Targets Register 186" hgroup.long 0xAEC++0x03 hide.long 0x00 "GICD_ITARGETSR187,Interrupt Processor Targets Register 187" hgroup.long 0xAF0++0x03 hide.long 0x00 "GICD_ITARGETSR188,Interrupt Processor Targets Register 188" hgroup.long 0xAF4++0x03 hide.long 0x00 "GICD_ITARGETSR189,Interrupt Processor Targets Register 189" hgroup.long 0xAF8++0x03 hide.long 0x00 "GICD_ITARGETSR190,Interrupt Processor Targets Register 190" hgroup.long 0xAFC++0x03 hide.long 0x00 "GICD_ITARGETSR191,Interrupt Processor Targets Register 191" hgroup.long 0xB00++0x03 hide.long 0x00 "GICD_ITARGETSR192,Interrupt Processor Targets Register 192" hgroup.long 0xB04++0x03 hide.long 0x00 "GICD_ITARGETSR193,Interrupt Processor Targets Register 193" hgroup.long 0xB08++0x03 hide.long 0x00 "GICD_ITARGETSR194,Interrupt Processor Targets Register 194" hgroup.long 0xB0C++0x03 hide.long 0x00 "GICD_ITARGETSR195,Interrupt Processor Targets Register 195" hgroup.long 0xB10++0x03 hide.long 0x00 "GICD_ITARGETSR196,Interrupt Processor Targets Register 196" hgroup.long 0xB14++0x03 hide.long 0x00 "GICD_ITARGETSR197,Interrupt Processor Targets Register 197" hgroup.long 0xB18++0x03 hide.long 0x00 "GICD_ITARGETSR198,Interrupt Processor Targets Register 198" hgroup.long 0xB1C++0x03 hide.long 0x00 "GICD_ITARGETSR199,Interrupt Processor Targets Register 199" hgroup.long 0xB20++0x03 hide.long 0x00 "GICD_ITARGETSR200,Interrupt Processor Targets Register 200" hgroup.long 0xB24++0x03 hide.long 0x00 "GICD_ITARGETSR201,Interrupt Processor Targets Register 201" hgroup.long 0xB28++0x03 hide.long 0x00 "GICD_ITARGETSR202,Interrupt Processor Targets Register 202" hgroup.long 0xB2C++0x03 hide.long 0x00 "GICD_ITARGETSR203,Interrupt Processor Targets Register 203" hgroup.long 0xB30++0x03 hide.long 0x00 "GICD_ITARGETSR204,Interrupt Processor Targets Register 204" hgroup.long 0xB34++0x03 hide.long 0x00 "GICD_ITARGETSR205,Interrupt Processor Targets Register 205" hgroup.long 0xB38++0x03 hide.long 0x00 "GICD_ITARGETSR206,Interrupt Processor Targets Register 206" hgroup.long 0xB3C++0x03 hide.long 0x00 "GICD_ITARGETSR207,Interrupt Processor Targets Register 207" hgroup.long 0xB40++0x03 hide.long 0x00 "GICD_ITARGETSR208,Interrupt Processor Targets Register 208" hgroup.long 0xB44++0x03 hide.long 0x00 "GICD_ITARGETSR209,Interrupt Processor Targets Register 209" hgroup.long 0xB48++0x03 hide.long 0x00 "GICD_ITARGETSR210,Interrupt Processor Targets Register 210" hgroup.long 0xB4C++0x03 hide.long 0x00 "GICD_ITARGETSR211,Interrupt Processor Targets Register 211" hgroup.long 0xB50++0x03 hide.long 0x00 "GICD_ITARGETSR212,Interrupt Processor Targets Register 212" hgroup.long 0xB54++0x03 hide.long 0x00 "GICD_ITARGETSR213,Interrupt Processor Targets Register 213" hgroup.long 0xB58++0x03 hide.long 0x00 "GICD_ITARGETSR214,Interrupt Processor Targets Register 214" hgroup.long 0xB5C++0x03 hide.long 0x00 "GICD_ITARGETSR215,Interrupt Processor Targets Register 215" hgroup.long 0xB60++0x03 hide.long 0x00 "GICD_ITARGETSR216,Interrupt Processor Targets Register 216" hgroup.long 0xB64++0x03 hide.long 0x00 "GICD_ITARGETSR217,Interrupt Processor Targets Register 217" hgroup.long 0xB68++0x03 hide.long 0x00 "GICD_ITARGETSR218,Interrupt Processor Targets Register 218" hgroup.long 0xB6C++0x03 hide.long 0x00 "GICD_ITARGETSR219,Interrupt Processor Targets Register 219" hgroup.long 0xB70++0x03 hide.long 0x00 "GICD_ITARGETSR220,Interrupt Processor Targets Register 220" hgroup.long 0xB74++0x03 hide.long 0x00 "GICD_ITARGETSR221,Interrupt Processor Targets Register 221" hgroup.long 0xB78++0x03 hide.long 0x00 "GICD_ITARGETSR222,Interrupt Processor Targets Register 222" hgroup.long 0xB7C++0x03 hide.long 0x00 "GICD_ITARGETSR223,Interrupt Processor Targets Register 223" hgroup.long 0xB80++0x03 hide.long 0x00 "GICD_ITARGETSR224,Interrupt Processor Targets Register 224" hgroup.long 0xB84++0x03 hide.long 0x00 "GICD_ITARGETSR225,Interrupt Processor Targets Register 225" hgroup.long 0xB88++0x03 hide.long 0x00 "GICD_ITARGETSR226,Interrupt Processor Targets Register 226" hgroup.long 0xB8C++0x03 hide.long 0x00 "GICD_ITARGETSR227,Interrupt Processor Targets Register 227" hgroup.long 0xB90++0x03 hide.long 0x00 "GICD_ITARGETSR228,Interrupt Processor Targets Register 228" hgroup.long 0xB94++0x03 hide.long 0x00 "GICD_ITARGETSR229,Interrupt Processor Targets Register 229" hgroup.long 0xB98++0x03 hide.long 0x00 "GICD_ITARGETSR230,Interrupt Processor Targets Register 230" hgroup.long 0xB9C++0x03 hide.long 0x00 "GICD_ITARGETSR231,Interrupt Processor Targets Register 231" hgroup.long 0xBA0++0x03 hide.long 0x00 "GICD_ITARGETSR232,Interrupt Processor Targets Register 232" hgroup.long 0xBA4++0x03 hide.long 0x00 "GICD_ITARGETSR233,Interrupt Processor Targets Register 233" hgroup.long 0xBA8++0x03 hide.long 0x00 "GICD_ITARGETSR234,Interrupt Processor Targets Register 234" hgroup.long 0xBAC++0x03 hide.long 0x00 "GICD_ITARGETSR235,Interrupt Processor Targets Register 235" hgroup.long 0xBB0++0x03 hide.long 0x00 "GICD_ITARGETSR236,Interrupt Processor Targets Register 236" hgroup.long 0xBB4++0x03 hide.long 0x00 "GICD_ITARGETSR237,Interrupt Processor Targets Register 237" hgroup.long 0xBB8++0x03 hide.long 0x00 "GICD_ITARGETSR238,Interrupt Processor Targets Register 238" hgroup.long 0xBBC++0x03 hide.long 0x00 "GICD_ITARGETSR239,Interrupt Processor Targets Register 239" hgroup.long 0xBC0++0x03 hide.long 0x00 "GICD_ITARGETSR240,Interrupt Processor Targets Register 240" hgroup.long 0xBC4++0x03 hide.long 0x00 "GICD_ITARGETSR241,Interrupt Processor Targets Register 241" hgroup.long 0xBC8++0x03 hide.long 0x00 "GICD_ITARGETSR242,Interrupt Processor Targets Register 242" hgroup.long 0xBCC++0x03 hide.long 0x00 "GICD_ITARGETSR243,Interrupt Processor Targets Register 243" hgroup.long 0xBD0++0x03 hide.long 0x00 "GICD_ITARGETSR244,Interrupt Processor Targets Register 244" hgroup.long 0xBD4++0x03 hide.long 0x00 "GICD_ITARGETSR245,Interrupt Processor Targets Register 245" hgroup.long 0xBD8++0x03 hide.long 0x00 "GICD_ITARGETSR246,Interrupt Processor Targets Register 246" hgroup.long 0xBDC++0x03 hide.long 0x00 "GICD_ITARGETSR247,Interrupt Processor Targets Register 247" endif tree.end width 14. tree "Configuration Registers" rgroup.long 0xC00++0x03 line.long 0x00 "GICD_ICFGR0,Interrupt Configuration Register" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SGI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SGI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SGI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SGI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SGI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SGI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SGI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SGI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SGI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SGI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SGI)" "Level,Edge" group.long 0xC04++0x03 line.long 0x00 "GICD_ICFGR1,Interrupt Configuration Register" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (PPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (PPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (PPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (PPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (PPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (PPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (PPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (PPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (PPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (PPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (PPI)" "Level,Edge" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1) group.long 0xC08++0x03 line.long 0x00 "GICD_ICFGR2,Interrupt Configuration Register 2" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC0C++0x03 line.long 0x00 "GICD_ICFGR3,Interrupt Configuration Register 3" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC08++0x03 hide.long 0x00 "GICD_ICFGR2,Interrupt Configuration Register 2" hgroup.long 0xC0C++0x03 hide.long 0x00 "GICD_ICFGR3,Interrupt Configuration Register 3" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x2) group.long 0xC10++0x03 line.long 0x00 "GICD_ICFGR4,Interrupt Configuration Register 4" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC14++0x03 line.long 0x00 "GICD_ICFGR5,Interrupt Configuration Register 5" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC10++0x03 hide.long 0x00 "GICD_ICFGR4,Interrupt Configuration Register 4" hgroup.long 0xC14++0x03 hide.long 0x00 "GICD_ICFGR5,Interrupt Configuration Register 5" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x3) group.long 0xC18++0x03 line.long 0x00 "GICD_ICFGR6,Interrupt Configuration Register 6" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC1C++0x03 line.long 0x00 "GICD_ICFGR7,Interrupt Configuration Register 7" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC18++0x03 hide.long 0x00 "GICD_ICFGR6,Interrupt Configuration Register 6" hgroup.long 0xC1C++0x03 hide.long 0x00 "GICD_ICFGR7,Interrupt Configuration Register 7" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x4) group.long 0xC20++0x03 line.long 0x00 "GICD_ICFGR8,Interrupt Configuration Register 8" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC24++0x03 line.long 0x00 "GICD_ICFGR9,Interrupt Configuration Register 9" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC20++0x03 hide.long 0x00 "GICD_ICFGR8,Interrupt Configuration Register 8" hgroup.long 0xC24++0x03 hide.long 0x00 "GICD_ICFGR9,Interrupt Configuration Register 9" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x5) group.long 0xC28++0x03 line.long 0x00 "GICD_ICFGR10,Interrupt Configuration Register 10" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC2C++0x03 line.long 0x00 "GICD_ICFGR11,Interrupt Configuration Register 11" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC28++0x03 hide.long 0x00 "GICD_ICFGR10,Interrupt Configuration Register 10" hgroup.long 0xC2C++0x03 hide.long 0x00 "GICD_ICFGR11,Interrupt Configuration Register 11" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x6) group.long 0xC30++0x03 line.long 0x00 "GICD_ICFGR12,Interrupt Configuration Register 12" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC34++0x03 line.long 0x00 "GICD_ICFGR13,Interrupt Configuration Register 13" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC30++0x03 hide.long 0x00 "GICD_ICFGR12,Interrupt Configuration Register 12" hgroup.long 0xC34++0x03 hide.long 0x00 "GICD_ICFGR13,Interrupt Configuration Register 13" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x7) group.long 0xC38++0x03 line.long 0x00 "GICD_ICFGR14,Interrupt Configuration Register 14" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC3C++0x03 line.long 0x00 "GICD_ICFGR15,Interrupt Configuration Register 15" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC38++0x03 hide.long 0x00 "GICD_ICFGR14,Interrupt Configuration Register 14" hgroup.long 0xC3C++0x03 hide.long 0x00 "GICD_ICFGR15,Interrupt Configuration Register 15" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x8) group.long 0xC40++0x03 line.long 0x00 "GICD_ICFGR16,Interrupt Configuration Register 16" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC44++0x03 line.long 0x00 "GICD_ICFGR17,Interrupt Configuration Register 17" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC40++0x03 hide.long 0x00 "GICD_ICFGR16,Interrupt Configuration Register 16" hgroup.long 0xC44++0x03 hide.long 0x00 "GICD_ICFGR17,Interrupt Configuration Register 17" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x9) group.long 0xC48++0x03 line.long 0x00 "GICD_ICFGR18,Interrupt Configuration Register 18" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC4C++0x03 line.long 0x00 "GICD_ICFGR19,Interrupt Configuration Register 19" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC48++0x03 hide.long 0x00 "GICD_ICFGR18,Interrupt Configuration Register 18" hgroup.long 0xC4C++0x03 hide.long 0x00 "GICD_ICFGR19,Interrupt Configuration Register 19" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xA) group.long 0xC50++0x03 line.long 0x00 "GICD_ICFGR20,Interrupt Configuration Register 20" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC54++0x03 line.long 0x00 "GICD_ICFGR21,Interrupt Configuration Register 21" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC50++0x03 hide.long 0x00 "GICD_ICFGR20,Interrupt Configuration Register 20" hgroup.long 0xC54++0x03 hide.long 0x00 "GICD_ICFGR21,Interrupt Configuration Register 21" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xB) group.long 0xC58++0x03 line.long 0x00 "GICD_ICFGR22,Interrupt Configuration Register 22" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC5C++0x03 line.long 0x00 "GICD_ICFGR23,Interrupt Configuration Register 23" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC58++0x03 hide.long 0x00 "GICD_ICFGR22,Interrupt Configuration Register 22" hgroup.long 0xC5C++0x03 hide.long 0x00 "GICD_ICFGR23,Interrupt Configuration Register 23" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xC) group.long 0xC60++0x03 line.long 0x00 "GICD_ICFGR24,Interrupt Configuration Register 24" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC64++0x03 line.long 0x00 "GICD_ICFGR25,Interrupt Configuration Register 25" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC60++0x03 hide.long 0x00 "GICD_ICFGR24,Interrupt Configuration Register 24" hgroup.long 0xC64++0x03 hide.long 0x00 "GICD_ICFGR25,Interrupt Configuration Register 25" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xD) group.long 0xC68++0x03 line.long 0x00 "GICD_ICFGR26,Interrupt Configuration Register 26" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC6C++0x03 line.long 0x00 "GICD_ICFGR27,Interrupt Configuration Register 27" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC68++0x03 hide.long 0x00 "GICD_ICFGR26,Interrupt Configuration Register 26" hgroup.long 0xC6C++0x03 hide.long 0x00 "GICD_ICFGR27,Interrupt Configuration Register 27" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xE) group.long 0xC70++0x03 line.long 0x00 "GICD_ICFGR28,Interrupt Configuration Register 28" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC74++0x03 line.long 0x00 "GICD_ICFGR29,Interrupt Configuration Register 29" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC70++0x03 hide.long 0x00 "GICD_ICFGR28,Interrupt Configuration Register 28" hgroup.long 0xC74++0x03 hide.long 0x00 "GICD_ICFGR29,Interrupt Configuration Register 29" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0xF) group.long 0xC78++0x03 line.long 0x00 "GICD_ICFGR30,Interrupt Configuration Register 30" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC7C++0x03 line.long 0x00 "GICD_ICFGR31,Interrupt Configuration Register 31" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC78++0x03 hide.long 0x00 "GICD_ICFGR30,Interrupt Configuration Register 30" hgroup.long 0xC7C++0x03 hide.long 0x00 "GICD_ICFGR31,Interrupt Configuration Register 31" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10) group.long 0xC80++0x03 line.long 0x00 "GICD_ICFGR32,Interrupt Configuration Register 32" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC84++0x03 line.long 0x00 "GICD_ICFGR33,Interrupt Configuration Register 33" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC80++0x03 hide.long 0x00 "GICD_ICFGR32,Interrupt Configuration Register 32" hgroup.long 0xC84++0x03 hide.long 0x00 "GICD_ICFGR33,Interrupt Configuration Register 33" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11) group.long 0xC88++0x03 line.long 0x00 "GICD_ICFGR34,Interrupt Configuration Register 34" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC8C++0x03 line.long 0x00 "GICD_ICFGR35,Interrupt Configuration Register 35" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC88++0x03 hide.long 0x00 "GICD_ICFGR34,Interrupt Configuration Register 34" hgroup.long 0xC8C++0x03 hide.long 0x00 "GICD_ICFGR35,Interrupt Configuration Register 35" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12) group.long 0xC90++0x03 line.long 0x00 "GICD_ICFGR36,Interrupt Configuration Register 36" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC94++0x03 line.long 0x00 "GICD_ICFGR37,Interrupt Configuration Register 37" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC90++0x03 hide.long 0x00 "GICD_ICFGR36,Interrupt Configuration Register 36" hgroup.long 0xC94++0x03 hide.long 0x00 "GICD_ICFGR37,Interrupt Configuration Register 37" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13) group.long 0xC98++0x03 line.long 0x00 "GICD_ICFGR38,Interrupt Configuration Register 38" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xC9C++0x03 line.long 0x00 "GICD_ICFGR39,Interrupt Configuration Register 39" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xC98++0x03 hide.long 0x00 "GICD_ICFGR38,Interrupt Configuration Register 38" hgroup.long 0xC9C++0x03 hide.long 0x00 "GICD_ICFGR39,Interrupt Configuration Register 39" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14) group.long 0xCA0++0x03 line.long 0x00 "GICD_ICFGR40,Interrupt Configuration Register 40" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCA4++0x03 line.long 0x00 "GICD_ICFGR41,Interrupt Configuration Register 41" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCA0++0x03 hide.long 0x00 "GICD_ICFGR40,Interrupt Configuration Register 40" hgroup.long 0xCA4++0x03 hide.long 0x00 "GICD_ICFGR41,Interrupt Configuration Register 41" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15) group.long 0xCA8++0x03 line.long 0x00 "GICD_ICFGR42,Interrupt Configuration Register 42" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCAC++0x03 line.long 0x00 "GICD_ICFGR43,Interrupt Configuration Register 43" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCA8++0x03 hide.long 0x00 "GICD_ICFGR42,Interrupt Configuration Register 42" hgroup.long 0xCAC++0x03 hide.long 0x00 "GICD_ICFGR43,Interrupt Configuration Register 43" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16) group.long 0xCB0++0x03 line.long 0x00 "GICD_ICFGR44,Interrupt Configuration Register 44" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCB4++0x03 line.long 0x00 "GICD_ICFGR45,Interrupt Configuration Register 45" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCB0++0x03 hide.long 0x00 "GICD_ICFGR44,Interrupt Configuration Register 44" hgroup.long 0xCB4++0x03 hide.long 0x00 "GICD_ICFGR45,Interrupt Configuration Register 45" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17) group.long 0xCB8++0x03 line.long 0x00 "GICD_ICFGR46,Interrupt Configuration Register 46" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCBC++0x03 line.long 0x00 "GICD_ICFGR47,Interrupt Configuration Register 47" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCB8++0x03 hide.long 0x00 "GICD_ICFGR46,Interrupt Configuration Register 46" hgroup.long 0xCBC++0x03 hide.long 0x00 "GICD_ICFGR47,Interrupt Configuration Register 47" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18) group.long 0xCC0++0x03 line.long 0x00 "GICD_ICFGR48,Interrupt Configuration Register 48" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCC4++0x03 line.long 0x00 "GICD_ICFGR49,Interrupt Configuration Register 49" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCC0++0x03 hide.long 0x00 "GICD_ICFGR48,Interrupt Configuration Register 48" hgroup.long 0xCC4++0x03 hide.long 0x00 "GICD_ICFGR49,Interrupt Configuration Register 49" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19) group.long 0xCC8++0x03 line.long 0x00 "GICD_ICFGR50,Interrupt Configuration Register 50" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCCC++0x03 line.long 0x00 "GICD_ICFGR51,Interrupt Configuration Register 51" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCC8++0x03 hide.long 0x00 "GICD_ICFGR50,Interrupt Configuration Register 50" hgroup.long 0xCCC++0x03 hide.long 0x00 "GICD_ICFGR51,Interrupt Configuration Register 51" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A) group.long 0xCD0++0x03 line.long 0x00 "GICD_ICFGR52,Interrupt Configuration Register 52" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCD4++0x03 line.long 0x00 "GICD_ICFGR53,Interrupt Configuration Register 53" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCD0++0x03 hide.long 0x00 "GICD_ICFGR52,Interrupt Configuration Register 52" hgroup.long 0xCD4++0x03 hide.long 0x00 "GICD_ICFGR53,Interrupt Configuration Register 53" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B) group.long 0xCD8++0x03 line.long 0x00 "GICD_ICFGR54,Interrupt Configuration Register 54" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCDC++0x03 line.long 0x00 "GICD_ICFGR55,Interrupt Configuration Register 55" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCD8++0x03 hide.long 0x00 "GICD_ICFGR54,Interrupt Configuration Register 54" hgroup.long 0xCDC++0x03 hide.long 0x00 "GICD_ICFGR55,Interrupt Configuration Register 55" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C) group.long 0xCE0++0x03 line.long 0x00 "GICD_ICFGR56,Interrupt Configuration Register 56" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCE4++0x03 line.long 0x00 "GICD_ICFGR57,Interrupt Configuration Register 57" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCE0++0x03 hide.long 0x00 "GICD_ICFGR56,Interrupt Configuration Register 56" hgroup.long 0xCE4++0x03 hide.long 0x00 "GICD_ICFGR57,Interrupt Configuration Register 57" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D) group.long 0xCE8++0x03 line.long 0x00 "GICD_ICFGR58,Interrupt Configuration Register 58" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCEC++0x03 line.long 0x00 "GICD_ICFGR59,Interrupt Configuration Register 59" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCE8++0x03 hide.long 0x00 "GICD_ICFGR58,Interrupt Configuration Register 58" hgroup.long 0xCEC++0x03 hide.long 0x00 "GICD_ICFGR59,Interrupt Configuration Register 59" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E) group.long 0xCF0++0x03 line.long 0x00 "GICD_ICFGR60,Interrupt Configuration Register 60" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" group.long 0xCF4++0x03 line.long 0x00 "GICD_ICFGR61,Interrupt Configuration Register 61" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (SPI)" "Level,Edge" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0 (SPI)" "Level,Edge" else hgroup.long 0xCF0++0x03 hide.long 0x00 "GICD_ICFGR60,Interrupt Configuration Register 60" hgroup.long 0xCF4++0x03 hide.long 0x00 "GICD_ICFGR61,Interrupt Configuration Register 61" endif tree.end width 17. tree "Interrupt Group Modifier Registers" hgroup.long 0x0D00++0x03 hide.long 0x0 "GICD_IGRPMODR0,Interrupt Group Modifier Register 0" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D00))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x01)) group.long 0x0D04++0x03 line.long 0x0 "GICD_IGRPMODR1,Interrupt Group Modifier Register 1" bitfld.long 0x00 31. " GMB63 ,Group Modifier Bit 63" "0,1" bitfld.long 0x00 30. " GMB62 ,Group Modifier Bit 62" "0,1" bitfld.long 0x00 29. " GMB61 ,Group Modifier Bit 61" "0,1" textline " " bitfld.long 0x00 28. " GMB60 ,Group Modifier Bit 60" "0,1" bitfld.long 0x00 27. " GMB59 ,Group Modifier Bit 59" "0,1" bitfld.long 0x00 26. " GMB58 ,Group Modifier Bit 58" "0,1" textline " " bitfld.long 0x00 25. " GMB57 ,Group Modifier Bit 57" "0,1" bitfld.long 0x00 24. " GMB56 ,Group Modifier Bit 56" "0,1" bitfld.long 0x00 23. " GMB55 ,Group Modifier Bit 55" "0,1" textline " " bitfld.long 0x00 22. " GMB54 ,Group Modifier Bit 54" "0,1" bitfld.long 0x00 21. " GMB53 ,Group Modifier Bit 53" "0,1" bitfld.long 0x00 20. " GMB52 ,Group Modifier Bit 52" "0,1" textline " " bitfld.long 0x00 19. " GMB51 ,Group Modifier Bit 51" "0,1" bitfld.long 0x00 18. " GMB50 ,Group Modifier Bit 50" "0,1" bitfld.long 0x00 17. " GMB49 ,Group Modifier Bit 49" "0,1" textline " " bitfld.long 0x00 16. " GMB48 ,Group Modifier Bit 48" "0,1" bitfld.long 0x00 15. " GMB47 ,Group Modifier Bit 47" "0,1" bitfld.long 0x00 14. " GMB46 ,Group Modifier Bit 46" "0,1" textline " " bitfld.long 0x00 13. " GMB45 ,Group Modifier Bit 45" "0,1" bitfld.long 0x00 12. " GMB44 ,Group Modifier Bit 44" "0,1" bitfld.long 0x00 11. " GMB43 ,Group Modifier Bit 43" "0,1" textline " " bitfld.long 0x00 10. " GMB42 ,Group Modifier Bit 42" "0,1" bitfld.long 0x00 9. " GMB41 ,Group Modifier Bit 41" "0,1" bitfld.long 0x00 8. " GMB40 ,Group Modifier Bit 40" "0,1" textline " " bitfld.long 0x00 7. " GMB39 ,Group Modifier Bit 39" "0,1" bitfld.long 0x00 6. " GMB38 ,Group Modifier Bit 38" "0,1" bitfld.long 0x00 5. " GMB37 ,Group Modifier Bit 37" "0,1" textline " " bitfld.long 0x00 4. " GMB36 ,Group Modifier Bit 36" "0,1" bitfld.long 0x00 3. " GMB35 ,Group Modifier Bit 35" "0,1" bitfld.long 0x00 2. " GMB34 ,Group Modifier Bit 34" "0,1" textline " " bitfld.long 0x00 1. " GMB33 ,Group Modifier Bit 33" "0,1" bitfld.long 0x00 0. " GMB32 ,Group Modifier Bit 32" "0,1" else hgroup.long 0x0D04++0x03 hide.long 0x0 "GICD_IGRPMODR1,Interrupt Group Modifier Register 1" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D08))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x02)) group.long 0x0D08++0x03 line.long 0x0 "GICD_IGRPMODR2,Interrupt Group Modifier Register 2" bitfld.long 0x00 31. " GMB95 ,Group Modifier Bit 95" "0,1" bitfld.long 0x00 30. " GMB94 ,Group Modifier Bit 94" "0,1" bitfld.long 0x00 29. " GMB93 ,Group Modifier Bit 93" "0,1" textline " " bitfld.long 0x00 28. " GMB92 ,Group Modifier Bit 92" "0,1" bitfld.long 0x00 27. " GMB91 ,Group Modifier Bit 91" "0,1" bitfld.long 0x00 26. " GMB90 ,Group Modifier Bit 90" "0,1" textline " " bitfld.long 0x00 25. " GMB89 ,Group Modifier Bit 89" "0,1" bitfld.long 0x00 24. " GMB88 ,Group Modifier Bit 88" "0,1" bitfld.long 0x00 23. " GMB87 ,Group Modifier Bit 87" "0,1" textline " " bitfld.long 0x00 22. " GMB86 ,Group Modifier Bit 86" "0,1" bitfld.long 0x00 21. " GMB85 ,Group Modifier Bit 85" "0,1" bitfld.long 0x00 20. " GMB84 ,Group Modifier Bit 84" "0,1" textline " " bitfld.long 0x00 19. " GMB83 ,Group Modifier Bit 83" "0,1" bitfld.long 0x00 18. " GMB82 ,Group Modifier Bit 82" "0,1" bitfld.long 0x00 17. " GMB81 ,Group Modifier Bit 81" "0,1" textline " " bitfld.long 0x00 16. " GMB80 ,Group Modifier Bit 80" "0,1" bitfld.long 0x00 15. " GMB79 ,Group Modifier Bit 79" "0,1" bitfld.long 0x00 14. " GMB78 ,Group Modifier Bit 78" "0,1" textline " " bitfld.long 0x00 13. " GMB77 ,Group Modifier Bit 77" "0,1" bitfld.long 0x00 12. " GMB76 ,Group Modifier Bit 76" "0,1" bitfld.long 0x00 11. " GMB75 ,Group Modifier Bit 75" "0,1" textline " " bitfld.long 0x00 10. " GMB74 ,Group Modifier Bit 74" "0,1" bitfld.long 0x00 9. " GMB73 ,Group Modifier Bit 73" "0,1" bitfld.long 0x00 8. " GMB72 ,Group Modifier Bit 72" "0,1" textline " " bitfld.long 0x00 7. " GMB71 ,Group Modifier Bit 71" "0,1" bitfld.long 0x00 6. " GMB70 ,Group Modifier Bit 70" "0,1" bitfld.long 0x00 5. " GMB69 ,Group Modifier Bit 69" "0,1" textline " " bitfld.long 0x00 4. " GMB68 ,Group Modifier Bit 68" "0,1" bitfld.long 0x00 3. " GMB67 ,Group Modifier Bit 67" "0,1" bitfld.long 0x00 2. " GMB66 ,Group Modifier Bit 66" "0,1" textline " " bitfld.long 0x00 1. " GMB65 ,Group Modifier Bit 65" "0,1" bitfld.long 0x00 0. " GMB64 ,Group Modifier Bit 64" "0,1" else hgroup.long 0x0D08++0x03 hide.long 0x0 "GICD_IGRPMODR2,Interrupt Group Modifier Register 2" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D0C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x03)) group.long 0x0D0C++0x03 line.long 0x0 "GICD_IGRPMODR3,Interrupt Group Modifier Register 3" bitfld.long 0x00 31. " GMB127 ,Group Modifier Bit 127" "0,1" bitfld.long 0x00 30. " GMB126 ,Group Modifier Bit 126" "0,1" bitfld.long 0x00 29. " GMB125 ,Group Modifier Bit 125" "0,1" textline " " bitfld.long 0x00 28. " GMB124 ,Group Modifier Bit 124" "0,1" bitfld.long 0x00 27. " GMB123 ,Group Modifier Bit 123" "0,1" bitfld.long 0x00 26. " GMB122 ,Group Modifier Bit 122" "0,1" textline " " bitfld.long 0x00 25. " GMB121 ,Group Modifier Bit 121" "0,1" bitfld.long 0x00 24. " GMB120 ,Group Modifier Bit 120" "0,1" bitfld.long 0x00 23. " GMB119 ,Group Modifier Bit 119" "0,1" textline " " bitfld.long 0x00 22. " GMB118 ,Group Modifier Bit 118" "0,1" bitfld.long 0x00 21. " GMB117 ,Group Modifier Bit 117" "0,1" bitfld.long 0x00 20. " GMB116 ,Group Modifier Bit 116" "0,1" textline " " bitfld.long 0x00 19. " GMB115 ,Group Modifier Bit 115" "0,1" bitfld.long 0x00 18. " GMB114 ,Group Modifier Bit 114" "0,1" bitfld.long 0x00 17. " GMB113 ,Group Modifier Bit 113" "0,1" textline " " bitfld.long 0x00 16. " GMB112 ,Group Modifier Bit 112" "0,1" bitfld.long 0x00 15. " GMB111 ,Group Modifier Bit 111" "0,1" bitfld.long 0x00 14. " GMB110 ,Group Modifier Bit 110" "0,1" textline " " bitfld.long 0x00 13. " GMB109 ,Group Modifier Bit 109" "0,1" bitfld.long 0x00 12. " GMB108 ,Group Modifier Bit 108" "0,1" bitfld.long 0x00 11. " GMB107 ,Group Modifier Bit 107" "0,1" textline " " bitfld.long 0x00 10. " GMB106 ,Group Modifier Bit 106" "0,1" bitfld.long 0x00 9. " GMB105 ,Group Modifier Bit 105" "0,1" bitfld.long 0x00 8. " GMB104 ,Group Modifier Bit 104" "0,1" textline " " bitfld.long 0x00 7. " GMB103 ,Group Modifier Bit 103" "0,1" bitfld.long 0x00 6. " GMB102 ,Group Modifier Bit 102" "0,1" bitfld.long 0x00 5. " GMB101 ,Group Modifier Bit 101" "0,1" textline " " bitfld.long 0x00 4. " GMB100 ,Group Modifier Bit 100" "0,1" bitfld.long 0x00 3. " GMB99 ,Group Modifier Bit 99" "0,1" bitfld.long 0x00 2. " GMB98 ,Group Modifier Bit 98" "0,1" textline " " bitfld.long 0x00 1. " GMB97 ,Group Modifier Bit 97" "0,1" bitfld.long 0x00 0. " GMB96 ,Group Modifier Bit 96" "0,1" else hgroup.long 0x0D0C++0x03 hide.long 0x0 "GICD_IGRPMODR3,Interrupt Group Modifier Register 3" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D10))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x04)) group.long 0x0D10++0x03 line.long 0x0 "GICD_IGRPMODR4,Interrupt Group Modifier Register 4" bitfld.long 0x00 31. " GMB159 ,Group Modifier Bit 159" "0,1" bitfld.long 0x00 30. " GMB158 ,Group Modifier Bit 158" "0,1" bitfld.long 0x00 29. " GMB157 ,Group Modifier Bit 157" "0,1" textline " " bitfld.long 0x00 28. " GMB156 ,Group Modifier Bit 156" "0,1" bitfld.long 0x00 27. " GMB155 ,Group Modifier Bit 155" "0,1" bitfld.long 0x00 26. " GMB154 ,Group Modifier Bit 154" "0,1" textline " " bitfld.long 0x00 25. " GMB153 ,Group Modifier Bit 153" "0,1" bitfld.long 0x00 24. " GMB152 ,Group Modifier Bit 152" "0,1" bitfld.long 0x00 23. " GMB151 ,Group Modifier Bit 151" "0,1" textline " " bitfld.long 0x00 22. " GMB150 ,Group Modifier Bit 150" "0,1" bitfld.long 0x00 21. " GMB149 ,Group Modifier Bit 149" "0,1" bitfld.long 0x00 20. " GMB148 ,Group Modifier Bit 148" "0,1" textline " " bitfld.long 0x00 19. " GMB147 ,Group Modifier Bit 147" "0,1" bitfld.long 0x00 18. " GMB146 ,Group Modifier Bit 146" "0,1" bitfld.long 0x00 17. " GMB145 ,Group Modifier Bit 145" "0,1" textline " " bitfld.long 0x00 16. " GMB144 ,Group Modifier Bit 144" "0,1" bitfld.long 0x00 15. " GMB143 ,Group Modifier Bit 143" "0,1" bitfld.long 0x00 14. " GMB142 ,Group Modifier Bit 142" "0,1" textline " " bitfld.long 0x00 13. " GMB141 ,Group Modifier Bit 141" "0,1" bitfld.long 0x00 12. " GMB140 ,Group Modifier Bit 140" "0,1" bitfld.long 0x00 11. " GMB139 ,Group Modifier Bit 139" "0,1" textline " " bitfld.long 0x00 10. " GMB138 ,Group Modifier Bit 138" "0,1" bitfld.long 0x00 9. " GMB137 ,Group Modifier Bit 137" "0,1" bitfld.long 0x00 8. " GMB136 ,Group Modifier Bit 136" "0,1" textline " " bitfld.long 0x00 7. " GMB135 ,Group Modifier Bit 135" "0,1" bitfld.long 0x00 6. " GMB134 ,Group Modifier Bit 134" "0,1" bitfld.long 0x00 5. " GMB133 ,Group Modifier Bit 133" "0,1" textline " " bitfld.long 0x00 4. " GMB132 ,Group Modifier Bit 132" "0,1" bitfld.long 0x00 3. " GMB131 ,Group Modifier Bit 131" "0,1" bitfld.long 0x00 2. " GMB130 ,Group Modifier Bit 130" "0,1" textline " " bitfld.long 0x00 1. " GMB129 ,Group Modifier Bit 129" "0,1" bitfld.long 0x00 0. " GMB128 ,Group Modifier Bit 128" "0,1" else hgroup.long 0x0D10++0x03 hide.long 0x0 "GICD_IGRPMODR4,Interrupt Group Modifier Register 4" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D14))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x05)) group.long 0x0D14++0x03 line.long 0x0 "GICD_IGRPMODR5,Interrupt Group Modifier Register 5" bitfld.long 0x00 31. " GMB191 ,Group Modifier Bit 191" "0,1" bitfld.long 0x00 30. " GMB190 ,Group Modifier Bit 190" "0,1" bitfld.long 0x00 29. " GMB189 ,Group Modifier Bit 189" "0,1" textline " " bitfld.long 0x00 28. " GMB188 ,Group Modifier Bit 188" "0,1" bitfld.long 0x00 27. " GMB187 ,Group Modifier Bit 187" "0,1" bitfld.long 0x00 26. " GMB186 ,Group Modifier Bit 186" "0,1" textline " " bitfld.long 0x00 25. " GMB185 ,Group Modifier Bit 185" "0,1" bitfld.long 0x00 24. " GMB184 ,Group Modifier Bit 184" "0,1" bitfld.long 0x00 23. " GMB183 ,Group Modifier Bit 183" "0,1" textline " " bitfld.long 0x00 22. " GMB182 ,Group Modifier Bit 182" "0,1" bitfld.long 0x00 21. " GMB181 ,Group Modifier Bit 181" "0,1" bitfld.long 0x00 20. " GMB180 ,Group Modifier Bit 180" "0,1" textline " " bitfld.long 0x00 19. " GMB179 ,Group Modifier Bit 179" "0,1" bitfld.long 0x00 18. " GMB178 ,Group Modifier Bit 178" "0,1" bitfld.long 0x00 17. " GMB177 ,Group Modifier Bit 177" "0,1" textline " " bitfld.long 0x00 16. " GMB176 ,Group Modifier Bit 176" "0,1" bitfld.long 0x00 15. " GMB175 ,Group Modifier Bit 175" "0,1" bitfld.long 0x00 14. " GMB174 ,Group Modifier Bit 174" "0,1" textline " " bitfld.long 0x00 13. " GMB173 ,Group Modifier Bit 173" "0,1" bitfld.long 0x00 12. " GMB172 ,Group Modifier Bit 172" "0,1" bitfld.long 0x00 11. " GMB171 ,Group Modifier Bit 171" "0,1" textline " " bitfld.long 0x00 10. " GMB170 ,Group Modifier Bit 170" "0,1" bitfld.long 0x00 9. " GMB169 ,Group Modifier Bit 169" "0,1" bitfld.long 0x00 8. " GMB168 ,Group Modifier Bit 168" "0,1" textline " " bitfld.long 0x00 7. " GMB167 ,Group Modifier Bit 167" "0,1" bitfld.long 0x00 6. " GMB166 ,Group Modifier Bit 166" "0,1" bitfld.long 0x00 5. " GMB165 ,Group Modifier Bit 165" "0,1" textline " " bitfld.long 0x00 4. " GMB164 ,Group Modifier Bit 164" "0,1" bitfld.long 0x00 3. " GMB163 ,Group Modifier Bit 163" "0,1" bitfld.long 0x00 2. " GMB162 ,Group Modifier Bit 162" "0,1" textline " " bitfld.long 0x00 1. " GMB161 ,Group Modifier Bit 161" "0,1" bitfld.long 0x00 0. " GMB160 ,Group Modifier Bit 160" "0,1" else hgroup.long 0x0D14++0x03 hide.long 0x0 "GICD_IGRPMODR5,Interrupt Group Modifier Register 5" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D18))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x06)) group.long 0x0D18++0x03 line.long 0x0 "GICD_IGRPMODR6,Interrupt Group Modifier Register 6" bitfld.long 0x00 31. " GMB223 ,Group Modifier Bit 223" "0,1" bitfld.long 0x00 30. " GMB222 ,Group Modifier Bit 222" "0,1" bitfld.long 0x00 29. " GMB221 ,Group Modifier Bit 221" "0,1" textline " " bitfld.long 0x00 28. " GMB220 ,Group Modifier Bit 220" "0,1" bitfld.long 0x00 27. " GMB219 ,Group Modifier Bit 219" "0,1" bitfld.long 0x00 26. " GMB218 ,Group Modifier Bit 218" "0,1" textline " " bitfld.long 0x00 25. " GMB217 ,Group Modifier Bit 217" "0,1" bitfld.long 0x00 24. " GMB216 ,Group Modifier Bit 216" "0,1" bitfld.long 0x00 23. " GMB215 ,Group Modifier Bit 215" "0,1" textline " " bitfld.long 0x00 22. " GMB214 ,Group Modifier Bit 214" "0,1" bitfld.long 0x00 21. " GMB213 ,Group Modifier Bit 213" "0,1" bitfld.long 0x00 20. " GMB212 ,Group Modifier Bit 212" "0,1" textline " " bitfld.long 0x00 19. " GMB211 ,Group Modifier Bit 211" "0,1" bitfld.long 0x00 18. " GMB210 ,Group Modifier Bit 210" "0,1" bitfld.long 0x00 17. " GMB209 ,Group Modifier Bit 209" "0,1" textline " " bitfld.long 0x00 16. " GMB208 ,Group Modifier Bit 208" "0,1" bitfld.long 0x00 15. " GMB207 ,Group Modifier Bit 207" "0,1" bitfld.long 0x00 14. " GMB206 ,Group Modifier Bit 206" "0,1" textline " " bitfld.long 0x00 13. " GMB205 ,Group Modifier Bit 205" "0,1" bitfld.long 0x00 12. " GMB204 ,Group Modifier Bit 204" "0,1" bitfld.long 0x00 11. " GMB203 ,Group Modifier Bit 203" "0,1" textline " " bitfld.long 0x00 10. " GMB202 ,Group Modifier Bit 202" "0,1" bitfld.long 0x00 9. " GMB201 ,Group Modifier Bit 201" "0,1" bitfld.long 0x00 8. " GMB200 ,Group Modifier Bit 200" "0,1" textline " " bitfld.long 0x00 7. " GMB199 ,Group Modifier Bit 199" "0,1" bitfld.long 0x00 6. " GMB198 ,Group Modifier Bit 198" "0,1" bitfld.long 0x00 5. " GMB197 ,Group Modifier Bit 197" "0,1" textline " " bitfld.long 0x00 4. " GMB196 ,Group Modifier Bit 196" "0,1" bitfld.long 0x00 3. " GMB195 ,Group Modifier Bit 195" "0,1" bitfld.long 0x00 2. " GMB194 ,Group Modifier Bit 194" "0,1" textline " " bitfld.long 0x00 1. " GMB193 ,Group Modifier Bit 193" "0,1" bitfld.long 0x00 0. " GMB192 ,Group Modifier Bit 192" "0,1" else hgroup.long 0x0D18++0x03 hide.long 0x0 "GICD_IGRPMODR6,Interrupt Group Modifier Register 6" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D1C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x07)) group.long 0x0D1C++0x03 line.long 0x0 "GICD_IGRPMODR7,Interrupt Group Modifier Register 7" bitfld.long 0x00 31. " GMB255 ,Group Modifier Bit 255" "0,1" bitfld.long 0x00 30. " GMB254 ,Group Modifier Bit 254" "0,1" bitfld.long 0x00 29. " GMB253 ,Group Modifier Bit 253" "0,1" textline " " bitfld.long 0x00 28. " GMB252 ,Group Modifier Bit 252" "0,1" bitfld.long 0x00 27. " GMB251 ,Group Modifier Bit 251" "0,1" bitfld.long 0x00 26. " GMB250 ,Group Modifier Bit 250" "0,1" textline " " bitfld.long 0x00 25. " GMB249 ,Group Modifier Bit 249" "0,1" bitfld.long 0x00 24. " GMB248 ,Group Modifier Bit 248" "0,1" bitfld.long 0x00 23. " GMB247 ,Group Modifier Bit 247" "0,1" textline " " bitfld.long 0x00 22. " GMB246 ,Group Modifier Bit 246" "0,1" bitfld.long 0x00 21. " GMB245 ,Group Modifier Bit 245" "0,1" bitfld.long 0x00 20. " GMB244 ,Group Modifier Bit 244" "0,1" textline " " bitfld.long 0x00 19. " GMB243 ,Group Modifier Bit 243" "0,1" bitfld.long 0x00 18. " GMB242 ,Group Modifier Bit 242" "0,1" bitfld.long 0x00 17. " GMB241 ,Group Modifier Bit 241" "0,1" textline " " bitfld.long 0x00 16. " GMB240 ,Group Modifier Bit 240" "0,1" bitfld.long 0x00 15. " GMB239 ,Group Modifier Bit 239" "0,1" bitfld.long 0x00 14. " GMB238 ,Group Modifier Bit 238" "0,1" textline " " bitfld.long 0x00 13. " GMB237 ,Group Modifier Bit 237" "0,1" bitfld.long 0x00 12. " GMB236 ,Group Modifier Bit 236" "0,1" bitfld.long 0x00 11. " GMB235 ,Group Modifier Bit 235" "0,1" textline " " bitfld.long 0x00 10. " GMB234 ,Group Modifier Bit 234" "0,1" bitfld.long 0x00 9. " GMB233 ,Group Modifier Bit 233" "0,1" bitfld.long 0x00 8. " GMB232 ,Group Modifier Bit 232" "0,1" textline " " bitfld.long 0x00 7. " GMB231 ,Group Modifier Bit 231" "0,1" bitfld.long 0x00 6. " GMB230 ,Group Modifier Bit 230" "0,1" bitfld.long 0x00 5. " GMB229 ,Group Modifier Bit 229" "0,1" textline " " bitfld.long 0x00 4. " GMB228 ,Group Modifier Bit 228" "0,1" bitfld.long 0x00 3. " GMB227 ,Group Modifier Bit 227" "0,1" bitfld.long 0x00 2. " GMB226 ,Group Modifier Bit 226" "0,1" textline " " bitfld.long 0x00 1. " GMB225 ,Group Modifier Bit 225" "0,1" bitfld.long 0x00 0. " GMB224 ,Group Modifier Bit 224" "0,1" else hgroup.long 0x0D1C++0x03 hide.long 0x0 "GICD_IGRPMODR7,Interrupt Group Modifier Register 7" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D20))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x08)) group.long 0x0D20++0x03 line.long 0x0 "GICD_IGRPMODR8,Interrupt Group Modifier Register 8" bitfld.long 0x00 31. " GMB287 ,Group Modifier Bit 287" "0,1" bitfld.long 0x00 30. " GMB286 ,Group Modifier Bit 286" "0,1" bitfld.long 0x00 29. " GMB285 ,Group Modifier Bit 285" "0,1" textline " " bitfld.long 0x00 28. " GMB284 ,Group Modifier Bit 284" "0,1" bitfld.long 0x00 27. " GMB283 ,Group Modifier Bit 283" "0,1" bitfld.long 0x00 26. " GMB282 ,Group Modifier Bit 282" "0,1" textline " " bitfld.long 0x00 25. " GMB281 ,Group Modifier Bit 281" "0,1" bitfld.long 0x00 24. " GMB280 ,Group Modifier Bit 280" "0,1" bitfld.long 0x00 23. " GMB279 ,Group Modifier Bit 279" "0,1" textline " " bitfld.long 0x00 22. " GMB278 ,Group Modifier Bit 278" "0,1" bitfld.long 0x00 21. " GMB277 ,Group Modifier Bit 277" "0,1" bitfld.long 0x00 20. " GMB276 ,Group Modifier Bit 276" "0,1" textline " " bitfld.long 0x00 19. " GMB275 ,Group Modifier Bit 275" "0,1" bitfld.long 0x00 18. " GMB274 ,Group Modifier Bit 274" "0,1" bitfld.long 0x00 17. " GMB273 ,Group Modifier Bit 273" "0,1" textline " " bitfld.long 0x00 16. " GMB272 ,Group Modifier Bit 272" "0,1" bitfld.long 0x00 15. " GMB271 ,Group Modifier Bit 271" "0,1" bitfld.long 0x00 14. " GMB270 ,Group Modifier Bit 270" "0,1" textline " " bitfld.long 0x00 13. " GMB269 ,Group Modifier Bit 269" "0,1" bitfld.long 0x00 12. " GMB268 ,Group Modifier Bit 268" "0,1" bitfld.long 0x00 11. " GMB267 ,Group Modifier Bit 267" "0,1" textline " " bitfld.long 0x00 10. " GMB266 ,Group Modifier Bit 266" "0,1" bitfld.long 0x00 9. " GMB265 ,Group Modifier Bit 265" "0,1" bitfld.long 0x00 8. " GMB264 ,Group Modifier Bit 264" "0,1" textline " " bitfld.long 0x00 7. " GMB263 ,Group Modifier Bit 263" "0,1" bitfld.long 0x00 6. " GMB262 ,Group Modifier Bit 262" "0,1" bitfld.long 0x00 5. " GMB261 ,Group Modifier Bit 261" "0,1" textline " " bitfld.long 0x00 4. " GMB260 ,Group Modifier Bit 260" "0,1" bitfld.long 0x00 3. " GMB259 ,Group Modifier Bit 259" "0,1" bitfld.long 0x00 2. " GMB258 ,Group Modifier Bit 258" "0,1" textline " " bitfld.long 0x00 1. " GMB257 ,Group Modifier Bit 257" "0,1" bitfld.long 0x00 0. " GMB256 ,Group Modifier Bit 256" "0,1" else hgroup.long 0x0D20++0x03 hide.long 0x0 "GICD_IGRPMODR8,Interrupt Group Modifier Register 8" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D24))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x09)) group.long 0x0D24++0x03 line.long 0x0 "GICD_IGRPMODR9,Interrupt Group Modifier Register 9" bitfld.long 0x00 31. " GMB319 ,Group Modifier Bit 319" "0,1" bitfld.long 0x00 30. " GMB318 ,Group Modifier Bit 318" "0,1" bitfld.long 0x00 29. " GMB317 ,Group Modifier Bit 317" "0,1" textline " " bitfld.long 0x00 28. " GMB316 ,Group Modifier Bit 316" "0,1" bitfld.long 0x00 27. " GMB315 ,Group Modifier Bit 315" "0,1" bitfld.long 0x00 26. " GMB314 ,Group Modifier Bit 314" "0,1" textline " " bitfld.long 0x00 25. " GMB313 ,Group Modifier Bit 313" "0,1" bitfld.long 0x00 24. " GMB312 ,Group Modifier Bit 312" "0,1" bitfld.long 0x00 23. " GMB311 ,Group Modifier Bit 311" "0,1" textline " " bitfld.long 0x00 22. " GMB310 ,Group Modifier Bit 310" "0,1" bitfld.long 0x00 21. " GMB309 ,Group Modifier Bit 309" "0,1" bitfld.long 0x00 20. " GMB308 ,Group Modifier Bit 308" "0,1" textline " " bitfld.long 0x00 19. " GMB307 ,Group Modifier Bit 307" "0,1" bitfld.long 0x00 18. " GMB306 ,Group Modifier Bit 306" "0,1" bitfld.long 0x00 17. " GMB305 ,Group Modifier Bit 305" "0,1" textline " " bitfld.long 0x00 16. " GMB304 ,Group Modifier Bit 304" "0,1" bitfld.long 0x00 15. " GMB303 ,Group Modifier Bit 303" "0,1" bitfld.long 0x00 14. " GMB302 ,Group Modifier Bit 302" "0,1" textline " " bitfld.long 0x00 13. " GMB301 ,Group Modifier Bit 301" "0,1" bitfld.long 0x00 12. " GMB300 ,Group Modifier Bit 300" "0,1" bitfld.long 0x00 11. " GMB299 ,Group Modifier Bit 299" "0,1" textline " " bitfld.long 0x00 10. " GMB298 ,Group Modifier Bit 298" "0,1" bitfld.long 0x00 9. " GMB297 ,Group Modifier Bit 297" "0,1" bitfld.long 0x00 8. " GMB296 ,Group Modifier Bit 296" "0,1" textline " " bitfld.long 0x00 7. " GMB295 ,Group Modifier Bit 295" "0,1" bitfld.long 0x00 6. " GMB294 ,Group Modifier Bit 294" "0,1" bitfld.long 0x00 5. " GMB293 ,Group Modifier Bit 293" "0,1" textline " " bitfld.long 0x00 4. " GMB292 ,Group Modifier Bit 292" "0,1" bitfld.long 0x00 3. " GMB291 ,Group Modifier Bit 291" "0,1" bitfld.long 0x00 2. " GMB290 ,Group Modifier Bit 290" "0,1" textline " " bitfld.long 0x00 1. " GMB289 ,Group Modifier Bit 289" "0,1" bitfld.long 0x00 0. " GMB288 ,Group Modifier Bit 288" "0,1" else hgroup.long 0x0D24++0x03 hide.long 0x0 "GICD_IGRPMODR9,Interrupt Group Modifier Register 9" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D28))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0A)) group.long 0x0D28++0x03 line.long 0x0 "GICD_IGRPMODR10,Interrupt Group Modifier Register 10" bitfld.long 0x00 31. " GMB351 ,Group Modifier Bit 351" "0,1" bitfld.long 0x00 30. " GMB350 ,Group Modifier Bit 350" "0,1" bitfld.long 0x00 29. " GMB349 ,Group Modifier Bit 349" "0,1" textline " " bitfld.long 0x00 28. " GMB348 ,Group Modifier Bit 348" "0,1" bitfld.long 0x00 27. " GMB347 ,Group Modifier Bit 347" "0,1" bitfld.long 0x00 26. " GMB346 ,Group Modifier Bit 346" "0,1" textline " " bitfld.long 0x00 25. " GMB345 ,Group Modifier Bit 345" "0,1" bitfld.long 0x00 24. " GMB344 ,Group Modifier Bit 344" "0,1" bitfld.long 0x00 23. " GMB343 ,Group Modifier Bit 343" "0,1" textline " " bitfld.long 0x00 22. " GMB342 ,Group Modifier Bit 342" "0,1" bitfld.long 0x00 21. " GMB341 ,Group Modifier Bit 341" "0,1" bitfld.long 0x00 20. " GMB340 ,Group Modifier Bit 340" "0,1" textline " " bitfld.long 0x00 19. " GMB339 ,Group Modifier Bit 339" "0,1" bitfld.long 0x00 18. " GMB338 ,Group Modifier Bit 338" "0,1" bitfld.long 0x00 17. " GMB337 ,Group Modifier Bit 337" "0,1" textline " " bitfld.long 0x00 16. " GMB336 ,Group Modifier Bit 336" "0,1" bitfld.long 0x00 15. " GMB335 ,Group Modifier Bit 335" "0,1" bitfld.long 0x00 14. " GMB334 ,Group Modifier Bit 334" "0,1" textline " " bitfld.long 0x00 13. " GMB333 ,Group Modifier Bit 333" "0,1" bitfld.long 0x00 12. " GMB332 ,Group Modifier Bit 332" "0,1" bitfld.long 0x00 11. " GMB331 ,Group Modifier Bit 331" "0,1" textline " " bitfld.long 0x00 10. " GMB330 ,Group Modifier Bit 330" "0,1" bitfld.long 0x00 9. " GMB329 ,Group Modifier Bit 329" "0,1" bitfld.long 0x00 8. " GMB328 ,Group Modifier Bit 328" "0,1" textline " " bitfld.long 0x00 7. " GMB327 ,Group Modifier Bit 327" "0,1" bitfld.long 0x00 6. " GMB326 ,Group Modifier Bit 326" "0,1" bitfld.long 0x00 5. " GMB325 ,Group Modifier Bit 325" "0,1" textline " " bitfld.long 0x00 4. " GMB324 ,Group Modifier Bit 324" "0,1" bitfld.long 0x00 3. " GMB323 ,Group Modifier Bit 323" "0,1" bitfld.long 0x00 2. " GMB322 ,Group Modifier Bit 322" "0,1" textline " " bitfld.long 0x00 1. " GMB321 ,Group Modifier Bit 321" "0,1" bitfld.long 0x00 0. " GMB320 ,Group Modifier Bit 320" "0,1" else hgroup.long 0x0D28++0x03 hide.long 0x0 "GICD_IGRPMODR10,Interrupt Group Modifier Register 10" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D2C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0B)) group.long 0x0D2C++0x03 line.long 0x0 "GICD_IGRPMODR11,Interrupt Group Modifier Register 11" bitfld.long 0x00 31. " GMB383 ,Group Modifier Bit 383" "0,1" bitfld.long 0x00 30. " GMB382 ,Group Modifier Bit 382" "0,1" bitfld.long 0x00 29. " GMB381 ,Group Modifier Bit 381" "0,1" textline " " bitfld.long 0x00 28. " GMB380 ,Group Modifier Bit 380" "0,1" bitfld.long 0x00 27. " GMB379 ,Group Modifier Bit 379" "0,1" bitfld.long 0x00 26. " GMB378 ,Group Modifier Bit 378" "0,1" textline " " bitfld.long 0x00 25. " GMB377 ,Group Modifier Bit 377" "0,1" bitfld.long 0x00 24. " GMB376 ,Group Modifier Bit 376" "0,1" bitfld.long 0x00 23. " GMB375 ,Group Modifier Bit 375" "0,1" textline " " bitfld.long 0x00 22. " GMB374 ,Group Modifier Bit 374" "0,1" bitfld.long 0x00 21. " GMB373 ,Group Modifier Bit 373" "0,1" bitfld.long 0x00 20. " GMB372 ,Group Modifier Bit 372" "0,1" textline " " bitfld.long 0x00 19. " GMB371 ,Group Modifier Bit 371" "0,1" bitfld.long 0x00 18. " GMB370 ,Group Modifier Bit 370" "0,1" bitfld.long 0x00 17. " GMB369 ,Group Modifier Bit 369" "0,1" textline " " bitfld.long 0x00 16. " GMB368 ,Group Modifier Bit 368" "0,1" bitfld.long 0x00 15. " GMB367 ,Group Modifier Bit 367" "0,1" bitfld.long 0x00 14. " GMB366 ,Group Modifier Bit 366" "0,1" textline " " bitfld.long 0x00 13. " GMB365 ,Group Modifier Bit 365" "0,1" bitfld.long 0x00 12. " GMB364 ,Group Modifier Bit 364" "0,1" bitfld.long 0x00 11. " GMB363 ,Group Modifier Bit 363" "0,1" textline " " bitfld.long 0x00 10. " GMB362 ,Group Modifier Bit 362" "0,1" bitfld.long 0x00 9. " GMB361 ,Group Modifier Bit 361" "0,1" bitfld.long 0x00 8. " GMB360 ,Group Modifier Bit 360" "0,1" textline " " bitfld.long 0x00 7. " GMB359 ,Group Modifier Bit 359" "0,1" bitfld.long 0x00 6. " GMB358 ,Group Modifier Bit 358" "0,1" bitfld.long 0x00 5. " GMB357 ,Group Modifier Bit 357" "0,1" textline " " bitfld.long 0x00 4. " GMB356 ,Group Modifier Bit 356" "0,1" bitfld.long 0x00 3. " GMB355 ,Group Modifier Bit 355" "0,1" bitfld.long 0x00 2. " GMB354 ,Group Modifier Bit 354" "0,1" textline " " bitfld.long 0x00 1. " GMB353 ,Group Modifier Bit 353" "0,1" bitfld.long 0x00 0. " GMB352 ,Group Modifier Bit 352" "0,1" else hgroup.long 0x0D2C++0x03 hide.long 0x0 "GICD_IGRPMODR11,Interrupt Group Modifier Register 11" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D30))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0C)) group.long 0x0D30++0x03 line.long 0x0 "GICD_IGRPMODR12,Interrupt Group Modifier Register 12" bitfld.long 0x00 31. " GMB415 ,Group Modifier Bit 415" "0,1" bitfld.long 0x00 30. " GMB414 ,Group Modifier Bit 414" "0,1" bitfld.long 0x00 29. " GMB413 ,Group Modifier Bit 413" "0,1" textline " " bitfld.long 0x00 28. " GMB412 ,Group Modifier Bit 412" "0,1" bitfld.long 0x00 27. " GMB411 ,Group Modifier Bit 411" "0,1" bitfld.long 0x00 26. " GMB410 ,Group Modifier Bit 410" "0,1" textline " " bitfld.long 0x00 25. " GMB409 ,Group Modifier Bit 409" "0,1" bitfld.long 0x00 24. " GMB408 ,Group Modifier Bit 408" "0,1" bitfld.long 0x00 23. " GMB407 ,Group Modifier Bit 407" "0,1" textline " " bitfld.long 0x00 22. " GMB406 ,Group Modifier Bit 406" "0,1" bitfld.long 0x00 21. " GMB405 ,Group Modifier Bit 405" "0,1" bitfld.long 0x00 20. " GMB404 ,Group Modifier Bit 404" "0,1" textline " " bitfld.long 0x00 19. " GMB403 ,Group Modifier Bit 403" "0,1" bitfld.long 0x00 18. " GMB402 ,Group Modifier Bit 402" "0,1" bitfld.long 0x00 17. " GMB401 ,Group Modifier Bit 401" "0,1" textline " " bitfld.long 0x00 16. " GMB400 ,Group Modifier Bit 400" "0,1" bitfld.long 0x00 15. " GMB399 ,Group Modifier Bit 399" "0,1" bitfld.long 0x00 14. " GMB398 ,Group Modifier Bit 398" "0,1" textline " " bitfld.long 0x00 13. " GMB397 ,Group Modifier Bit 397" "0,1" bitfld.long 0x00 12. " GMB396 ,Group Modifier Bit 396" "0,1" bitfld.long 0x00 11. " GMB395 ,Group Modifier Bit 395" "0,1" textline " " bitfld.long 0x00 10. " GMB394 ,Group Modifier Bit 394" "0,1" bitfld.long 0x00 9. " GMB393 ,Group Modifier Bit 393" "0,1" bitfld.long 0x00 8. " GMB392 ,Group Modifier Bit 392" "0,1" textline " " bitfld.long 0x00 7. " GMB391 ,Group Modifier Bit 391" "0,1" bitfld.long 0x00 6. " GMB390 ,Group Modifier Bit 390" "0,1" bitfld.long 0x00 5. " GMB389 ,Group Modifier Bit 389" "0,1" textline " " bitfld.long 0x00 4. " GMB388 ,Group Modifier Bit 388" "0,1" bitfld.long 0x00 3. " GMB387 ,Group Modifier Bit 387" "0,1" bitfld.long 0x00 2. " GMB386 ,Group Modifier Bit 386" "0,1" textline " " bitfld.long 0x00 1. " GMB385 ,Group Modifier Bit 385" "0,1" bitfld.long 0x00 0. " GMB384 ,Group Modifier Bit 384" "0,1" else hgroup.long 0x0D30++0x03 hide.long 0x0 "GICD_IGRPMODR12,Interrupt Group Modifier Register 12" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D34))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0D)) group.long 0x0D34++0x03 line.long 0x0 "GICD_IGRPMODR13,Interrupt Group Modifier Register 13" bitfld.long 0x00 31. " GMB447 ,Group Modifier Bit 447" "0,1" bitfld.long 0x00 30. " GMB446 ,Group Modifier Bit 446" "0,1" bitfld.long 0x00 29. " GMB445 ,Group Modifier Bit 445" "0,1" textline " " bitfld.long 0x00 28. " GMB444 ,Group Modifier Bit 444" "0,1" bitfld.long 0x00 27. " GMB443 ,Group Modifier Bit 443" "0,1" bitfld.long 0x00 26. " GMB442 ,Group Modifier Bit 442" "0,1" textline " " bitfld.long 0x00 25. " GMB441 ,Group Modifier Bit 441" "0,1" bitfld.long 0x00 24. " GMB440 ,Group Modifier Bit 440" "0,1" bitfld.long 0x00 23. " GMB439 ,Group Modifier Bit 439" "0,1" textline " " bitfld.long 0x00 22. " GMB438 ,Group Modifier Bit 438" "0,1" bitfld.long 0x00 21. " GMB437 ,Group Modifier Bit 437" "0,1" bitfld.long 0x00 20. " GMB436 ,Group Modifier Bit 436" "0,1" textline " " bitfld.long 0x00 19. " GMB435 ,Group Modifier Bit 435" "0,1" bitfld.long 0x00 18. " GMB434 ,Group Modifier Bit 434" "0,1" bitfld.long 0x00 17. " GMB433 ,Group Modifier Bit 433" "0,1" textline " " bitfld.long 0x00 16. " GMB432 ,Group Modifier Bit 432" "0,1" bitfld.long 0x00 15. " GMB431 ,Group Modifier Bit 431" "0,1" bitfld.long 0x00 14. " GMB430 ,Group Modifier Bit 430" "0,1" textline " " bitfld.long 0x00 13. " GMB429 ,Group Modifier Bit 429" "0,1" bitfld.long 0x00 12. " GMB428 ,Group Modifier Bit 428" "0,1" bitfld.long 0x00 11. " GMB427 ,Group Modifier Bit 427" "0,1" textline " " bitfld.long 0x00 10. " GMB426 ,Group Modifier Bit 426" "0,1" bitfld.long 0x00 9. " GMB425 ,Group Modifier Bit 425" "0,1" bitfld.long 0x00 8. " GMB424 ,Group Modifier Bit 424" "0,1" textline " " bitfld.long 0x00 7. " GMB423 ,Group Modifier Bit 423" "0,1" bitfld.long 0x00 6. " GMB422 ,Group Modifier Bit 422" "0,1" bitfld.long 0x00 5. " GMB421 ,Group Modifier Bit 421" "0,1" textline " " bitfld.long 0x00 4. " GMB420 ,Group Modifier Bit 420" "0,1" bitfld.long 0x00 3. " GMB419 ,Group Modifier Bit 419" "0,1" bitfld.long 0x00 2. " GMB418 ,Group Modifier Bit 418" "0,1" textline " " bitfld.long 0x00 1. " GMB417 ,Group Modifier Bit 417" "0,1" bitfld.long 0x00 0. " GMB416 ,Group Modifier Bit 416" "0,1" else hgroup.long 0x0D34++0x03 hide.long 0x0 "GICD_IGRPMODR13,Interrupt Group Modifier Register 13" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D38))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0E)) group.long 0x0D38++0x03 line.long 0x0 "GICD_IGRPMODR14,Interrupt Group Modifier Register 14" bitfld.long 0x00 31. " GMB479 ,Group Modifier Bit 479" "0,1" bitfld.long 0x00 30. " GMB478 ,Group Modifier Bit 478" "0,1" bitfld.long 0x00 29. " GMB477 ,Group Modifier Bit 477" "0,1" textline " " bitfld.long 0x00 28. " GMB476 ,Group Modifier Bit 476" "0,1" bitfld.long 0x00 27. " GMB475 ,Group Modifier Bit 475" "0,1" bitfld.long 0x00 26. " GMB474 ,Group Modifier Bit 474" "0,1" textline " " bitfld.long 0x00 25. " GMB473 ,Group Modifier Bit 473" "0,1" bitfld.long 0x00 24. " GMB472 ,Group Modifier Bit 472" "0,1" bitfld.long 0x00 23. " GMB471 ,Group Modifier Bit 471" "0,1" textline " " bitfld.long 0x00 22. " GMB470 ,Group Modifier Bit 470" "0,1" bitfld.long 0x00 21. " GMB469 ,Group Modifier Bit 469" "0,1" bitfld.long 0x00 20. " GMB468 ,Group Modifier Bit 468" "0,1" textline " " bitfld.long 0x00 19. " GMB467 ,Group Modifier Bit 467" "0,1" bitfld.long 0x00 18. " GMB466 ,Group Modifier Bit 466" "0,1" bitfld.long 0x00 17. " GMB465 ,Group Modifier Bit 465" "0,1" textline " " bitfld.long 0x00 16. " GMB464 ,Group Modifier Bit 464" "0,1" bitfld.long 0x00 15. " GMB463 ,Group Modifier Bit 463" "0,1" bitfld.long 0x00 14. " GMB462 ,Group Modifier Bit 462" "0,1" textline " " bitfld.long 0x00 13. " GMB461 ,Group Modifier Bit 461" "0,1" bitfld.long 0x00 12. " GMB460 ,Group Modifier Bit 460" "0,1" bitfld.long 0x00 11. " GMB459 ,Group Modifier Bit 459" "0,1" textline " " bitfld.long 0x00 10. " GMB458 ,Group Modifier Bit 458" "0,1" bitfld.long 0x00 9. " GMB457 ,Group Modifier Bit 457" "0,1" bitfld.long 0x00 8. " GMB456 ,Group Modifier Bit 456" "0,1" textline " " bitfld.long 0x00 7. " GMB455 ,Group Modifier Bit 455" "0,1" bitfld.long 0x00 6. " GMB454 ,Group Modifier Bit 454" "0,1" bitfld.long 0x00 5. " GMB453 ,Group Modifier Bit 453" "0,1" textline " " bitfld.long 0x00 4. " GMB452 ,Group Modifier Bit 452" "0,1" bitfld.long 0x00 3. " GMB451 ,Group Modifier Bit 451" "0,1" bitfld.long 0x00 2. " GMB450 ,Group Modifier Bit 450" "0,1" textline " " bitfld.long 0x00 1. " GMB449 ,Group Modifier Bit 449" "0,1" bitfld.long 0x00 0. " GMB448 ,Group Modifier Bit 448" "0,1" else hgroup.long 0x0D38++0x03 hide.long 0x0 "GICD_IGRPMODR14,Interrupt Group Modifier Register 14" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D3C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0F)) group.long 0x0D3C++0x03 line.long 0x0 "GICD_IGRPMODR15,Interrupt Group Modifier Register 15" bitfld.long 0x00 31. " GMB511 ,Group Modifier Bit 511" "0,1" bitfld.long 0x00 30. " GMB510 ,Group Modifier Bit 510" "0,1" bitfld.long 0x00 29. " GMB509 ,Group Modifier Bit 509" "0,1" textline " " bitfld.long 0x00 28. " GMB508 ,Group Modifier Bit 508" "0,1" bitfld.long 0x00 27. " GMB507 ,Group Modifier Bit 507" "0,1" bitfld.long 0x00 26. " GMB506 ,Group Modifier Bit 506" "0,1" textline " " bitfld.long 0x00 25. " GMB505 ,Group Modifier Bit 505" "0,1" bitfld.long 0x00 24. " GMB504 ,Group Modifier Bit 504" "0,1" bitfld.long 0x00 23. " GMB503 ,Group Modifier Bit 503" "0,1" textline " " bitfld.long 0x00 22. " GMB502 ,Group Modifier Bit 502" "0,1" bitfld.long 0x00 21. " GMB501 ,Group Modifier Bit 501" "0,1" bitfld.long 0x00 20. " GMB500 ,Group Modifier Bit 500" "0,1" textline " " bitfld.long 0x00 19. " GMB499 ,Group Modifier Bit 499" "0,1" bitfld.long 0x00 18. " GMB498 ,Group Modifier Bit 498" "0,1" bitfld.long 0x00 17. " GMB497 ,Group Modifier Bit 497" "0,1" textline " " bitfld.long 0x00 16. " GMB496 ,Group Modifier Bit 496" "0,1" bitfld.long 0x00 15. " GMB495 ,Group Modifier Bit 495" "0,1" bitfld.long 0x00 14. " GMB494 ,Group Modifier Bit 494" "0,1" textline " " bitfld.long 0x00 13. " GMB493 ,Group Modifier Bit 493" "0,1" bitfld.long 0x00 12. " GMB492 ,Group Modifier Bit 492" "0,1" bitfld.long 0x00 11. " GMB491 ,Group Modifier Bit 491" "0,1" textline " " bitfld.long 0x00 10. " GMB490 ,Group Modifier Bit 490" "0,1" bitfld.long 0x00 9. " GMB489 ,Group Modifier Bit 489" "0,1" bitfld.long 0x00 8. " GMB488 ,Group Modifier Bit 488" "0,1" textline " " bitfld.long 0x00 7. " GMB487 ,Group Modifier Bit 487" "0,1" bitfld.long 0x00 6. " GMB486 ,Group Modifier Bit 486" "0,1" bitfld.long 0x00 5. " GMB485 ,Group Modifier Bit 485" "0,1" textline " " bitfld.long 0x00 4. " GMB484 ,Group Modifier Bit 484" "0,1" bitfld.long 0x00 3. " GMB483 ,Group Modifier Bit 483" "0,1" bitfld.long 0x00 2. " GMB482 ,Group Modifier Bit 482" "0,1" textline " " bitfld.long 0x00 1. " GMB481 ,Group Modifier Bit 481" "0,1" bitfld.long 0x00 0. " GMB480 ,Group Modifier Bit 480" "0,1" else hgroup.long 0x0D3C++0x03 hide.long 0x0 "GICD_IGRPMODR15,Interrupt Group Modifier Register 15" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D40))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10)) group.long 0x0D40++0x03 line.long 0x0 "GICD_IGRPMODR16,Interrupt Group Modifier Register 16" bitfld.long 0x00 31. " GMB543 ,Group Modifier Bit 543" "0,1" bitfld.long 0x00 30. " GMB542 ,Group Modifier Bit 542" "0,1" bitfld.long 0x00 29. " GMB541 ,Group Modifier Bit 541" "0,1" textline " " bitfld.long 0x00 28. " GMB540 ,Group Modifier Bit 540" "0,1" bitfld.long 0x00 27. " GMB539 ,Group Modifier Bit 539" "0,1" bitfld.long 0x00 26. " GMB538 ,Group Modifier Bit 538" "0,1" textline " " bitfld.long 0x00 25. " GMB537 ,Group Modifier Bit 537" "0,1" bitfld.long 0x00 24. " GMB536 ,Group Modifier Bit 536" "0,1" bitfld.long 0x00 23. " GMB535 ,Group Modifier Bit 535" "0,1" textline " " bitfld.long 0x00 22. " GMB534 ,Group Modifier Bit 534" "0,1" bitfld.long 0x00 21. " GMB533 ,Group Modifier Bit 533" "0,1" bitfld.long 0x00 20. " GMB532 ,Group Modifier Bit 532" "0,1" textline " " bitfld.long 0x00 19. " GMB531 ,Group Modifier Bit 531" "0,1" bitfld.long 0x00 18. " GMB530 ,Group Modifier Bit 530" "0,1" bitfld.long 0x00 17. " GMB529 ,Group Modifier Bit 529" "0,1" textline " " bitfld.long 0x00 16. " GMB528 ,Group Modifier Bit 528" "0,1" bitfld.long 0x00 15. " GMB527 ,Group Modifier Bit 527" "0,1" bitfld.long 0x00 14. " GMB526 ,Group Modifier Bit 526" "0,1" textline " " bitfld.long 0x00 13. " GMB525 ,Group Modifier Bit 525" "0,1" bitfld.long 0x00 12. " GMB524 ,Group Modifier Bit 524" "0,1" bitfld.long 0x00 11. " GMB523 ,Group Modifier Bit 523" "0,1" textline " " bitfld.long 0x00 10. " GMB522 ,Group Modifier Bit 522" "0,1" bitfld.long 0x00 9. " GMB521 ,Group Modifier Bit 521" "0,1" bitfld.long 0x00 8. " GMB520 ,Group Modifier Bit 520" "0,1" textline " " bitfld.long 0x00 7. " GMB519 ,Group Modifier Bit 519" "0,1" bitfld.long 0x00 6. " GMB518 ,Group Modifier Bit 518" "0,1" bitfld.long 0x00 5. " GMB517 ,Group Modifier Bit 517" "0,1" textline " " bitfld.long 0x00 4. " GMB516 ,Group Modifier Bit 516" "0,1" bitfld.long 0x00 3. " GMB515 ,Group Modifier Bit 515" "0,1" bitfld.long 0x00 2. " GMB514 ,Group Modifier Bit 514" "0,1" textline " " bitfld.long 0x00 1. " GMB513 ,Group Modifier Bit 513" "0,1" bitfld.long 0x00 0. " GMB512 ,Group Modifier Bit 512" "0,1" else hgroup.long 0x0D40++0x03 hide.long 0x0 "GICD_IGRPMODR16,Interrupt Group Modifier Register 16" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D44))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11)) group.long 0x0D44++0x03 line.long 0x0 "GICD_IGRPMODR17,Interrupt Group Modifier Register 17" bitfld.long 0x00 31. " GMB575 ,Group Modifier Bit 575" "0,1" bitfld.long 0x00 30. " GMB574 ,Group Modifier Bit 574" "0,1" bitfld.long 0x00 29. " GMB573 ,Group Modifier Bit 573" "0,1" textline " " bitfld.long 0x00 28. " GMB572 ,Group Modifier Bit 572" "0,1" bitfld.long 0x00 27. " GMB571 ,Group Modifier Bit 571" "0,1" bitfld.long 0x00 26. " GMB570 ,Group Modifier Bit 570" "0,1" textline " " bitfld.long 0x00 25. " GMB569 ,Group Modifier Bit 569" "0,1" bitfld.long 0x00 24. " GMB568 ,Group Modifier Bit 568" "0,1" bitfld.long 0x00 23. " GMB567 ,Group Modifier Bit 567" "0,1" textline " " bitfld.long 0x00 22. " GMB566 ,Group Modifier Bit 566" "0,1" bitfld.long 0x00 21. " GMB565 ,Group Modifier Bit 565" "0,1" bitfld.long 0x00 20. " GMB564 ,Group Modifier Bit 564" "0,1" textline " " bitfld.long 0x00 19. " GMB563 ,Group Modifier Bit 563" "0,1" bitfld.long 0x00 18. " GMB562 ,Group Modifier Bit 562" "0,1" bitfld.long 0x00 17. " GMB561 ,Group Modifier Bit 561" "0,1" textline " " bitfld.long 0x00 16. " GMB560 ,Group Modifier Bit 560" "0,1" bitfld.long 0x00 15. " GMB559 ,Group Modifier Bit 559" "0,1" bitfld.long 0x00 14. " GMB558 ,Group Modifier Bit 558" "0,1" textline " " bitfld.long 0x00 13. " GMB557 ,Group Modifier Bit 557" "0,1" bitfld.long 0x00 12. " GMB556 ,Group Modifier Bit 556" "0,1" bitfld.long 0x00 11. " GMB555 ,Group Modifier Bit 555" "0,1" textline " " bitfld.long 0x00 10. " GMB554 ,Group Modifier Bit 554" "0,1" bitfld.long 0x00 9. " GMB553 ,Group Modifier Bit 553" "0,1" bitfld.long 0x00 8. " GMB552 ,Group Modifier Bit 552" "0,1" textline " " bitfld.long 0x00 7. " GMB551 ,Group Modifier Bit 551" "0,1" bitfld.long 0x00 6. " GMB550 ,Group Modifier Bit 550" "0,1" bitfld.long 0x00 5. " GMB549 ,Group Modifier Bit 549" "0,1" textline " " bitfld.long 0x00 4. " GMB548 ,Group Modifier Bit 548" "0,1" bitfld.long 0x00 3. " GMB547 ,Group Modifier Bit 547" "0,1" bitfld.long 0x00 2. " GMB546 ,Group Modifier Bit 546" "0,1" textline " " bitfld.long 0x00 1. " GMB545 ,Group Modifier Bit 545" "0,1" bitfld.long 0x00 0. " GMB544 ,Group Modifier Bit 544" "0,1" else hgroup.long 0x0D44++0x03 hide.long 0x0 "GICD_IGRPMODR17,Interrupt Group Modifier Register 17" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D48))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12)) group.long 0x0D48++0x03 line.long 0x0 "GICD_IGRPMODR18,Interrupt Group Modifier Register 18" bitfld.long 0x00 31. " GMB607 ,Group Modifier Bit 607" "0,1" bitfld.long 0x00 30. " GMB606 ,Group Modifier Bit 606" "0,1" bitfld.long 0x00 29. " GMB605 ,Group Modifier Bit 605" "0,1" textline " " bitfld.long 0x00 28. " GMB604 ,Group Modifier Bit 604" "0,1" bitfld.long 0x00 27. " GMB603 ,Group Modifier Bit 603" "0,1" bitfld.long 0x00 26. " GMB602 ,Group Modifier Bit 602" "0,1" textline " " bitfld.long 0x00 25. " GMB601 ,Group Modifier Bit 601" "0,1" bitfld.long 0x00 24. " GMB600 ,Group Modifier Bit 600" "0,1" bitfld.long 0x00 23. " GMB599 ,Group Modifier Bit 599" "0,1" textline " " bitfld.long 0x00 22. " GMB598 ,Group Modifier Bit 598" "0,1" bitfld.long 0x00 21. " GMB597 ,Group Modifier Bit 597" "0,1" bitfld.long 0x00 20. " GMB596 ,Group Modifier Bit 596" "0,1" textline " " bitfld.long 0x00 19. " GMB595 ,Group Modifier Bit 595" "0,1" bitfld.long 0x00 18. " GMB594 ,Group Modifier Bit 594" "0,1" bitfld.long 0x00 17. " GMB593 ,Group Modifier Bit 593" "0,1" textline " " bitfld.long 0x00 16. " GMB592 ,Group Modifier Bit 592" "0,1" bitfld.long 0x00 15. " GMB591 ,Group Modifier Bit 591" "0,1" bitfld.long 0x00 14. " GMB590 ,Group Modifier Bit 590" "0,1" textline " " bitfld.long 0x00 13. " GMB589 ,Group Modifier Bit 589" "0,1" bitfld.long 0x00 12. " GMB588 ,Group Modifier Bit 588" "0,1" bitfld.long 0x00 11. " GMB587 ,Group Modifier Bit 587" "0,1" textline " " bitfld.long 0x00 10. " GMB586 ,Group Modifier Bit 586" "0,1" bitfld.long 0x00 9. " GMB585 ,Group Modifier Bit 585" "0,1" bitfld.long 0x00 8. " GMB584 ,Group Modifier Bit 584" "0,1" textline " " bitfld.long 0x00 7. " GMB583 ,Group Modifier Bit 583" "0,1" bitfld.long 0x00 6. " GMB582 ,Group Modifier Bit 582" "0,1" bitfld.long 0x00 5. " GMB581 ,Group Modifier Bit 581" "0,1" textline " " bitfld.long 0x00 4. " GMB580 ,Group Modifier Bit 580" "0,1" bitfld.long 0x00 3. " GMB579 ,Group Modifier Bit 579" "0,1" bitfld.long 0x00 2. " GMB578 ,Group Modifier Bit 578" "0,1" textline " " bitfld.long 0x00 1. " GMB577 ,Group Modifier Bit 577" "0,1" bitfld.long 0x00 0. " GMB576 ,Group Modifier Bit 576" "0,1" else hgroup.long 0x0D48++0x03 hide.long 0x0 "GICD_IGRPMODR18,Interrupt Group Modifier Register 18" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D4C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13)) group.long 0x0D4C++0x03 line.long 0x0 "GICD_IGRPMODR19,Interrupt Group Modifier Register 19" bitfld.long 0x00 31. " GMB639 ,Group Modifier Bit 639" "0,1" bitfld.long 0x00 30. " GMB638 ,Group Modifier Bit 638" "0,1" bitfld.long 0x00 29. " GMB637 ,Group Modifier Bit 637" "0,1" textline " " bitfld.long 0x00 28. " GMB636 ,Group Modifier Bit 636" "0,1" bitfld.long 0x00 27. " GMB635 ,Group Modifier Bit 635" "0,1" bitfld.long 0x00 26. " GMB634 ,Group Modifier Bit 634" "0,1" textline " " bitfld.long 0x00 25. " GMB633 ,Group Modifier Bit 633" "0,1" bitfld.long 0x00 24. " GMB632 ,Group Modifier Bit 632" "0,1" bitfld.long 0x00 23. " GMB631 ,Group Modifier Bit 631" "0,1" textline " " bitfld.long 0x00 22. " GMB630 ,Group Modifier Bit 630" "0,1" bitfld.long 0x00 21. " GMB629 ,Group Modifier Bit 629" "0,1" bitfld.long 0x00 20. " GMB628 ,Group Modifier Bit 628" "0,1" textline " " bitfld.long 0x00 19. " GMB627 ,Group Modifier Bit 627" "0,1" bitfld.long 0x00 18. " GMB626 ,Group Modifier Bit 626" "0,1" bitfld.long 0x00 17. " GMB625 ,Group Modifier Bit 625" "0,1" textline " " bitfld.long 0x00 16. " GMB624 ,Group Modifier Bit 624" "0,1" bitfld.long 0x00 15. " GMB623 ,Group Modifier Bit 623" "0,1" bitfld.long 0x00 14. " GMB622 ,Group Modifier Bit 622" "0,1" textline " " bitfld.long 0x00 13. " GMB621 ,Group Modifier Bit 621" "0,1" bitfld.long 0x00 12. " GMB620 ,Group Modifier Bit 620" "0,1" bitfld.long 0x00 11. " GMB619 ,Group Modifier Bit 619" "0,1" textline " " bitfld.long 0x00 10. " GMB618 ,Group Modifier Bit 618" "0,1" bitfld.long 0x00 9. " GMB617 ,Group Modifier Bit 617" "0,1" bitfld.long 0x00 8. " GMB616 ,Group Modifier Bit 616" "0,1" textline " " bitfld.long 0x00 7. " GMB615 ,Group Modifier Bit 615" "0,1" bitfld.long 0x00 6. " GMB614 ,Group Modifier Bit 614" "0,1" bitfld.long 0x00 5. " GMB613 ,Group Modifier Bit 613" "0,1" textline " " bitfld.long 0x00 4. " GMB612 ,Group Modifier Bit 612" "0,1" bitfld.long 0x00 3. " GMB611 ,Group Modifier Bit 611" "0,1" bitfld.long 0x00 2. " GMB610 ,Group Modifier Bit 610" "0,1" textline " " bitfld.long 0x00 1. " GMB609 ,Group Modifier Bit 609" "0,1" bitfld.long 0x00 0. " GMB608 ,Group Modifier Bit 608" "0,1" else hgroup.long 0x0D4C++0x03 hide.long 0x0 "GICD_IGRPMODR19,Interrupt Group Modifier Register 19" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D50))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14)) group.long 0x0D50++0x03 line.long 0x0 "GICD_IGRPMODR20,Interrupt Group Modifier Register 20" bitfld.long 0x00 31. " GMB671 ,Group Modifier Bit 671" "0,1" bitfld.long 0x00 30. " GMB670 ,Group Modifier Bit 670" "0,1" bitfld.long 0x00 29. " GMB669 ,Group Modifier Bit 669" "0,1" textline " " bitfld.long 0x00 28. " GMB668 ,Group Modifier Bit 668" "0,1" bitfld.long 0x00 27. " GMB667 ,Group Modifier Bit 667" "0,1" bitfld.long 0x00 26. " GMB666 ,Group Modifier Bit 666" "0,1" textline " " bitfld.long 0x00 25. " GMB665 ,Group Modifier Bit 665" "0,1" bitfld.long 0x00 24. " GMB664 ,Group Modifier Bit 664" "0,1" bitfld.long 0x00 23. " GMB663 ,Group Modifier Bit 663" "0,1" textline " " bitfld.long 0x00 22. " GMB662 ,Group Modifier Bit 662" "0,1" bitfld.long 0x00 21. " GMB661 ,Group Modifier Bit 661" "0,1" bitfld.long 0x00 20. " GMB660 ,Group Modifier Bit 660" "0,1" textline " " bitfld.long 0x00 19. " GMB659 ,Group Modifier Bit 659" "0,1" bitfld.long 0x00 18. " GMB658 ,Group Modifier Bit 658" "0,1" bitfld.long 0x00 17. " GMB657 ,Group Modifier Bit 657" "0,1" textline " " bitfld.long 0x00 16. " GMB656 ,Group Modifier Bit 656" "0,1" bitfld.long 0x00 15. " GMB655 ,Group Modifier Bit 655" "0,1" bitfld.long 0x00 14. " GMB654 ,Group Modifier Bit 654" "0,1" textline " " bitfld.long 0x00 13. " GMB653 ,Group Modifier Bit 653" "0,1" bitfld.long 0x00 12. " GMB652 ,Group Modifier Bit 652" "0,1" bitfld.long 0x00 11. " GMB651 ,Group Modifier Bit 651" "0,1" textline " " bitfld.long 0x00 10. " GMB650 ,Group Modifier Bit 650" "0,1" bitfld.long 0x00 9. " GMB649 ,Group Modifier Bit 649" "0,1" bitfld.long 0x00 8. " GMB648 ,Group Modifier Bit 648" "0,1" textline " " bitfld.long 0x00 7. " GMB647 ,Group Modifier Bit 647" "0,1" bitfld.long 0x00 6. " GMB646 ,Group Modifier Bit 646" "0,1" bitfld.long 0x00 5. " GMB645 ,Group Modifier Bit 645" "0,1" textline " " bitfld.long 0x00 4. " GMB644 ,Group Modifier Bit 644" "0,1" bitfld.long 0x00 3. " GMB643 ,Group Modifier Bit 643" "0,1" bitfld.long 0x00 2. " GMB642 ,Group Modifier Bit 642" "0,1" textline " " bitfld.long 0x00 1. " GMB641 ,Group Modifier Bit 641" "0,1" bitfld.long 0x00 0. " GMB640 ,Group Modifier Bit 640" "0,1" else hgroup.long 0x0D50++0x03 hide.long 0x0 "GICD_IGRPMODR20,Interrupt Group Modifier Register 20" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D54))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15)) group.long 0x0D54++0x03 line.long 0x0 "GICD_IGRPMODR21,Interrupt Group Modifier Register 21" bitfld.long 0x00 31. " GMB703 ,Group Modifier Bit 703" "0,1" bitfld.long 0x00 30. " GMB702 ,Group Modifier Bit 702" "0,1" bitfld.long 0x00 29. " GMB701 ,Group Modifier Bit 701" "0,1" textline " " bitfld.long 0x00 28. " GMB700 ,Group Modifier Bit 700" "0,1" bitfld.long 0x00 27. " GMB699 ,Group Modifier Bit 699" "0,1" bitfld.long 0x00 26. " GMB698 ,Group Modifier Bit 698" "0,1" textline " " bitfld.long 0x00 25. " GMB697 ,Group Modifier Bit 697" "0,1" bitfld.long 0x00 24. " GMB696 ,Group Modifier Bit 696" "0,1" bitfld.long 0x00 23. " GMB695 ,Group Modifier Bit 695" "0,1" textline " " bitfld.long 0x00 22. " GMB694 ,Group Modifier Bit 694" "0,1" bitfld.long 0x00 21. " GMB693 ,Group Modifier Bit 693" "0,1" bitfld.long 0x00 20. " GMB692 ,Group Modifier Bit 692" "0,1" textline " " bitfld.long 0x00 19. " GMB691 ,Group Modifier Bit 691" "0,1" bitfld.long 0x00 18. " GMB690 ,Group Modifier Bit 690" "0,1" bitfld.long 0x00 17. " GMB689 ,Group Modifier Bit 689" "0,1" textline " " bitfld.long 0x00 16. " GMB688 ,Group Modifier Bit 688" "0,1" bitfld.long 0x00 15. " GMB687 ,Group Modifier Bit 687" "0,1" bitfld.long 0x00 14. " GMB686 ,Group Modifier Bit 686" "0,1" textline " " bitfld.long 0x00 13. " GMB685 ,Group Modifier Bit 685" "0,1" bitfld.long 0x00 12. " GMB684 ,Group Modifier Bit 684" "0,1" bitfld.long 0x00 11. " GMB683 ,Group Modifier Bit 683" "0,1" textline " " bitfld.long 0x00 10. " GMB682 ,Group Modifier Bit 682" "0,1" bitfld.long 0x00 9. " GMB681 ,Group Modifier Bit 681" "0,1" bitfld.long 0x00 8. " GMB680 ,Group Modifier Bit 680" "0,1" textline " " bitfld.long 0x00 7. " GMB679 ,Group Modifier Bit 679" "0,1" bitfld.long 0x00 6. " GMB678 ,Group Modifier Bit 678" "0,1" bitfld.long 0x00 5. " GMB677 ,Group Modifier Bit 677" "0,1" textline " " bitfld.long 0x00 4. " GMB676 ,Group Modifier Bit 676" "0,1" bitfld.long 0x00 3. " GMB675 ,Group Modifier Bit 675" "0,1" bitfld.long 0x00 2. " GMB674 ,Group Modifier Bit 674" "0,1" textline " " bitfld.long 0x00 1. " GMB673 ,Group Modifier Bit 673" "0,1" bitfld.long 0x00 0. " GMB672 ,Group Modifier Bit 672" "0,1" else hgroup.long 0x0D54++0x03 hide.long 0x0 "GICD_IGRPMODR21,Interrupt Group Modifier Register 21" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D58))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16)) group.long 0x0D58++0x03 line.long 0x0 "GICD_IGRPMODR22,Interrupt Group Modifier Register 22" bitfld.long 0x00 31. " GMB735 ,Group Modifier Bit 735" "0,1" bitfld.long 0x00 30. " GMB734 ,Group Modifier Bit 734" "0,1" bitfld.long 0x00 29. " GMB733 ,Group Modifier Bit 733" "0,1" textline " " bitfld.long 0x00 28. " GMB732 ,Group Modifier Bit 732" "0,1" bitfld.long 0x00 27. " GMB731 ,Group Modifier Bit 731" "0,1" bitfld.long 0x00 26. " GMB730 ,Group Modifier Bit 730" "0,1" textline " " bitfld.long 0x00 25. " GMB729 ,Group Modifier Bit 729" "0,1" bitfld.long 0x00 24. " GMB728 ,Group Modifier Bit 728" "0,1" bitfld.long 0x00 23. " GMB727 ,Group Modifier Bit 727" "0,1" textline " " bitfld.long 0x00 22. " GMB726 ,Group Modifier Bit 726" "0,1" bitfld.long 0x00 21. " GMB725 ,Group Modifier Bit 725" "0,1" bitfld.long 0x00 20. " GMB724 ,Group Modifier Bit 724" "0,1" textline " " bitfld.long 0x00 19. " GMB723 ,Group Modifier Bit 723" "0,1" bitfld.long 0x00 18. " GMB722 ,Group Modifier Bit 722" "0,1" bitfld.long 0x00 17. " GMB721 ,Group Modifier Bit 721" "0,1" textline " " bitfld.long 0x00 16. " GMB720 ,Group Modifier Bit 720" "0,1" bitfld.long 0x00 15. " GMB719 ,Group Modifier Bit 719" "0,1" bitfld.long 0x00 14. " GMB718 ,Group Modifier Bit 718" "0,1" textline " " bitfld.long 0x00 13. " GMB717 ,Group Modifier Bit 717" "0,1" bitfld.long 0x00 12. " GMB716 ,Group Modifier Bit 716" "0,1" bitfld.long 0x00 11. " GMB715 ,Group Modifier Bit 715" "0,1" textline " " bitfld.long 0x00 10. " GMB714 ,Group Modifier Bit 714" "0,1" bitfld.long 0x00 9. " GMB713 ,Group Modifier Bit 713" "0,1" bitfld.long 0x00 8. " GMB712 ,Group Modifier Bit 712" "0,1" textline " " bitfld.long 0x00 7. " GMB711 ,Group Modifier Bit 711" "0,1" bitfld.long 0x00 6. " GMB710 ,Group Modifier Bit 710" "0,1" bitfld.long 0x00 5. " GMB709 ,Group Modifier Bit 709" "0,1" textline " " bitfld.long 0x00 4. " GMB708 ,Group Modifier Bit 708" "0,1" bitfld.long 0x00 3. " GMB707 ,Group Modifier Bit 707" "0,1" bitfld.long 0x00 2. " GMB706 ,Group Modifier Bit 706" "0,1" textline " " bitfld.long 0x00 1. " GMB705 ,Group Modifier Bit 705" "0,1" bitfld.long 0x00 0. " GMB704 ,Group Modifier Bit 704" "0,1" else hgroup.long 0x0D58++0x03 hide.long 0x0 "GICD_IGRPMODR22,Interrupt Group Modifier Register 22" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D5C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17)) group.long 0x0D5C++0x03 line.long 0x0 "GICD_IGRPMODR23,Interrupt Group Modifier Register 23" bitfld.long 0x00 31. " GMB767 ,Group Modifier Bit 767" "0,1" bitfld.long 0x00 30. " GMB766 ,Group Modifier Bit 766" "0,1" bitfld.long 0x00 29. " GMB765 ,Group Modifier Bit 765" "0,1" textline " " bitfld.long 0x00 28. " GMB764 ,Group Modifier Bit 764" "0,1" bitfld.long 0x00 27. " GMB763 ,Group Modifier Bit 763" "0,1" bitfld.long 0x00 26. " GMB762 ,Group Modifier Bit 762" "0,1" textline " " bitfld.long 0x00 25. " GMB761 ,Group Modifier Bit 761" "0,1" bitfld.long 0x00 24. " GMB760 ,Group Modifier Bit 760" "0,1" bitfld.long 0x00 23. " GMB759 ,Group Modifier Bit 759" "0,1" textline " " bitfld.long 0x00 22. " GMB758 ,Group Modifier Bit 758" "0,1" bitfld.long 0x00 21. " GMB757 ,Group Modifier Bit 757" "0,1" bitfld.long 0x00 20. " GMB756 ,Group Modifier Bit 756" "0,1" textline " " bitfld.long 0x00 19. " GMB755 ,Group Modifier Bit 755" "0,1" bitfld.long 0x00 18. " GMB754 ,Group Modifier Bit 754" "0,1" bitfld.long 0x00 17. " GMB753 ,Group Modifier Bit 753" "0,1" textline " " bitfld.long 0x00 16. " GMB752 ,Group Modifier Bit 752" "0,1" bitfld.long 0x00 15. " GMB751 ,Group Modifier Bit 751" "0,1" bitfld.long 0x00 14. " GMB750 ,Group Modifier Bit 750" "0,1" textline " " bitfld.long 0x00 13. " GMB749 ,Group Modifier Bit 749" "0,1" bitfld.long 0x00 12. " GMB748 ,Group Modifier Bit 748" "0,1" bitfld.long 0x00 11. " GMB747 ,Group Modifier Bit 747" "0,1" textline " " bitfld.long 0x00 10. " GMB746 ,Group Modifier Bit 746" "0,1" bitfld.long 0x00 9. " GMB745 ,Group Modifier Bit 745" "0,1" bitfld.long 0x00 8. " GMB744 ,Group Modifier Bit 744" "0,1" textline " " bitfld.long 0x00 7. " GMB743 ,Group Modifier Bit 743" "0,1" bitfld.long 0x00 6. " GMB742 ,Group Modifier Bit 742" "0,1" bitfld.long 0x00 5. " GMB741 ,Group Modifier Bit 741" "0,1" textline " " bitfld.long 0x00 4. " GMB740 ,Group Modifier Bit 740" "0,1" bitfld.long 0x00 3. " GMB739 ,Group Modifier Bit 739" "0,1" bitfld.long 0x00 2. " GMB738 ,Group Modifier Bit 738" "0,1" textline " " bitfld.long 0x00 1. " GMB737 ,Group Modifier Bit 737" "0,1" bitfld.long 0x00 0. " GMB736 ,Group Modifier Bit 736" "0,1" else hgroup.long 0x0D5C++0x03 hide.long 0x0 "GICD_IGRPMODR23,Interrupt Group Modifier Register 23" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D60))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18)) group.long 0x0D60++0x03 line.long 0x0 "GICD_IGRPMODR24,Interrupt Group Modifier Register 24" bitfld.long 0x00 31. " GMB799 ,Group Modifier Bit 799" "0,1" bitfld.long 0x00 30. " GMB798 ,Group Modifier Bit 798" "0,1" bitfld.long 0x00 29. " GMB797 ,Group Modifier Bit 797" "0,1" textline " " bitfld.long 0x00 28. " GMB796 ,Group Modifier Bit 796" "0,1" bitfld.long 0x00 27. " GMB795 ,Group Modifier Bit 795" "0,1" bitfld.long 0x00 26. " GMB794 ,Group Modifier Bit 794" "0,1" textline " " bitfld.long 0x00 25. " GMB793 ,Group Modifier Bit 793" "0,1" bitfld.long 0x00 24. " GMB792 ,Group Modifier Bit 792" "0,1" bitfld.long 0x00 23. " GMB791 ,Group Modifier Bit 791" "0,1" textline " " bitfld.long 0x00 22. " GMB790 ,Group Modifier Bit 790" "0,1" bitfld.long 0x00 21. " GMB789 ,Group Modifier Bit 789" "0,1" bitfld.long 0x00 20. " GMB788 ,Group Modifier Bit 788" "0,1" textline " " bitfld.long 0x00 19. " GMB787 ,Group Modifier Bit 787" "0,1" bitfld.long 0x00 18. " GMB786 ,Group Modifier Bit 786" "0,1" bitfld.long 0x00 17. " GMB785 ,Group Modifier Bit 785" "0,1" textline " " bitfld.long 0x00 16. " GMB784 ,Group Modifier Bit 784" "0,1" bitfld.long 0x00 15. " GMB783 ,Group Modifier Bit 783" "0,1" bitfld.long 0x00 14. " GMB782 ,Group Modifier Bit 782" "0,1" textline " " bitfld.long 0x00 13. " GMB781 ,Group Modifier Bit 781" "0,1" bitfld.long 0x00 12. " GMB780 ,Group Modifier Bit 780" "0,1" bitfld.long 0x00 11. " GMB779 ,Group Modifier Bit 779" "0,1" textline " " bitfld.long 0x00 10. " GMB778 ,Group Modifier Bit 778" "0,1" bitfld.long 0x00 9. " GMB777 ,Group Modifier Bit 777" "0,1" bitfld.long 0x00 8. " GMB776 ,Group Modifier Bit 776" "0,1" textline " " bitfld.long 0x00 7. " GMB775 ,Group Modifier Bit 775" "0,1" bitfld.long 0x00 6. " GMB774 ,Group Modifier Bit 774" "0,1" bitfld.long 0x00 5. " GMB773 ,Group Modifier Bit 773" "0,1" textline " " bitfld.long 0x00 4. " GMB772 ,Group Modifier Bit 772" "0,1" bitfld.long 0x00 3. " GMB771 ,Group Modifier Bit 771" "0,1" bitfld.long 0x00 2. " GMB770 ,Group Modifier Bit 770" "0,1" textline " " bitfld.long 0x00 1. " GMB769 ,Group Modifier Bit 769" "0,1" bitfld.long 0x00 0. " GMB768 ,Group Modifier Bit 768" "0,1" else hgroup.long 0x0D60++0x03 hide.long 0x0 "GICD_IGRPMODR24,Interrupt Group Modifier Register 24" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D64))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19)) group.long 0x0D64++0x03 line.long 0x0 "GICD_IGRPMODR25,Interrupt Group Modifier Register 25" bitfld.long 0x00 31. " GMB831 ,Group Modifier Bit 831" "0,1" bitfld.long 0x00 30. " GMB830 ,Group Modifier Bit 830" "0,1" bitfld.long 0x00 29. " GMB829 ,Group Modifier Bit 829" "0,1" textline " " bitfld.long 0x00 28. " GMB828 ,Group Modifier Bit 828" "0,1" bitfld.long 0x00 27. " GMB827 ,Group Modifier Bit 827" "0,1" bitfld.long 0x00 26. " GMB826 ,Group Modifier Bit 826" "0,1" textline " " bitfld.long 0x00 25. " GMB825 ,Group Modifier Bit 825" "0,1" bitfld.long 0x00 24. " GMB824 ,Group Modifier Bit 824" "0,1" bitfld.long 0x00 23. " GMB823 ,Group Modifier Bit 823" "0,1" textline " " bitfld.long 0x00 22. " GMB822 ,Group Modifier Bit 822" "0,1" bitfld.long 0x00 21. " GMB821 ,Group Modifier Bit 821" "0,1" bitfld.long 0x00 20. " GMB820 ,Group Modifier Bit 820" "0,1" textline " " bitfld.long 0x00 19. " GMB819 ,Group Modifier Bit 819" "0,1" bitfld.long 0x00 18. " GMB818 ,Group Modifier Bit 818" "0,1" bitfld.long 0x00 17. " GMB817 ,Group Modifier Bit 817" "0,1" textline " " bitfld.long 0x00 16. " GMB816 ,Group Modifier Bit 816" "0,1" bitfld.long 0x00 15. " GMB815 ,Group Modifier Bit 815" "0,1" bitfld.long 0x00 14. " GMB814 ,Group Modifier Bit 814" "0,1" textline " " bitfld.long 0x00 13. " GMB813 ,Group Modifier Bit 813" "0,1" bitfld.long 0x00 12. " GMB812 ,Group Modifier Bit 812" "0,1" bitfld.long 0x00 11. " GMB811 ,Group Modifier Bit 811" "0,1" textline " " bitfld.long 0x00 10. " GMB810 ,Group Modifier Bit 810" "0,1" bitfld.long 0x00 9. " GMB809 ,Group Modifier Bit 809" "0,1" bitfld.long 0x00 8. " GMB808 ,Group Modifier Bit 808" "0,1" textline " " bitfld.long 0x00 7. " GMB807 ,Group Modifier Bit 807" "0,1" bitfld.long 0x00 6. " GMB806 ,Group Modifier Bit 806" "0,1" bitfld.long 0x00 5. " GMB805 ,Group Modifier Bit 805" "0,1" textline " " bitfld.long 0x00 4. " GMB804 ,Group Modifier Bit 804" "0,1" bitfld.long 0x00 3. " GMB803 ,Group Modifier Bit 803" "0,1" bitfld.long 0x00 2. " GMB802 ,Group Modifier Bit 802" "0,1" textline " " bitfld.long 0x00 1. " GMB801 ,Group Modifier Bit 801" "0,1" bitfld.long 0x00 0. " GMB800 ,Group Modifier Bit 800" "0,1" else hgroup.long 0x0D64++0x03 hide.long 0x0 "GICD_IGRPMODR25,Interrupt Group Modifier Register 25" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D68))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x01A)) group.long 0x0D68++0x03 line.long 0x0 "GICD_IGRPMODR26,Interrupt Group Modifier Register 26" bitfld.long 0x00 31. " GMB863 ,Group Modifier Bit 863" "0,1" bitfld.long 0x00 30. " GMB862 ,Group Modifier Bit 862" "0,1" bitfld.long 0x00 29. " GMB861 ,Group Modifier Bit 861" "0,1" textline " " bitfld.long 0x00 28. " GMB860 ,Group Modifier Bit 860" "0,1" bitfld.long 0x00 27. " GMB859 ,Group Modifier Bit 859" "0,1" bitfld.long 0x00 26. " GMB858 ,Group Modifier Bit 858" "0,1" textline " " bitfld.long 0x00 25. " GMB857 ,Group Modifier Bit 857" "0,1" bitfld.long 0x00 24. " GMB856 ,Group Modifier Bit 856" "0,1" bitfld.long 0x00 23. " GMB855 ,Group Modifier Bit 855" "0,1" textline " " bitfld.long 0x00 22. " GMB854 ,Group Modifier Bit 854" "0,1" bitfld.long 0x00 21. " GMB853 ,Group Modifier Bit 853" "0,1" bitfld.long 0x00 20. " GMB852 ,Group Modifier Bit 852" "0,1" textline " " bitfld.long 0x00 19. " GMB851 ,Group Modifier Bit 851" "0,1" bitfld.long 0x00 18. " GMB850 ,Group Modifier Bit 850" "0,1" bitfld.long 0x00 17. " GMB849 ,Group Modifier Bit 849" "0,1" textline " " bitfld.long 0x00 16. " GMB848 ,Group Modifier Bit 848" "0,1" bitfld.long 0x00 15. " GMB847 ,Group Modifier Bit 847" "0,1" bitfld.long 0x00 14. " GMB846 ,Group Modifier Bit 846" "0,1" textline " " bitfld.long 0x00 13. " GMB845 ,Group Modifier Bit 845" "0,1" bitfld.long 0x00 12. " GMB844 ,Group Modifier Bit 844" "0,1" bitfld.long 0x00 11. " GMB843 ,Group Modifier Bit 843" "0,1" textline " " bitfld.long 0x00 10. " GMB842 ,Group Modifier Bit 842" "0,1" bitfld.long 0x00 9. " GMB841 ,Group Modifier Bit 841" "0,1" bitfld.long 0x00 8. " GMB840 ,Group Modifier Bit 840" "0,1" textline " " bitfld.long 0x00 7. " GMB839 ,Group Modifier Bit 839" "0,1" bitfld.long 0x00 6. " GMB838 ,Group Modifier Bit 838" "0,1" bitfld.long 0x00 5. " GMB837 ,Group Modifier Bit 837" "0,1" textline " " bitfld.long 0x00 4. " GMB836 ,Group Modifier Bit 836" "0,1" bitfld.long 0x00 3. " GMB835 ,Group Modifier Bit 835" "0,1" bitfld.long 0x00 2. " GMB834 ,Group Modifier Bit 834" "0,1" textline " " bitfld.long 0x00 1. " GMB833 ,Group Modifier Bit 833" "0,1" bitfld.long 0x00 0. " GMB832 ,Group Modifier Bit 832" "0,1" else hgroup.long 0x0D68++0x03 hide.long 0x0 "GICD_IGRPMODR26,Interrupt Group Modifier Register 26" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D6C))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B)) group.long 0x0D6C++0x03 line.long 0x0 "GICD_IGRPMODR27,Interrupt Group Modifier Register 27" bitfld.long 0x00 31. " GMB895 ,Group Modifier Bit 895" "0,1" bitfld.long 0x00 30. " GMB894 ,Group Modifier Bit 894" "0,1" bitfld.long 0x00 29. " GMB893 ,Group Modifier Bit 893" "0,1" textline " " bitfld.long 0x00 28. " GMB892 ,Group Modifier Bit 892" "0,1" bitfld.long 0x00 27. " GMB891 ,Group Modifier Bit 891" "0,1" bitfld.long 0x00 26. " GMB890 ,Group Modifier Bit 890" "0,1" textline " " bitfld.long 0x00 25. " GMB889 ,Group Modifier Bit 889" "0,1" bitfld.long 0x00 24. " GMB888 ,Group Modifier Bit 888" "0,1" bitfld.long 0x00 23. " GMB887 ,Group Modifier Bit 887" "0,1" textline " " bitfld.long 0x00 22. " GMB886 ,Group Modifier Bit 886" "0,1" bitfld.long 0x00 21. " GMB885 ,Group Modifier Bit 885" "0,1" bitfld.long 0x00 20. " GMB884 ,Group Modifier Bit 884" "0,1" textline " " bitfld.long 0x00 19. " GMB883 ,Group Modifier Bit 883" "0,1" bitfld.long 0x00 18. " GMB882 ,Group Modifier Bit 882" "0,1" bitfld.long 0x00 17. " GMB881 ,Group Modifier Bit 881" "0,1" textline " " bitfld.long 0x00 16. " GMB880 ,Group Modifier Bit 880" "0,1" bitfld.long 0x00 15. " GMB879 ,Group Modifier Bit 879" "0,1" bitfld.long 0x00 14. " GMB878 ,Group Modifier Bit 878" "0,1" textline " " bitfld.long 0x00 13. " GMB877 ,Group Modifier Bit 877" "0,1" bitfld.long 0x00 12. " GMB876 ,Group Modifier Bit 876" "0,1" bitfld.long 0x00 11. " GMB875 ,Group Modifier Bit 875" "0,1" textline " " bitfld.long 0x00 10. " GMB874 ,Group Modifier Bit 874" "0,1" bitfld.long 0x00 9. " GMB873 ,Group Modifier Bit 873" "0,1" bitfld.long 0x00 8. " GMB872 ,Group Modifier Bit 872" "0,1" textline " " bitfld.long 0x00 7. " GMB871 ,Group Modifier Bit 871" "0,1" bitfld.long 0x00 6. " GMB870 ,Group Modifier Bit 870" "0,1" bitfld.long 0x00 5. " GMB869 ,Group Modifier Bit 869" "0,1" textline " " bitfld.long 0x00 4. " GMB868 ,Group Modifier Bit 868" "0,1" bitfld.long 0x00 3. " GMB867 ,Group Modifier Bit 867" "0,1" bitfld.long 0x00 2. " GMB866 ,Group Modifier Bit 866" "0,1" textline " " bitfld.long 0x00 1. " GMB865 ,Group Modifier Bit 865" "0,1" bitfld.long 0x00 0. " GMB864 ,Group Modifier Bit 864" "0,1" else hgroup.long 0x0D6C++0x03 hide.long 0x0 "GICD_IGRPMODR27,Interrupt Group Modifier Register 27" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D70))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C)) group.long 0x0D70++0x03 line.long 0x0 "GICD_IGRPMODR28,Interrupt Group Modifier Register 28" bitfld.long 0x00 31. " GMB927 ,Group Modifier Bit 927" "0,1" bitfld.long 0x00 30. " GMB926 ,Group Modifier Bit 926" "0,1" bitfld.long 0x00 29. " GMB925 ,Group Modifier Bit 925" "0,1" textline " " bitfld.long 0x00 28. " GMB924 ,Group Modifier Bit 924" "0,1" bitfld.long 0x00 27. " GMB923 ,Group Modifier Bit 923" "0,1" bitfld.long 0x00 26. " GMB922 ,Group Modifier Bit 922" "0,1" textline " " bitfld.long 0x00 25. " GMB921 ,Group Modifier Bit 921" "0,1" bitfld.long 0x00 24. " GMB920 ,Group Modifier Bit 920" "0,1" bitfld.long 0x00 23. " GMB919 ,Group Modifier Bit 919" "0,1" textline " " bitfld.long 0x00 22. " GMB918 ,Group Modifier Bit 918" "0,1" bitfld.long 0x00 21. " GMB917 ,Group Modifier Bit 917" "0,1" bitfld.long 0x00 20. " GMB916 ,Group Modifier Bit 916" "0,1" textline " " bitfld.long 0x00 19. " GMB915 ,Group Modifier Bit 915" "0,1" bitfld.long 0x00 18. " GMB914 ,Group Modifier Bit 914" "0,1" bitfld.long 0x00 17. " GMB913 ,Group Modifier Bit 913" "0,1" textline " " bitfld.long 0x00 16. " GMB912 ,Group Modifier Bit 912" "0,1" bitfld.long 0x00 15. " GMB911 ,Group Modifier Bit 911" "0,1" bitfld.long 0x00 14. " GMB910 ,Group Modifier Bit 910" "0,1" textline " " bitfld.long 0x00 13. " GMB909 ,Group Modifier Bit 909" "0,1" bitfld.long 0x00 12. " GMB908 ,Group Modifier Bit 908" "0,1" bitfld.long 0x00 11. " GMB907 ,Group Modifier Bit 907" "0,1" textline " " bitfld.long 0x00 10. " GMB906 ,Group Modifier Bit 906" "0,1" bitfld.long 0x00 9. " GMB905 ,Group Modifier Bit 905" "0,1" bitfld.long 0x00 8. " GMB904 ,Group Modifier Bit 904" "0,1" textline " " bitfld.long 0x00 7. " GMB903 ,Group Modifier Bit 903" "0,1" bitfld.long 0x00 6. " GMB902 ,Group Modifier Bit 902" "0,1" bitfld.long 0x00 5. " GMB901 ,Group Modifier Bit 901" "0,1" textline " " bitfld.long 0x00 4. " GMB900 ,Group Modifier Bit 900" "0,1" bitfld.long 0x00 3. " GMB899 ,Group Modifier Bit 899" "0,1" bitfld.long 0x00 2. " GMB898 ,Group Modifier Bit 898" "0,1" textline " " bitfld.long 0x00 1. " GMB897 ,Group Modifier Bit 897" "0,1" bitfld.long 0x00 0. " GMB896 ,Group Modifier Bit 896" "0,1" else hgroup.long 0x0D70++0x03 hide.long 0x0 "GICD_IGRPMODR28,Interrupt Group Modifier Register 28" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D74))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D)) group.long 0x0D74++0x03 line.long 0x0 "GICD_IGRPMODR29,Interrupt Group Modifier Register 29" bitfld.long 0x00 31. " GMB959 ,Group Modifier Bit 959" "0,1" bitfld.long 0x00 30. " GMB958 ,Group Modifier Bit 958" "0,1" bitfld.long 0x00 29. " GMB957 ,Group Modifier Bit 957" "0,1" textline " " bitfld.long 0x00 28. " GMB956 ,Group Modifier Bit 956" "0,1" bitfld.long 0x00 27. " GMB955 ,Group Modifier Bit 955" "0,1" bitfld.long 0x00 26. " GMB954 ,Group Modifier Bit 954" "0,1" textline " " bitfld.long 0x00 25. " GMB953 ,Group Modifier Bit 953" "0,1" bitfld.long 0x00 24. " GMB952 ,Group Modifier Bit 952" "0,1" bitfld.long 0x00 23. " GMB951 ,Group Modifier Bit 951" "0,1" textline " " bitfld.long 0x00 22. " GMB950 ,Group Modifier Bit 950" "0,1" bitfld.long 0x00 21. " GMB949 ,Group Modifier Bit 949" "0,1" bitfld.long 0x00 20. " GMB948 ,Group Modifier Bit 948" "0,1" textline " " bitfld.long 0x00 19. " GMB947 ,Group Modifier Bit 947" "0,1" bitfld.long 0x00 18. " GMB946 ,Group Modifier Bit 946" "0,1" bitfld.long 0x00 17. " GMB945 ,Group Modifier Bit 945" "0,1" textline " " bitfld.long 0x00 16. " GMB944 ,Group Modifier Bit 944" "0,1" bitfld.long 0x00 15. " GMB943 ,Group Modifier Bit 943" "0,1" bitfld.long 0x00 14. " GMB942 ,Group Modifier Bit 942" "0,1" textline " " bitfld.long 0x00 13. " GMB941 ,Group Modifier Bit 941" "0,1" bitfld.long 0x00 12. " GMB940 ,Group Modifier Bit 940" "0,1" bitfld.long 0x00 11. " GMB939 ,Group Modifier Bit 939" "0,1" textline " " bitfld.long 0x00 10. " GMB938 ,Group Modifier Bit 938" "0,1" bitfld.long 0x00 9. " GMB937 ,Group Modifier Bit 937" "0,1" bitfld.long 0x00 8. " GMB936 ,Group Modifier Bit 936" "0,1" textline " " bitfld.long 0x00 7. " GMB935 ,Group Modifier Bit 935" "0,1" bitfld.long 0x00 6. " GMB934 ,Group Modifier Bit 934" "0,1" bitfld.long 0x00 5. " GMB933 ,Group Modifier Bit 933" "0,1" textline " " bitfld.long 0x00 4. " GMB932 ,Group Modifier Bit 932" "0,1" bitfld.long 0x00 3. " GMB931 ,Group Modifier Bit 931" "0,1" bitfld.long 0x00 2. " GMB930 ,Group Modifier Bit 930" "0,1" textline " " bitfld.long 0x00 1. " GMB929 ,Group Modifier Bit 929" "0,1" bitfld.long 0x00 0. " GMB928 ,Group Modifier Bit 928" "0,1" else hgroup.long 0x0D74++0x03 hide.long 0x0 "GICD_IGRPMODR29,Interrupt Group Modifier Register 29" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0x0D78))&&(((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E)) group.long 0x0D78++0x03 line.long 0x0 "GICD_IGRPMODR30,Interrupt Group Modifier Register 30" bitfld.long 0x00 31. " GMB991 ,Group Modifier Bit 991" "0,1" bitfld.long 0x00 30. " GMB990 ,Group Modifier Bit 990" "0,1" bitfld.long 0x00 29. " GMB989 ,Group Modifier Bit 989" "0,1" textline " " bitfld.long 0x00 28. " GMB988 ,Group Modifier Bit 988" "0,1" bitfld.long 0x00 27. " GMB987 ,Group Modifier Bit 987" "0,1" bitfld.long 0x00 26. " GMB986 ,Group Modifier Bit 986" "0,1" textline " " bitfld.long 0x00 25. " GMB985 ,Group Modifier Bit 985" "0,1" bitfld.long 0x00 24. " GMB984 ,Group Modifier Bit 984" "0,1" bitfld.long 0x00 23. " GMB983 ,Group Modifier Bit 983" "0,1" textline " " bitfld.long 0x00 22. " GMB982 ,Group Modifier Bit 982" "0,1" bitfld.long 0x00 21. " GMB981 ,Group Modifier Bit 981" "0,1" bitfld.long 0x00 20. " GMB980 ,Group Modifier Bit 980" "0,1" textline " " bitfld.long 0x00 19. " GMB979 ,Group Modifier Bit 979" "0,1" bitfld.long 0x00 18. " GMB978 ,Group Modifier Bit 978" "0,1" bitfld.long 0x00 17. " GMB977 ,Group Modifier Bit 977" "0,1" textline " " bitfld.long 0x00 16. " GMB976 ,Group Modifier Bit 976" "0,1" bitfld.long 0x00 15. " GMB975 ,Group Modifier Bit 975" "0,1" bitfld.long 0x00 14. " GMB974 ,Group Modifier Bit 974" "0,1" textline " " bitfld.long 0x00 13. " GMB973 ,Group Modifier Bit 973" "0,1" bitfld.long 0x00 12. " GMB972 ,Group Modifier Bit 972" "0,1" bitfld.long 0x00 11. " GMB971 ,Group Modifier Bit 971" "0,1" textline " " bitfld.long 0x00 10. " GMB970 ,Group Modifier Bit 970" "0,1" bitfld.long 0x00 9. " GMB969 ,Group Modifier Bit 969" "0,1" bitfld.long 0x00 8. " GMB968 ,Group Modifier Bit 968" "0,1" textline " " bitfld.long 0x00 7. " GMB967 ,Group Modifier Bit 967" "0,1" bitfld.long 0x00 6. " GMB966 ,Group Modifier Bit 966" "0,1" bitfld.long 0x00 5. " GMB965 ,Group Modifier Bit 965" "0,1" textline " " bitfld.long 0x00 4. " GMB964 ,Group Modifier Bit 964" "0,1" bitfld.long 0x00 3. " GMB963 ,Group Modifier Bit 963" "0,1" bitfld.long 0x00 2. " GMB962 ,Group Modifier Bit 962" "0,1" textline " " bitfld.long 0x00 1. " GMB961 ,Group Modifier Bit 961" "0,1" bitfld.long 0x00 0. " GMB960 ,Group Modifier Bit 960" "0,1" else hgroup.long 0x0D78++0x03 hide.long 0x0 "GICD_IGRPMODR30,Interrupt Group Modifier Register 30" endif tree.end width 14. tree "Non-secure Access Control Registers" hgroup.long 0x0E00++0x03 hide.long 0x00 "GICD_NSACR0,Non-secure Access Control Register 0" hgroup.long 0xE04++0x03 hide.long 0x00 "GICD_NSACR1,Non-secure Access Control Register 1" if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE08))) group.long 0xE08++0x03 line.long 0x00 "GICD_NSACR2,Non-secure Access Control Register 2" bitfld.long 0x00 30.--31. " NS_ACCESS47 ,Controls Non-secure access of the interrupt with ID47 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS46 ,Controls Non-secure access of the interrupt with ID46 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS45 ,Controls Non-secure access of the interrupt with ID45 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS44 ,Controls Non-secure access of the interrupt with ID44 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS43 ,Controls Non-secure access of the interrupt with ID43 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS42 ,Controls Non-secure access of the interrupt with ID42 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS41 ,Controls Non-secure access of the interrupt with ID41 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS40 ,Controls Non-secure access of the interrupt with ID40 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS39 ,Controls Non-secure access of the interrupt with ID39 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS38 ,Controls Non-secure access of the interrupt with ID38 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS37 ,Controls Non-secure access of the interrupt with ID37 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS36 ,Controls Non-secure access of the interrupt with ID36 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS35 ,Controls Non-secure access of the interrupt with ID35 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS34 ,Controls Non-secure access of the interrupt with ID34 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS33 ,Controls Non-secure access of the interrupt with ID33 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS32 ,Controls Non-secure access of the interrupt with ID32 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE08++0x03 hide.long 0x00 "GICD_NSACR2,Non-secure Access Control Register 2" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE0C))) group.long 0xE0C++0x03 line.long 0x00 "GICD_NSACR3,Non-secure Access Control Register 3" bitfld.long 0x00 30.--31. " NS_ACCESS63 ,Controls Non-secure access of the interrupt with ID63 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS62 ,Controls Non-secure access of the interrupt with ID62 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS61 ,Controls Non-secure access of the interrupt with ID61 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS60 ,Controls Non-secure access of the interrupt with ID60 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS59 ,Controls Non-secure access of the interrupt with ID59 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS58 ,Controls Non-secure access of the interrupt with ID58 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS57 ,Controls Non-secure access of the interrupt with ID57 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS56 ,Controls Non-secure access of the interrupt with ID56 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS55 ,Controls Non-secure access of the interrupt with ID55 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS54 ,Controls Non-secure access of the interrupt with ID54 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS53 ,Controls Non-secure access of the interrupt with ID53 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS52 ,Controls Non-secure access of the interrupt with ID52 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS51 ,Controls Non-secure access of the interrupt with ID51 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS50 ,Controls Non-secure access of the interrupt with ID50 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS49 ,Controls Non-secure access of the interrupt with ID49 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS48 ,Controls Non-secure access of the interrupt with ID48 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE0C++0x03 hide.long 0x00 "GICD_NSACR3,Non-secure Access Control Register 3" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE10))) group.long 0xE10++0x03 line.long 0x00 "GICD_NSACR4,Non-secure Access Control Register 4" bitfld.long 0x00 30.--31. " NS_ACCESS79 ,Controls Non-secure access of the interrupt with ID79 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS78 ,Controls Non-secure access of the interrupt with ID78 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS77 ,Controls Non-secure access of the interrupt with ID77 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS76 ,Controls Non-secure access of the interrupt with ID76 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS75 ,Controls Non-secure access of the interrupt with ID75 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS74 ,Controls Non-secure access of the interrupt with ID74 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS73 ,Controls Non-secure access of the interrupt with ID73 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS72 ,Controls Non-secure access of the interrupt with ID72 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS71 ,Controls Non-secure access of the interrupt with ID71 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS70 ,Controls Non-secure access of the interrupt with ID70 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS69 ,Controls Non-secure access of the interrupt with ID69 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS68 ,Controls Non-secure access of the interrupt with ID68 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS67 ,Controls Non-secure access of the interrupt with ID67 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS66 ,Controls Non-secure access of the interrupt with ID66 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS65 ,Controls Non-secure access of the interrupt with ID65 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS64 ,Controls Non-secure access of the interrupt with ID64 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE10++0x03 hide.long 0x00 "GICD_NSACR4,Non-secure Access Control Register 4" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE14))) group.long 0xE14++0x03 line.long 0x00 "GICD_NSACR5,Non-secure Access Control Register 5" bitfld.long 0x00 30.--31. " NS_ACCESS95 ,Controls Non-secure access of the interrupt with ID95 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS94 ,Controls Non-secure access of the interrupt with ID94 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS93 ,Controls Non-secure access of the interrupt with ID93 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS92 ,Controls Non-secure access of the interrupt with ID92 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS91 ,Controls Non-secure access of the interrupt with ID91 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS90 ,Controls Non-secure access of the interrupt with ID90 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS89 ,Controls Non-secure access of the interrupt with ID89 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS88 ,Controls Non-secure access of the interrupt with ID88 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS87 ,Controls Non-secure access of the interrupt with ID87 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS86 ,Controls Non-secure access of the interrupt with ID86 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS85 ,Controls Non-secure access of the interrupt with ID85 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS84 ,Controls Non-secure access of the interrupt with ID84 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS83 ,Controls Non-secure access of the interrupt with ID83 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS82 ,Controls Non-secure access of the interrupt with ID82 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS81 ,Controls Non-secure access of the interrupt with ID81 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS80 ,Controls Non-secure access of the interrupt with ID80 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE14++0x03 hide.long 0x00 "GICD_NSACR5,Non-secure Access Control Register 5" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE18))) group.long 0xE18++0x03 line.long 0x00 "GICD_NSACR6,Non-secure Access Control Register 6" bitfld.long 0x00 30.--31. " NS_ACCESS111 ,Controls Non-secure access of the interrupt with ID111" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS110 ,Controls Non-secure access of the interrupt with ID110" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS109 ,Controls Non-secure access of the interrupt with ID109" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS108 ,Controls Non-secure access of the interrupt with ID108" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS107 ,Controls Non-secure access of the interrupt with ID107" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS106 ,Controls Non-secure access of the interrupt with ID106" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS105 ,Controls Non-secure access of the interrupt with ID105" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS104 ,Controls Non-secure access of the interrupt with ID104" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS103 ,Controls Non-secure access of the interrupt with ID103" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS102 ,Controls Non-secure access of the interrupt with ID102" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS101 ,Controls Non-secure access of the interrupt with ID101" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS100 ,Controls Non-secure access of the interrupt with ID100" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS99 ,Controls Non-secure access of the interrupt with ID99 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS98 ,Controls Non-secure access of the interrupt with ID98 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS97 ,Controls Non-secure access of the interrupt with ID97 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS96 ,Controls Non-secure access of the interrupt with ID96 " "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE18++0x03 hide.long 0x00 "GICD_NSACR6,Non-secure Access Control Register 6" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE1C))) group.long 0xE1C++0x03 line.long 0x00 "GICD_NSACR7,Non-secure Access Control Register 7" bitfld.long 0x00 30.--31. " NS_ACCESS127 ,Controls Non-secure access of the interrupt with ID127" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS126 ,Controls Non-secure access of the interrupt with ID126" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS125 ,Controls Non-secure access of the interrupt with ID125" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS124 ,Controls Non-secure access of the interrupt with ID124" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS123 ,Controls Non-secure access of the interrupt with ID123" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS122 ,Controls Non-secure access of the interrupt with ID122" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS121 ,Controls Non-secure access of the interrupt with ID121" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS120 ,Controls Non-secure access of the interrupt with ID120" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS119 ,Controls Non-secure access of the interrupt with ID119" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS118 ,Controls Non-secure access of the interrupt with ID118" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS117 ,Controls Non-secure access of the interrupt with ID117" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS116 ,Controls Non-secure access of the interrupt with ID116" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS115 ,Controls Non-secure access of the interrupt with ID115" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS114 ,Controls Non-secure access of the interrupt with ID114" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS113 ,Controls Non-secure access of the interrupt with ID113" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS112 ,Controls Non-secure access of the interrupt with ID112" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE1C++0x03 hide.long 0x00 "GICD_NSACR7,Non-secure Access Control Register 7" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE20))) group.long 0xE20++0x03 line.long 0x00 "GICD_NSACR8,Non-secure Access Control Register 8" bitfld.long 0x00 30.--31. " NS_ACCESS143 ,Controls Non-secure access of the interrupt with ID143" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS142 ,Controls Non-secure access of the interrupt with ID142" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS141 ,Controls Non-secure access of the interrupt with ID141" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS140 ,Controls Non-secure access of the interrupt with ID140" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS139 ,Controls Non-secure access of the interrupt with ID139" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS138 ,Controls Non-secure access of the interrupt with ID138" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS137 ,Controls Non-secure access of the interrupt with ID137" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS136 ,Controls Non-secure access of the interrupt with ID136" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS135 ,Controls Non-secure access of the interrupt with ID135" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS134 ,Controls Non-secure access of the interrupt with ID134" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS133 ,Controls Non-secure access of the interrupt with ID133" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS132 ,Controls Non-secure access of the interrupt with ID132" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS131 ,Controls Non-secure access of the interrupt with ID131" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS130 ,Controls Non-secure access of the interrupt with ID130" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS129 ,Controls Non-secure access of the interrupt with ID129" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS128 ,Controls Non-secure access of the interrupt with ID128" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE20++0x03 hide.long 0x00 "GICD_NSACR8,Non-secure Access Control Register 8" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE24))) group.long 0xE24++0x03 line.long 0x00 "GICD_NSACR9,Non-secure Access Control Register 9" bitfld.long 0x00 30.--31. " NS_ACCESS159 ,Controls Non-secure access of the interrupt with ID159" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS158 ,Controls Non-secure access of the interrupt with ID158" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS157 ,Controls Non-secure access of the interrupt with ID157" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS156 ,Controls Non-secure access of the interrupt with ID156" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS155 ,Controls Non-secure access of the interrupt with ID155" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS154 ,Controls Non-secure access of the interrupt with ID154" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS153 ,Controls Non-secure access of the interrupt with ID153" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS152 ,Controls Non-secure access of the interrupt with ID152" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS151 ,Controls Non-secure access of the interrupt with ID151" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS150 ,Controls Non-secure access of the interrupt with ID150" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS149 ,Controls Non-secure access of the interrupt with ID149" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS148 ,Controls Non-secure access of the interrupt with ID148" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS147 ,Controls Non-secure access of the interrupt with ID147" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS146 ,Controls Non-secure access of the interrupt with ID146" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS145 ,Controls Non-secure access of the interrupt with ID145" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS144 ,Controls Non-secure access of the interrupt with ID144" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE24++0x03 hide.long 0x00 "GICD_NSACR9,Non-secure Access Control Register 9" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE28))) group.long 0xE28++0x03 line.long 0x00 "GICD_NSACR10,Non-secure Access Control Register 10" bitfld.long 0x00 30.--31. " NS_ACCESS175 ,Controls Non-secure access of the interrupt with ID175" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS174 ,Controls Non-secure access of the interrupt with ID174" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS173 ,Controls Non-secure access of the interrupt with ID173" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS172 ,Controls Non-secure access of the interrupt with ID172" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS171 ,Controls Non-secure access of the interrupt with ID171" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS170 ,Controls Non-secure access of the interrupt with ID170" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS169 ,Controls Non-secure access of the interrupt with ID169" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS168 ,Controls Non-secure access of the interrupt with ID168" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS167 ,Controls Non-secure access of the interrupt with ID167" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS166 ,Controls Non-secure access of the interrupt with ID166" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS165 ,Controls Non-secure access of the interrupt with ID165" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS164 ,Controls Non-secure access of the interrupt with ID164" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS163 ,Controls Non-secure access of the interrupt with ID163" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS162 ,Controls Non-secure access of the interrupt with ID162" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS161 ,Controls Non-secure access of the interrupt with ID161" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS160 ,Controls Non-secure access of the interrupt with ID160" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE28++0x03 hide.long 0x00 "GICD_NSACR10,Non-secure Access Control Register 10" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE2C))) group.long 0xE2C++0x03 line.long 0x00 "GICD_NSACR11,Non-secure Access Control Register 11" bitfld.long 0x00 30.--31. " NS_ACCESS191 ,Controls Non-secure access of the interrupt with ID191" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS190 ,Controls Non-secure access of the interrupt with ID190" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS189 ,Controls Non-secure access of the interrupt with ID189" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS188 ,Controls Non-secure access of the interrupt with ID188" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS187 ,Controls Non-secure access of the interrupt with ID187" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS186 ,Controls Non-secure access of the interrupt with ID186" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS185 ,Controls Non-secure access of the interrupt with ID185" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS184 ,Controls Non-secure access of the interrupt with ID184" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS183 ,Controls Non-secure access of the interrupt with ID183" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS182 ,Controls Non-secure access of the interrupt with ID182" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS181 ,Controls Non-secure access of the interrupt with ID181" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS180 ,Controls Non-secure access of the interrupt with ID180" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS179 ,Controls Non-secure access of the interrupt with ID179" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS178 ,Controls Non-secure access of the interrupt with ID178" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS177 ,Controls Non-secure access of the interrupt with ID177" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS176 ,Controls Non-secure access of the interrupt with ID176" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE2C++0x03 hide.long 0x00 "GICD_NSACR11,Non-secure Access Control Register 11" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE30))) group.long 0xE30++0x03 line.long 0x00 "GICD_NSACR12,Non-secure Access Control Register 12" bitfld.long 0x00 30.--31. " NS_ACCESS207 ,Controls Non-secure access of the interrupt with ID207" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS206 ,Controls Non-secure access of the interrupt with ID206" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS205 ,Controls Non-secure access of the interrupt with ID205" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS204 ,Controls Non-secure access of the interrupt with ID204" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS203 ,Controls Non-secure access of the interrupt with ID203" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS202 ,Controls Non-secure access of the interrupt with ID202" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS201 ,Controls Non-secure access of the interrupt with ID201" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS200 ,Controls Non-secure access of the interrupt with ID200" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS199 ,Controls Non-secure access of the interrupt with ID199" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS198 ,Controls Non-secure access of the interrupt with ID198" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS197 ,Controls Non-secure access of the interrupt with ID197" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS196 ,Controls Non-secure access of the interrupt with ID196" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS195 ,Controls Non-secure access of the interrupt with ID195" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS194 ,Controls Non-secure access of the interrupt with ID194" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS193 ,Controls Non-secure access of the interrupt with ID193" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS192 ,Controls Non-secure access of the interrupt with ID192" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE30++0x03 hide.long 0x00 "GICD_NSACR12,Non-secure Access Control Register 12" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE34))) group.long 0xE34++0x03 line.long 0x00 "GICD_NSACR13,Non-secure Access Control Register 13" bitfld.long 0x00 30.--31. " NS_ACCESS223 ,Controls Non-secure access of the interrupt with ID223" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS222 ,Controls Non-secure access of the interrupt with ID222" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS221 ,Controls Non-secure access of the interrupt with ID221" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS220 ,Controls Non-secure access of the interrupt with ID220" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS219 ,Controls Non-secure access of the interrupt with ID219" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS218 ,Controls Non-secure access of the interrupt with ID218" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS217 ,Controls Non-secure access of the interrupt with ID217" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS216 ,Controls Non-secure access of the interrupt with ID216" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS215 ,Controls Non-secure access of the interrupt with ID215" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS214 ,Controls Non-secure access of the interrupt with ID214" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS213 ,Controls Non-secure access of the interrupt with ID213" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS212 ,Controls Non-secure access of the interrupt with ID212" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS211 ,Controls Non-secure access of the interrupt with ID211" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS210 ,Controls Non-secure access of the interrupt with ID210" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS209 ,Controls Non-secure access of the interrupt with ID209" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS208 ,Controls Non-secure access of the interrupt with ID208" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE34++0x03 hide.long 0x00 "GICD_NSACR13,Non-secure Access Control Register 13" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE38))) group.long 0xE38++0x03 line.long 0x00 "GICD_NSACR14,Non-secure Access Control Register 14" bitfld.long 0x00 30.--31. " NS_ACCESS239 ,Controls Non-secure access of the interrupt with ID239" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS238 ,Controls Non-secure access of the interrupt with ID238" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS237 ,Controls Non-secure access of the interrupt with ID237" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS236 ,Controls Non-secure access of the interrupt with ID236" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS235 ,Controls Non-secure access of the interrupt with ID235" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS234 ,Controls Non-secure access of the interrupt with ID234" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS233 ,Controls Non-secure access of the interrupt with ID233" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS232 ,Controls Non-secure access of the interrupt with ID232" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS231 ,Controls Non-secure access of the interrupt with ID231" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS230 ,Controls Non-secure access of the interrupt with ID230" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS229 ,Controls Non-secure access of the interrupt with ID229" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS228 ,Controls Non-secure access of the interrupt with ID228" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS227 ,Controls Non-secure access of the interrupt with ID227" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS226 ,Controls Non-secure access of the interrupt with ID226" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS225 ,Controls Non-secure access of the interrupt with ID225" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS224 ,Controls Non-secure access of the interrupt with ID224" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE38++0x03 hide.long 0x00 "GICD_NSACR14,Non-secure Access Control Register 14" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE3C))) group.long 0xE3C++0x03 line.long 0x00 "GICD_NSACR15,Non-secure Access Control Register 15" bitfld.long 0x00 30.--31. " NS_ACCESS255 ,Controls Non-secure access of the interrupt with ID255" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS254 ,Controls Non-secure access of the interrupt with ID254" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS253 ,Controls Non-secure access of the interrupt with ID253" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS252 ,Controls Non-secure access of the interrupt with ID252" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS251 ,Controls Non-secure access of the interrupt with ID251" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS250 ,Controls Non-secure access of the interrupt with ID250" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS249 ,Controls Non-secure access of the interrupt with ID249" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS248 ,Controls Non-secure access of the interrupt with ID248" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS247 ,Controls Non-secure access of the interrupt with ID247" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS246 ,Controls Non-secure access of the interrupt with ID246" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS245 ,Controls Non-secure access of the interrupt with ID245" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS244 ,Controls Non-secure access of the interrupt with ID244" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS243 ,Controls Non-secure access of the interrupt with ID243" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS242 ,Controls Non-secure access of the interrupt with ID242" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS241 ,Controls Non-secure access of the interrupt with ID241" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS240 ,Controls Non-secure access of the interrupt with ID240" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE3C++0x03 hide.long 0x00 "GICD_NSACR15,Non-secure Access Control Register 15" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE40))) group.long 0xE40++0x03 line.long 0x00 "GICD_NSACR16,Non-secure Access Control Register 16" bitfld.long 0x00 30.--31. " NS_ACCESS271 ,Controls Non-secure access of the interrupt with ID271" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS270 ,Controls Non-secure access of the interrupt with ID270" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS269 ,Controls Non-secure access of the interrupt with ID269" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS268 ,Controls Non-secure access of the interrupt with ID268" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS267 ,Controls Non-secure access of the interrupt with ID267" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS266 ,Controls Non-secure access of the interrupt with ID266" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS265 ,Controls Non-secure access of the interrupt with ID265" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS264 ,Controls Non-secure access of the interrupt with ID264" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS263 ,Controls Non-secure access of the interrupt with ID263" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS262 ,Controls Non-secure access of the interrupt with ID262" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS261 ,Controls Non-secure access of the interrupt with ID261" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS260 ,Controls Non-secure access of the interrupt with ID260" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS259 ,Controls Non-secure access of the interrupt with ID259" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS258 ,Controls Non-secure access of the interrupt with ID258" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS257 ,Controls Non-secure access of the interrupt with ID257" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS256 ,Controls Non-secure access of the interrupt with ID256" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE40++0x03 hide.long 0x00 "GICD_NSACR16,Non-secure Access Control Register 16" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE44))) group.long 0xE44++0x03 line.long 0x00 "GICD_NSACR17,Non-secure Access Control Register 17" bitfld.long 0x00 30.--31. " NS_ACCESS287 ,Controls Non-secure access of the interrupt with ID287" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS286 ,Controls Non-secure access of the interrupt with ID286" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS285 ,Controls Non-secure access of the interrupt with ID285" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS284 ,Controls Non-secure access of the interrupt with ID284" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS283 ,Controls Non-secure access of the interrupt with ID283" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS282 ,Controls Non-secure access of the interrupt with ID282" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS281 ,Controls Non-secure access of the interrupt with ID281" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS280 ,Controls Non-secure access of the interrupt with ID280" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS279 ,Controls Non-secure access of the interrupt with ID279" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS278 ,Controls Non-secure access of the interrupt with ID278" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS277 ,Controls Non-secure access of the interrupt with ID277" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS276 ,Controls Non-secure access of the interrupt with ID276" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS275 ,Controls Non-secure access of the interrupt with ID275" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS274 ,Controls Non-secure access of the interrupt with ID274" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS273 ,Controls Non-secure access of the interrupt with ID273" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS272 ,Controls Non-secure access of the interrupt with ID272" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE44++0x03 hide.long 0x00 "GICD_NSACR17,Non-secure Access Control Register 17" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE48))) group.long 0xE48++0x03 line.long 0x00 "GICD_NSACR18,Non-secure Access Control Register 18" bitfld.long 0x00 30.--31. " NS_ACCESS303 ,Controls Non-secure access of the interrupt with ID303" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS302 ,Controls Non-secure access of the interrupt with ID302" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS301 ,Controls Non-secure access of the interrupt with ID301" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS300 ,Controls Non-secure access of the interrupt with ID300" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS299 ,Controls Non-secure access of the interrupt with ID299" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS298 ,Controls Non-secure access of the interrupt with ID298" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS297 ,Controls Non-secure access of the interrupt with ID297" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS296 ,Controls Non-secure access of the interrupt with ID296" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS295 ,Controls Non-secure access of the interrupt with ID295" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS294 ,Controls Non-secure access of the interrupt with ID294" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS293 ,Controls Non-secure access of the interrupt with ID293" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS292 ,Controls Non-secure access of the interrupt with ID292" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS291 ,Controls Non-secure access of the interrupt with ID291" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS290 ,Controls Non-secure access of the interrupt with ID290" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS289 ,Controls Non-secure access of the interrupt with ID289" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS288 ,Controls Non-secure access of the interrupt with ID288" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE48++0x03 hide.long 0x00 "GICD_NSACR18,Non-secure Access Control Register 18" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE4C))) group.long 0xE4C++0x03 line.long 0x00 "GICD_NSACR19,Non-secure Access Control Register 19" bitfld.long 0x00 30.--31. " NS_ACCESS319 ,Controls Non-secure access of the interrupt with ID319" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS318 ,Controls Non-secure access of the interrupt with ID318" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS317 ,Controls Non-secure access of the interrupt with ID317" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS316 ,Controls Non-secure access of the interrupt with ID316" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS315 ,Controls Non-secure access of the interrupt with ID315" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS314 ,Controls Non-secure access of the interrupt with ID314" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS313 ,Controls Non-secure access of the interrupt with ID313" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS312 ,Controls Non-secure access of the interrupt with ID312" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS311 ,Controls Non-secure access of the interrupt with ID311" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS310 ,Controls Non-secure access of the interrupt with ID310" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS309 ,Controls Non-secure access of the interrupt with ID309" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS308 ,Controls Non-secure access of the interrupt with ID308" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS307 ,Controls Non-secure access of the interrupt with ID307" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS306 ,Controls Non-secure access of the interrupt with ID306" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS305 ,Controls Non-secure access of the interrupt with ID305" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS304 ,Controls Non-secure access of the interrupt with ID304" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE4C++0x03 hide.long 0x00 "GICD_NSACR19,Non-secure Access Control Register 19" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE50))) group.long 0xE50++0x03 line.long 0x00 "GICD_NSACR20,Non-secure Access Control Register 20" bitfld.long 0x00 30.--31. " NS_ACCESS335 ,Controls Non-secure access of the interrupt with ID335" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS334 ,Controls Non-secure access of the interrupt with ID334" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS333 ,Controls Non-secure access of the interrupt with ID333" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS332 ,Controls Non-secure access of the interrupt with ID332" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS331 ,Controls Non-secure access of the interrupt with ID331" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS330 ,Controls Non-secure access of the interrupt with ID330" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS329 ,Controls Non-secure access of the interrupt with ID329" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS328 ,Controls Non-secure access of the interrupt with ID328" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS327 ,Controls Non-secure access of the interrupt with ID327" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS326 ,Controls Non-secure access of the interrupt with ID326" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS325 ,Controls Non-secure access of the interrupt with ID325" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS324 ,Controls Non-secure access of the interrupt with ID324" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS323 ,Controls Non-secure access of the interrupt with ID323" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS322 ,Controls Non-secure access of the interrupt with ID322" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS321 ,Controls Non-secure access of the interrupt with ID321" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS320 ,Controls Non-secure access of the interrupt with ID320" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE50++0x03 hide.long 0x00 "GICD_NSACR20,Non-secure Access Control Register 20" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE54))) group.long 0xE54++0x03 line.long 0x00 "GICD_NSACR21,Non-secure Access Control Register 21" bitfld.long 0x00 30.--31. " NS_ACCESS351 ,Controls Non-secure access of the interrupt with ID351" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS350 ,Controls Non-secure access of the interrupt with ID350" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS349 ,Controls Non-secure access of the interrupt with ID349" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS348 ,Controls Non-secure access of the interrupt with ID348" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS347 ,Controls Non-secure access of the interrupt with ID347" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS346 ,Controls Non-secure access of the interrupt with ID346" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS345 ,Controls Non-secure access of the interrupt with ID345" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS344 ,Controls Non-secure access of the interrupt with ID344" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS343 ,Controls Non-secure access of the interrupt with ID343" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS342 ,Controls Non-secure access of the interrupt with ID342" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS341 ,Controls Non-secure access of the interrupt with ID341" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS340 ,Controls Non-secure access of the interrupt with ID340" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS339 ,Controls Non-secure access of the interrupt with ID339" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS338 ,Controls Non-secure access of the interrupt with ID338" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS337 ,Controls Non-secure access of the interrupt with ID337" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS336 ,Controls Non-secure access of the interrupt with ID336" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE54++0x03 hide.long 0x00 "GICD_NSACR21,Non-secure Access Control Register 21" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE58))) group.long 0xE58++0x03 line.long 0x00 "GICD_NSACR22,Non-secure Access Control Register 22" bitfld.long 0x00 30.--31. " NS_ACCESS367 ,Controls Non-secure access of the interrupt with ID367" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS366 ,Controls Non-secure access of the interrupt with ID366" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS365 ,Controls Non-secure access of the interrupt with ID365" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS364 ,Controls Non-secure access of the interrupt with ID364" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS363 ,Controls Non-secure access of the interrupt with ID363" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS362 ,Controls Non-secure access of the interrupt with ID362" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS361 ,Controls Non-secure access of the interrupt with ID361" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS360 ,Controls Non-secure access of the interrupt with ID360" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS359 ,Controls Non-secure access of the interrupt with ID359" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS358 ,Controls Non-secure access of the interrupt with ID358" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS357 ,Controls Non-secure access of the interrupt with ID357" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS356 ,Controls Non-secure access of the interrupt with ID356" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS355 ,Controls Non-secure access of the interrupt with ID355" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS354 ,Controls Non-secure access of the interrupt with ID354" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS353 ,Controls Non-secure access of the interrupt with ID353" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS352 ,Controls Non-secure access of the interrupt with ID352" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE58++0x03 hide.long 0x00 "GICD_NSACR22,Non-secure Access Control Register 22" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE5C))) group.long 0xE5C++0x03 line.long 0x00 "GICD_NSACR23,Non-secure Access Control Register 23" bitfld.long 0x00 30.--31. " NS_ACCESS383 ,Controls Non-secure access of the interrupt with ID383" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS382 ,Controls Non-secure access of the interrupt with ID382" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS381 ,Controls Non-secure access of the interrupt with ID381" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS380 ,Controls Non-secure access of the interrupt with ID380" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS379 ,Controls Non-secure access of the interrupt with ID379" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS378 ,Controls Non-secure access of the interrupt with ID378" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS377 ,Controls Non-secure access of the interrupt with ID377" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS376 ,Controls Non-secure access of the interrupt with ID376" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS375 ,Controls Non-secure access of the interrupt with ID375" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS374 ,Controls Non-secure access of the interrupt with ID374" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS373 ,Controls Non-secure access of the interrupt with ID373" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS372 ,Controls Non-secure access of the interrupt with ID372" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS371 ,Controls Non-secure access of the interrupt with ID371" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS370 ,Controls Non-secure access of the interrupt with ID370" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS369 ,Controls Non-secure access of the interrupt with ID369" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS368 ,Controls Non-secure access of the interrupt with ID368" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE5C++0x03 hide.long 0x00 "GICD_NSACR23,Non-secure Access Control Register 23" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE60))) group.long 0xE60++0x03 line.long 0x00 "GICD_NSACR24,Non-secure Access Control Register 24" bitfld.long 0x00 30.--31. " NS_ACCESS399 ,Controls Non-secure access of the interrupt with ID399" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS398 ,Controls Non-secure access of the interrupt with ID398" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS397 ,Controls Non-secure access of the interrupt with ID397" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS396 ,Controls Non-secure access of the interrupt with ID396" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS395 ,Controls Non-secure access of the interrupt with ID395" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS394 ,Controls Non-secure access of the interrupt with ID394" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS393 ,Controls Non-secure access of the interrupt with ID393" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS392 ,Controls Non-secure access of the interrupt with ID392" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS391 ,Controls Non-secure access of the interrupt with ID391" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS390 ,Controls Non-secure access of the interrupt with ID390" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS389 ,Controls Non-secure access of the interrupt with ID389" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS388 ,Controls Non-secure access of the interrupt with ID388" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS387 ,Controls Non-secure access of the interrupt with ID387" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS386 ,Controls Non-secure access of the interrupt with ID386" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS385 ,Controls Non-secure access of the interrupt with ID385" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS384 ,Controls Non-secure access of the interrupt with ID384" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE60++0x03 hide.long 0x00 "GICD_NSACR24,Non-secure Access Control Register 24" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE64))) group.long 0xE64++0x03 line.long 0x00 "GICD_NSACR25,Non-secure Access Control Register 25" bitfld.long 0x00 30.--31. " NS_ACCESS415 ,Controls Non-secure access of the interrupt with ID415" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS414 ,Controls Non-secure access of the interrupt with ID414" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS413 ,Controls Non-secure access of the interrupt with ID413" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS412 ,Controls Non-secure access of the interrupt with ID412" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS411 ,Controls Non-secure access of the interrupt with ID411" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS410 ,Controls Non-secure access of the interrupt with ID410" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS409 ,Controls Non-secure access of the interrupt with ID409" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS408 ,Controls Non-secure access of the interrupt with ID408" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS407 ,Controls Non-secure access of the interrupt with ID407" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS406 ,Controls Non-secure access of the interrupt with ID406" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS405 ,Controls Non-secure access of the interrupt with ID405" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS404 ,Controls Non-secure access of the interrupt with ID404" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS403 ,Controls Non-secure access of the interrupt with ID403" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS402 ,Controls Non-secure access of the interrupt with ID402" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS401 ,Controls Non-secure access of the interrupt with ID401" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS400 ,Controls Non-secure access of the interrupt with ID400" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE64++0x03 hide.long 0x00 "GICD_NSACR25,Non-secure Access Control Register 25" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE68))) group.long 0xE68++0x03 line.long 0x00 "GICD_NSACR26,Non-secure Access Control Register 26" bitfld.long 0x00 30.--31. " NS_ACCESS431 ,Controls Non-secure access of the interrupt with ID431" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS430 ,Controls Non-secure access of the interrupt with ID430" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS429 ,Controls Non-secure access of the interrupt with ID429" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS428 ,Controls Non-secure access of the interrupt with ID428" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS427 ,Controls Non-secure access of the interrupt with ID427" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS426 ,Controls Non-secure access of the interrupt with ID426" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS425 ,Controls Non-secure access of the interrupt with ID425" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS424 ,Controls Non-secure access of the interrupt with ID424" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS423 ,Controls Non-secure access of the interrupt with ID423" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS422 ,Controls Non-secure access of the interrupt with ID422" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS421 ,Controls Non-secure access of the interrupt with ID421" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS420 ,Controls Non-secure access of the interrupt with ID420" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS419 ,Controls Non-secure access of the interrupt with ID419" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS418 ,Controls Non-secure access of the interrupt with ID418" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS417 ,Controls Non-secure access of the interrupt with ID417" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS416 ,Controls Non-secure access of the interrupt with ID416" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE68++0x03 hide.long 0x00 "GICD_NSACR26,Non-secure Access Control Register 26" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE6C))) group.long 0xE6C++0x03 line.long 0x00 "GICD_NSACR27,Non-secure Access Control Register 27" bitfld.long 0x00 30.--31. " NS_ACCESS447 ,Controls Non-secure access of the interrupt with ID447" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS446 ,Controls Non-secure access of the interrupt with ID446" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS445 ,Controls Non-secure access of the interrupt with ID445" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS444 ,Controls Non-secure access of the interrupt with ID444" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS443 ,Controls Non-secure access of the interrupt with ID443" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS442 ,Controls Non-secure access of the interrupt with ID442" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS441 ,Controls Non-secure access of the interrupt with ID441" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS440 ,Controls Non-secure access of the interrupt with ID440" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS439 ,Controls Non-secure access of the interrupt with ID439" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS438 ,Controls Non-secure access of the interrupt with ID438" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS437 ,Controls Non-secure access of the interrupt with ID437" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS436 ,Controls Non-secure access of the interrupt with ID436" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS435 ,Controls Non-secure access of the interrupt with ID435" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS434 ,Controls Non-secure access of the interrupt with ID434" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS433 ,Controls Non-secure access of the interrupt with ID433" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS432 ,Controls Non-secure access of the interrupt with ID432" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE6C++0x03 hide.long 0x00 "GICD_NSACR27,Non-secure Access Control Register 27" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE70))) group.long 0xE70++0x03 line.long 0x00 "GICD_NSACR28,Non-secure Access Control Register 28" bitfld.long 0x00 30.--31. " NS_ACCESS463 ,Controls Non-secure access of the interrupt with ID463" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS462 ,Controls Non-secure access of the interrupt with ID462" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS461 ,Controls Non-secure access of the interrupt with ID461" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS460 ,Controls Non-secure access of the interrupt with ID460" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS459 ,Controls Non-secure access of the interrupt with ID459" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS458 ,Controls Non-secure access of the interrupt with ID458" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS457 ,Controls Non-secure access of the interrupt with ID457" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS456 ,Controls Non-secure access of the interrupt with ID456" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS455 ,Controls Non-secure access of the interrupt with ID455" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS454 ,Controls Non-secure access of the interrupt with ID454" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS453 ,Controls Non-secure access of the interrupt with ID453" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS452 ,Controls Non-secure access of the interrupt with ID452" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS451 ,Controls Non-secure access of the interrupt with ID451" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS450 ,Controls Non-secure access of the interrupt with ID450" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS449 ,Controls Non-secure access of the interrupt with ID449" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS448 ,Controls Non-secure access of the interrupt with ID448" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE70++0x03 hide.long 0x00 "GICD_NSACR28,Non-secure Access Control Register 28" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE74))) group.long 0xE74++0x03 line.long 0x00 "GICD_NSACR29,Non-secure Access Control Register 29" bitfld.long 0x00 30.--31. " NS_ACCESS479 ,Controls Non-secure access of the interrupt with ID479" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS478 ,Controls Non-secure access of the interrupt with ID478" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS477 ,Controls Non-secure access of the interrupt with ID477" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS476 ,Controls Non-secure access of the interrupt with ID476" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS475 ,Controls Non-secure access of the interrupt with ID475" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS474 ,Controls Non-secure access of the interrupt with ID474" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS473 ,Controls Non-secure access of the interrupt with ID473" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS472 ,Controls Non-secure access of the interrupt with ID472" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS471 ,Controls Non-secure access of the interrupt with ID471" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS470 ,Controls Non-secure access of the interrupt with ID470" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS469 ,Controls Non-secure access of the interrupt with ID469" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS468 ,Controls Non-secure access of the interrupt with ID468" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS467 ,Controls Non-secure access of the interrupt with ID467" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS466 ,Controls Non-secure access of the interrupt with ID466" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS465 ,Controls Non-secure access of the interrupt with ID465" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS464 ,Controls Non-secure access of the interrupt with ID464" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE74++0x03 hide.long 0x00 "GICD_NSACR29,Non-secure Access Control Register 29" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE78))) group.long 0xE78++0x03 line.long 0x00 "GICD_NSACR30,Non-secure Access Control Register 30" bitfld.long 0x00 30.--31. " NS_ACCESS495 ,Controls Non-secure access of the interrupt with ID495" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS494 ,Controls Non-secure access of the interrupt with ID494" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS493 ,Controls Non-secure access of the interrupt with ID493" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS492 ,Controls Non-secure access of the interrupt with ID492" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS491 ,Controls Non-secure access of the interrupt with ID491" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS490 ,Controls Non-secure access of the interrupt with ID490" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS489 ,Controls Non-secure access of the interrupt with ID489" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS488 ,Controls Non-secure access of the interrupt with ID488" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS487 ,Controls Non-secure access of the interrupt with ID487" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS486 ,Controls Non-secure access of the interrupt with ID486" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS485 ,Controls Non-secure access of the interrupt with ID485" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS484 ,Controls Non-secure access of the interrupt with ID484" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS483 ,Controls Non-secure access of the interrupt with ID483" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS482 ,Controls Non-secure access of the interrupt with ID482" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS481 ,Controls Non-secure access of the interrupt with ID481" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS480 ,Controls Non-secure access of the interrupt with ID480" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE78++0x03 hide.long 0x00 "GICD_NSACR30,Non-secure Access Control Register 30" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE7C))) group.long 0xE7C++0x03 line.long 0x00 "GICD_NSACR31,Non-secure Access Control Register 31" bitfld.long 0x00 30.--31. " NS_ACCESS511 ,Controls Non-secure access of the interrupt with ID511" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS510 ,Controls Non-secure access of the interrupt with ID510" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS509 ,Controls Non-secure access of the interrupt with ID509" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS508 ,Controls Non-secure access of the interrupt with ID508" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS507 ,Controls Non-secure access of the interrupt with ID507" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS506 ,Controls Non-secure access of the interrupt with ID506" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS505 ,Controls Non-secure access of the interrupt with ID505" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS504 ,Controls Non-secure access of the interrupt with ID504" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS503 ,Controls Non-secure access of the interrupt with ID503" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS502 ,Controls Non-secure access of the interrupt with ID502" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS501 ,Controls Non-secure access of the interrupt with ID501" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS500 ,Controls Non-secure access of the interrupt with ID500" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS499 ,Controls Non-secure access of the interrupt with ID499" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS498 ,Controls Non-secure access of the interrupt with ID498" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS497 ,Controls Non-secure access of the interrupt with ID497" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS496 ,Controls Non-secure access of the interrupt with ID496" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE7C++0x03 hide.long 0x00 "GICD_NSACR31,Non-secure Access Control Register 31" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE80))) group.long 0xE80++0x03 line.long 0x00 "GICD_NSACR32,Non-secure Access Control Register 32" bitfld.long 0x00 30.--31. " NS_ACCESS527 ,Controls Non-secure access of the interrupt with ID527" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS526 ,Controls Non-secure access of the interrupt with ID526" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS525 ,Controls Non-secure access of the interrupt with ID525" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS524 ,Controls Non-secure access of the interrupt with ID524" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS523 ,Controls Non-secure access of the interrupt with ID523" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS522 ,Controls Non-secure access of the interrupt with ID522" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS521 ,Controls Non-secure access of the interrupt with ID521" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS520 ,Controls Non-secure access of the interrupt with ID520" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS519 ,Controls Non-secure access of the interrupt with ID519" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS518 ,Controls Non-secure access of the interrupt with ID518" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS517 ,Controls Non-secure access of the interrupt with ID517" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS516 ,Controls Non-secure access of the interrupt with ID516" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS515 ,Controls Non-secure access of the interrupt with ID515" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS514 ,Controls Non-secure access of the interrupt with ID514" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS513 ,Controls Non-secure access of the interrupt with ID513" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS512 ,Controls Non-secure access of the interrupt with ID512" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE80++0x03 hide.long 0x00 "GICD_NSACR32,Non-secure Access Control Register 32" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE84))) group.long 0xE84++0x03 line.long 0x00 "GICD_NSACR33,Non-secure Access Control Register 33" bitfld.long 0x00 30.--31. " NS_ACCESS543 ,Controls Non-secure access of the interrupt with ID543" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS542 ,Controls Non-secure access of the interrupt with ID542" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS541 ,Controls Non-secure access of the interrupt with ID541" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS540 ,Controls Non-secure access of the interrupt with ID540" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS539 ,Controls Non-secure access of the interrupt with ID539" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS538 ,Controls Non-secure access of the interrupt with ID538" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS537 ,Controls Non-secure access of the interrupt with ID537" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS536 ,Controls Non-secure access of the interrupt with ID536" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS535 ,Controls Non-secure access of the interrupt with ID535" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS534 ,Controls Non-secure access of the interrupt with ID534" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS533 ,Controls Non-secure access of the interrupt with ID533" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS532 ,Controls Non-secure access of the interrupt with ID532" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS531 ,Controls Non-secure access of the interrupt with ID531" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS530 ,Controls Non-secure access of the interrupt with ID530" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS529 ,Controls Non-secure access of the interrupt with ID529" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS528 ,Controls Non-secure access of the interrupt with ID528" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE84++0x03 hide.long 0x00 "GICD_NSACR33,Non-secure Access Control Register 33" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE88))) group.long 0xE88++0x03 line.long 0x00 "GICD_NSACR34,Non-secure Access Control Register 34" bitfld.long 0x00 30.--31. " NS_ACCESS559 ,Controls Non-secure access of the interrupt with ID559" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS558 ,Controls Non-secure access of the interrupt with ID558" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS557 ,Controls Non-secure access of the interrupt with ID557" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS556 ,Controls Non-secure access of the interrupt with ID556" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS555 ,Controls Non-secure access of the interrupt with ID555" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS554 ,Controls Non-secure access of the interrupt with ID554" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS553 ,Controls Non-secure access of the interrupt with ID553" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS552 ,Controls Non-secure access of the interrupt with ID552" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS551 ,Controls Non-secure access of the interrupt with ID551" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS550 ,Controls Non-secure access of the interrupt with ID550" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS549 ,Controls Non-secure access of the interrupt with ID549" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS548 ,Controls Non-secure access of the interrupt with ID548" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS547 ,Controls Non-secure access of the interrupt with ID547" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS546 ,Controls Non-secure access of the interrupt with ID546" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS545 ,Controls Non-secure access of the interrupt with ID545" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS544 ,Controls Non-secure access of the interrupt with ID544" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE88++0x03 hide.long 0x00 "GICD_NSACR34,Non-secure Access Control Register 34" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE8C))) group.long 0xE8C++0x03 line.long 0x00 "GICD_NSACR35,Non-secure Access Control Register 35" bitfld.long 0x00 30.--31. " NS_ACCESS575 ,Controls Non-secure access of the interrupt with ID575" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS574 ,Controls Non-secure access of the interrupt with ID574" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS573 ,Controls Non-secure access of the interrupt with ID573" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS572 ,Controls Non-secure access of the interrupt with ID572" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS571 ,Controls Non-secure access of the interrupt with ID571" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS570 ,Controls Non-secure access of the interrupt with ID570" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS569 ,Controls Non-secure access of the interrupt with ID569" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS568 ,Controls Non-secure access of the interrupt with ID568" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS567 ,Controls Non-secure access of the interrupt with ID567" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS566 ,Controls Non-secure access of the interrupt with ID566" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS565 ,Controls Non-secure access of the interrupt with ID565" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS564 ,Controls Non-secure access of the interrupt with ID564" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS563 ,Controls Non-secure access of the interrupt with ID563" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS562 ,Controls Non-secure access of the interrupt with ID562" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS561 ,Controls Non-secure access of the interrupt with ID561" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS560 ,Controls Non-secure access of the interrupt with ID560" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE8C++0x03 hide.long 0x00 "GICD_NSACR35,Non-secure Access Control Register 35" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE90))) group.long 0xE90++0x03 line.long 0x00 "GICD_NSACR36,Non-secure Access Control Register 36" bitfld.long 0x00 30.--31. " NS_ACCESS591 ,Controls Non-secure access of the interrupt with ID591" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS590 ,Controls Non-secure access of the interrupt with ID590" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS589 ,Controls Non-secure access of the interrupt with ID589" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS588 ,Controls Non-secure access of the interrupt with ID588" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS587 ,Controls Non-secure access of the interrupt with ID587" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS586 ,Controls Non-secure access of the interrupt with ID586" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS585 ,Controls Non-secure access of the interrupt with ID585" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS584 ,Controls Non-secure access of the interrupt with ID584" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS583 ,Controls Non-secure access of the interrupt with ID583" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS582 ,Controls Non-secure access of the interrupt with ID582" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS581 ,Controls Non-secure access of the interrupt with ID581" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS580 ,Controls Non-secure access of the interrupt with ID580" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS579 ,Controls Non-secure access of the interrupt with ID579" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS578 ,Controls Non-secure access of the interrupt with ID578" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS577 ,Controls Non-secure access of the interrupt with ID577" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS576 ,Controls Non-secure access of the interrupt with ID576" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE90++0x03 hide.long 0x00 "GICD_NSACR36,Non-secure Access Control Register 36" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE94))) group.long 0xE94++0x03 line.long 0x00 "GICD_NSACR37,Non-secure Access Control Register 37" bitfld.long 0x00 30.--31. " NS_ACCESS607 ,Controls Non-secure access of the interrupt with ID607" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS606 ,Controls Non-secure access of the interrupt with ID606" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS605 ,Controls Non-secure access of the interrupt with ID605" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS604 ,Controls Non-secure access of the interrupt with ID604" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS603 ,Controls Non-secure access of the interrupt with ID603" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS602 ,Controls Non-secure access of the interrupt with ID602" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS601 ,Controls Non-secure access of the interrupt with ID601" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS600 ,Controls Non-secure access of the interrupt with ID600" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS599 ,Controls Non-secure access of the interrupt with ID599" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS598 ,Controls Non-secure access of the interrupt with ID598" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS597 ,Controls Non-secure access of the interrupt with ID597" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS596 ,Controls Non-secure access of the interrupt with ID596" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS595 ,Controls Non-secure access of the interrupt with ID595" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS594 ,Controls Non-secure access of the interrupt with ID594" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS593 ,Controls Non-secure access of the interrupt with ID593" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS592 ,Controls Non-secure access of the interrupt with ID592" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE94++0x03 hide.long 0x00 "GICD_NSACR37,Non-secure Access Control Register 37" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE98))) group.long 0xE98++0x03 line.long 0x00 "GICD_NSACR38,Non-secure Access Control Register 38" bitfld.long 0x00 30.--31. " NS_ACCESS623 ,Controls Non-secure access of the interrupt with ID623" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS622 ,Controls Non-secure access of the interrupt with ID622" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS621 ,Controls Non-secure access of the interrupt with ID621" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS620 ,Controls Non-secure access of the interrupt with ID620" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS619 ,Controls Non-secure access of the interrupt with ID619" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS618 ,Controls Non-secure access of the interrupt with ID618" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS617 ,Controls Non-secure access of the interrupt with ID617" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS616 ,Controls Non-secure access of the interrupt with ID616" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS615 ,Controls Non-secure access of the interrupt with ID615" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS614 ,Controls Non-secure access of the interrupt with ID614" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS613 ,Controls Non-secure access of the interrupt with ID613" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS612 ,Controls Non-secure access of the interrupt with ID612" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS611 ,Controls Non-secure access of the interrupt with ID611" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS610 ,Controls Non-secure access of the interrupt with ID610" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS609 ,Controls Non-secure access of the interrupt with ID609" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS608 ,Controls Non-secure access of the interrupt with ID608" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE98++0x03 hide.long 0x00 "GICD_NSACR38,Non-secure Access Control Register 38" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xE9C))) group.long 0xE9C++0x03 line.long 0x00 "GICD_NSACR39,Non-secure Access Control Register 39" bitfld.long 0x00 30.--31. " NS_ACCESS639 ,Controls Non-secure access of the interrupt with ID639" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS638 ,Controls Non-secure access of the interrupt with ID638" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS637 ,Controls Non-secure access of the interrupt with ID637" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS636 ,Controls Non-secure access of the interrupt with ID636" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS635 ,Controls Non-secure access of the interrupt with ID635" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS634 ,Controls Non-secure access of the interrupt with ID634" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS633 ,Controls Non-secure access of the interrupt with ID633" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS632 ,Controls Non-secure access of the interrupt with ID632" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS631 ,Controls Non-secure access of the interrupt with ID631" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS630 ,Controls Non-secure access of the interrupt with ID630" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS629 ,Controls Non-secure access of the interrupt with ID629" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS628 ,Controls Non-secure access of the interrupt with ID628" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS627 ,Controls Non-secure access of the interrupt with ID627" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS626 ,Controls Non-secure access of the interrupt with ID626" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS625 ,Controls Non-secure access of the interrupt with ID625" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS624 ,Controls Non-secure access of the interrupt with ID624" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xE9C++0x03 hide.long 0x00 "GICD_NSACR39,Non-secure Access Control Register 39" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEA0))) group.long 0xEA0++0x03 line.long 0x00 "GICD_NSACR40,Non-secure Access Control Register 40" bitfld.long 0x00 30.--31. " NS_ACCESS655 ,Controls Non-secure access of the interrupt with ID655" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS654 ,Controls Non-secure access of the interrupt with ID654" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS653 ,Controls Non-secure access of the interrupt with ID653" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS652 ,Controls Non-secure access of the interrupt with ID652" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS651 ,Controls Non-secure access of the interrupt with ID651" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS650 ,Controls Non-secure access of the interrupt with ID650" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS649 ,Controls Non-secure access of the interrupt with ID649" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS648 ,Controls Non-secure access of the interrupt with ID648" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS647 ,Controls Non-secure access of the interrupt with ID647" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS646 ,Controls Non-secure access of the interrupt with ID646" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS645 ,Controls Non-secure access of the interrupt with ID645" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS644 ,Controls Non-secure access of the interrupt with ID644" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS643 ,Controls Non-secure access of the interrupt with ID643" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS642 ,Controls Non-secure access of the interrupt with ID642" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS641 ,Controls Non-secure access of the interrupt with ID641" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS640 ,Controls Non-secure access of the interrupt with ID640" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEA0++0x03 hide.long 0x00 "GICD_NSACR40,Non-secure Access Control Register 40" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEA4))) group.long 0xEA4++0x03 line.long 0x00 "GICD_NSACR41,Non-secure Access Control Register 41" bitfld.long 0x00 30.--31. " NS_ACCESS671 ,Controls Non-secure access of the interrupt with ID671" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS670 ,Controls Non-secure access of the interrupt with ID670" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS669 ,Controls Non-secure access of the interrupt with ID669" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS668 ,Controls Non-secure access of the interrupt with ID668" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS667 ,Controls Non-secure access of the interrupt with ID667" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS666 ,Controls Non-secure access of the interrupt with ID666" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS665 ,Controls Non-secure access of the interrupt with ID665" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS664 ,Controls Non-secure access of the interrupt with ID664" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS663 ,Controls Non-secure access of the interrupt with ID663" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS662 ,Controls Non-secure access of the interrupt with ID662" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS661 ,Controls Non-secure access of the interrupt with ID661" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS660 ,Controls Non-secure access of the interrupt with ID660" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS659 ,Controls Non-secure access of the interrupt with ID659" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS658 ,Controls Non-secure access of the interrupt with ID658" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS657 ,Controls Non-secure access of the interrupt with ID657" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS656 ,Controls Non-secure access of the interrupt with ID656" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEA4++0x03 hide.long 0x00 "GICD_NSACR41,Non-secure Access Control Register 41" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEA8))) group.long 0xEA8++0x03 line.long 0x00 "GICD_NSACR42,Non-secure Access Control Register 42" bitfld.long 0x00 30.--31. " NS_ACCESS687 ,Controls Non-secure access of the interrupt with ID687" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS686 ,Controls Non-secure access of the interrupt with ID686" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS685 ,Controls Non-secure access of the interrupt with ID685" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS684 ,Controls Non-secure access of the interrupt with ID684" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS683 ,Controls Non-secure access of the interrupt with ID683" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS682 ,Controls Non-secure access of the interrupt with ID682" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS681 ,Controls Non-secure access of the interrupt with ID681" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS680 ,Controls Non-secure access of the interrupt with ID680" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS679 ,Controls Non-secure access of the interrupt with ID679" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS678 ,Controls Non-secure access of the interrupt with ID678" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS677 ,Controls Non-secure access of the interrupt with ID677" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS676 ,Controls Non-secure access of the interrupt with ID676" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS675 ,Controls Non-secure access of the interrupt with ID675" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS674 ,Controls Non-secure access of the interrupt with ID674" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS673 ,Controls Non-secure access of the interrupt with ID673" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS672 ,Controls Non-secure access of the interrupt with ID672" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEA8++0x03 hide.long 0x00 "GICD_NSACR42,Non-secure Access Control Register 42" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEAC))) group.long 0xEAC++0x03 line.long 0x00 "GICD_NSACR43,Non-secure Access Control Register 43" bitfld.long 0x00 30.--31. " NS_ACCESS703 ,Controls Non-secure access of the interrupt with ID703" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS702 ,Controls Non-secure access of the interrupt with ID702" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS701 ,Controls Non-secure access of the interrupt with ID701" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS700 ,Controls Non-secure access of the interrupt with ID700" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS699 ,Controls Non-secure access of the interrupt with ID699" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS698 ,Controls Non-secure access of the interrupt with ID698" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS697 ,Controls Non-secure access of the interrupt with ID697" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS696 ,Controls Non-secure access of the interrupt with ID696" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS695 ,Controls Non-secure access of the interrupt with ID695" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS694 ,Controls Non-secure access of the interrupt with ID694" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS693 ,Controls Non-secure access of the interrupt with ID693" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS692 ,Controls Non-secure access of the interrupt with ID692" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS691 ,Controls Non-secure access of the interrupt with ID691" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS690 ,Controls Non-secure access of the interrupt with ID690" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS689 ,Controls Non-secure access of the interrupt with ID689" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS688 ,Controls Non-secure access of the interrupt with ID688" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEAC++0x03 hide.long 0x00 "GICD_NSACR43,Non-secure Access Control Register 43" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEB0))) group.long 0xEB0++0x03 line.long 0x00 "GICD_NSACR44,Non-secure Access Control Register 44" bitfld.long 0x00 30.--31. " NS_ACCESS719 ,Controls Non-secure access of the interrupt with ID719" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS718 ,Controls Non-secure access of the interrupt with ID718" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS717 ,Controls Non-secure access of the interrupt with ID717" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS716 ,Controls Non-secure access of the interrupt with ID716" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS715 ,Controls Non-secure access of the interrupt with ID715" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS714 ,Controls Non-secure access of the interrupt with ID714" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS713 ,Controls Non-secure access of the interrupt with ID713" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS712 ,Controls Non-secure access of the interrupt with ID712" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS711 ,Controls Non-secure access of the interrupt with ID711" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS710 ,Controls Non-secure access of the interrupt with ID710" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS709 ,Controls Non-secure access of the interrupt with ID709" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS708 ,Controls Non-secure access of the interrupt with ID708" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS707 ,Controls Non-secure access of the interrupt with ID707" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS706 ,Controls Non-secure access of the interrupt with ID706" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS705 ,Controls Non-secure access of the interrupt with ID705" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS704 ,Controls Non-secure access of the interrupt with ID704" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEB0++0x03 hide.long 0x00 "GICD_NSACR44,Non-secure Access Control Register 44" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEB4))) group.long 0xEB4++0x03 line.long 0x00 "GICD_NSACR45,Non-secure Access Control Register 45" bitfld.long 0x00 30.--31. " NS_ACCESS735 ,Controls Non-secure access of the interrupt with ID735" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS734 ,Controls Non-secure access of the interrupt with ID734" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS733 ,Controls Non-secure access of the interrupt with ID733" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS732 ,Controls Non-secure access of the interrupt with ID732" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS731 ,Controls Non-secure access of the interrupt with ID731" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS730 ,Controls Non-secure access of the interrupt with ID730" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS729 ,Controls Non-secure access of the interrupt with ID729" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS728 ,Controls Non-secure access of the interrupt with ID728" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS727 ,Controls Non-secure access of the interrupt with ID727" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS726 ,Controls Non-secure access of the interrupt with ID726" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS725 ,Controls Non-secure access of the interrupt with ID725" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS724 ,Controls Non-secure access of the interrupt with ID724" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS723 ,Controls Non-secure access of the interrupt with ID723" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS722 ,Controls Non-secure access of the interrupt with ID722" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS721 ,Controls Non-secure access of the interrupt with ID721" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS720 ,Controls Non-secure access of the interrupt with ID720" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEB4++0x03 hide.long 0x00 "GICD_NSACR45,Non-secure Access Control Register 45" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEB8))) group.long 0xEB8++0x03 line.long 0x00 "GICD_NSACR46,Non-secure Access Control Register 46" bitfld.long 0x00 30.--31. " NS_ACCESS751 ,Controls Non-secure access of the interrupt with ID751" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS750 ,Controls Non-secure access of the interrupt with ID750" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS749 ,Controls Non-secure access of the interrupt with ID749" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS748 ,Controls Non-secure access of the interrupt with ID748" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS747 ,Controls Non-secure access of the interrupt with ID747" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS746 ,Controls Non-secure access of the interrupt with ID746" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS745 ,Controls Non-secure access of the interrupt with ID745" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS744 ,Controls Non-secure access of the interrupt with ID744" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS743 ,Controls Non-secure access of the interrupt with ID743" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS742 ,Controls Non-secure access of the interrupt with ID742" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS741 ,Controls Non-secure access of the interrupt with ID741" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS740 ,Controls Non-secure access of the interrupt with ID740" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS739 ,Controls Non-secure access of the interrupt with ID739" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS738 ,Controls Non-secure access of the interrupt with ID738" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS737 ,Controls Non-secure access of the interrupt with ID737" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS736 ,Controls Non-secure access of the interrupt with ID736" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEB8++0x03 hide.long 0x00 "GICD_NSACR46,Non-secure Access Control Register 46" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEBC))) group.long 0xEBC++0x03 line.long 0x00 "GICD_NSACR47,Non-secure Access Control Register 47" bitfld.long 0x00 30.--31. " NS_ACCESS767 ,Controls Non-secure access of the interrupt with ID767" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS766 ,Controls Non-secure access of the interrupt with ID766" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS765 ,Controls Non-secure access of the interrupt with ID765" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS764 ,Controls Non-secure access of the interrupt with ID764" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS763 ,Controls Non-secure access of the interrupt with ID763" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS762 ,Controls Non-secure access of the interrupt with ID762" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS761 ,Controls Non-secure access of the interrupt with ID761" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS760 ,Controls Non-secure access of the interrupt with ID760" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS759 ,Controls Non-secure access of the interrupt with ID759" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS758 ,Controls Non-secure access of the interrupt with ID758" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS757 ,Controls Non-secure access of the interrupt with ID757" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS756 ,Controls Non-secure access of the interrupt with ID756" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS755 ,Controls Non-secure access of the interrupt with ID755" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS754 ,Controls Non-secure access of the interrupt with ID754" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS753 ,Controls Non-secure access of the interrupt with ID753" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS752 ,Controls Non-secure access of the interrupt with ID752" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEBC++0x03 hide.long 0x00 "GICD_NSACR47,Non-secure Access Control Register 47" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEC0))) group.long 0xEC0++0x03 line.long 0x00 "GICD_NSACR48,Non-secure Access Control Register 48" bitfld.long 0x00 30.--31. " NS_ACCESS783 ,Controls Non-secure access of the interrupt with ID783" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS782 ,Controls Non-secure access of the interrupt with ID782" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS781 ,Controls Non-secure access of the interrupt with ID781" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS780 ,Controls Non-secure access of the interrupt with ID780" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS779 ,Controls Non-secure access of the interrupt with ID779" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS778 ,Controls Non-secure access of the interrupt with ID778" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS777 ,Controls Non-secure access of the interrupt with ID777" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS776 ,Controls Non-secure access of the interrupt with ID776" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS775 ,Controls Non-secure access of the interrupt with ID775" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS774 ,Controls Non-secure access of the interrupt with ID774" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS773 ,Controls Non-secure access of the interrupt with ID773" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS772 ,Controls Non-secure access of the interrupt with ID772" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS771 ,Controls Non-secure access of the interrupt with ID771" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS770 ,Controls Non-secure access of the interrupt with ID770" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS769 ,Controls Non-secure access of the interrupt with ID769" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS768 ,Controls Non-secure access of the interrupt with ID768" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEC0++0x03 hide.long 0x00 "GICD_NSACR48,Non-secure Access Control Register 48" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEC4))) group.long 0xEC4++0x03 line.long 0x00 "GICD_NSACR49,Non-secure Access Control Register 49" bitfld.long 0x00 30.--31. " NS_ACCESS799 ,Controls Non-secure access of the interrupt with ID799" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS798 ,Controls Non-secure access of the interrupt with ID798" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS797 ,Controls Non-secure access of the interrupt with ID797" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS796 ,Controls Non-secure access of the interrupt with ID796" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS795 ,Controls Non-secure access of the interrupt with ID795" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS794 ,Controls Non-secure access of the interrupt with ID794" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS793 ,Controls Non-secure access of the interrupt with ID793" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS792 ,Controls Non-secure access of the interrupt with ID792" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS791 ,Controls Non-secure access of the interrupt with ID791" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS790 ,Controls Non-secure access of the interrupt with ID790" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS789 ,Controls Non-secure access of the interrupt with ID789" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS788 ,Controls Non-secure access of the interrupt with ID788" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS787 ,Controls Non-secure access of the interrupt with ID787" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS786 ,Controls Non-secure access of the interrupt with ID786" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS785 ,Controls Non-secure access of the interrupt with ID785" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS784 ,Controls Non-secure access of the interrupt with ID784" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEC4++0x03 hide.long 0x00 "GICD_NSACR49,Non-secure Access Control Register 49" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEC8))) group.long 0xEC8++0x03 line.long 0x00 "GICD_NSACR50,Non-secure Access Control Register 50" bitfld.long 0x00 30.--31. " NS_ACCESS815 ,Controls Non-secure access of the interrupt with ID815" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS814 ,Controls Non-secure access of the interrupt with ID814" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS813 ,Controls Non-secure access of the interrupt with ID813" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS812 ,Controls Non-secure access of the interrupt with ID812" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS811 ,Controls Non-secure access of the interrupt with ID811" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS810 ,Controls Non-secure access of the interrupt with ID810" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS809 ,Controls Non-secure access of the interrupt with ID809" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS808 ,Controls Non-secure access of the interrupt with ID808" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS807 ,Controls Non-secure access of the interrupt with ID807" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS806 ,Controls Non-secure access of the interrupt with ID806" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS805 ,Controls Non-secure access of the interrupt with ID805" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS804 ,Controls Non-secure access of the interrupt with ID804" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS803 ,Controls Non-secure access of the interrupt with ID803" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS802 ,Controls Non-secure access of the interrupt with ID802" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS801 ,Controls Non-secure access of the interrupt with ID801" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS800 ,Controls Non-secure access of the interrupt with ID800" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEC8++0x03 hide.long 0x00 "GICD_NSACR50,Non-secure Access Control Register 50" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xECC))) group.long 0xECC++0x03 line.long 0x00 "GICD_NSACR51,Non-secure Access Control Register 51" bitfld.long 0x00 30.--31. " NS_ACCESS831 ,Controls Non-secure access of the interrupt with ID831" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS830 ,Controls Non-secure access of the interrupt with ID830" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS829 ,Controls Non-secure access of the interrupt with ID829" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS828 ,Controls Non-secure access of the interrupt with ID828" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS827 ,Controls Non-secure access of the interrupt with ID827" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS826 ,Controls Non-secure access of the interrupt with ID826" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS825 ,Controls Non-secure access of the interrupt with ID825" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS824 ,Controls Non-secure access of the interrupt with ID824" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS823 ,Controls Non-secure access of the interrupt with ID823" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS822 ,Controls Non-secure access of the interrupt with ID822" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS821 ,Controls Non-secure access of the interrupt with ID821" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS820 ,Controls Non-secure access of the interrupt with ID820" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS819 ,Controls Non-secure access of the interrupt with ID819" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS818 ,Controls Non-secure access of the interrupt with ID818" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS817 ,Controls Non-secure access of the interrupt with ID817" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS816 ,Controls Non-secure access of the interrupt with ID816" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xECC++0x03 hide.long 0x00 "GICD_NSACR51,Non-secure Access Control Register 51" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xED0))) group.long 0xED0++0x03 line.long 0x00 "GICD_NSACR52,Non-secure Access Control Register 52" bitfld.long 0x00 30.--31. " NS_ACCESS847 ,Controls Non-secure access of the interrupt with ID847" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS846 ,Controls Non-secure access of the interrupt with ID846" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS845 ,Controls Non-secure access of the interrupt with ID845" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS844 ,Controls Non-secure access of the interrupt with ID844" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS843 ,Controls Non-secure access of the interrupt with ID843" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS842 ,Controls Non-secure access of the interrupt with ID842" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS841 ,Controls Non-secure access of the interrupt with ID841" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS840 ,Controls Non-secure access of the interrupt with ID840" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS839 ,Controls Non-secure access of the interrupt with ID839" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS838 ,Controls Non-secure access of the interrupt with ID838" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS837 ,Controls Non-secure access of the interrupt with ID837" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS836 ,Controls Non-secure access of the interrupt with ID836" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS835 ,Controls Non-secure access of the interrupt with ID835" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS834 ,Controls Non-secure access of the interrupt with ID834" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS833 ,Controls Non-secure access of the interrupt with ID833" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS832 ,Controls Non-secure access of the interrupt with ID832" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xED0++0x03 hide.long 0x00 "GICD_NSACR52,Non-secure Access Control Register 52" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xED4))) group.long 0xED4++0x03 line.long 0x00 "GICD_NSACR53,Non-secure Access Control Register 53" bitfld.long 0x00 30.--31. " NS_ACCESS863 ,Controls Non-secure access of the interrupt with ID863" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS862 ,Controls Non-secure access of the interrupt with ID862" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS861 ,Controls Non-secure access of the interrupt with ID861" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS860 ,Controls Non-secure access of the interrupt with ID860" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS859 ,Controls Non-secure access of the interrupt with ID859" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS858 ,Controls Non-secure access of the interrupt with ID858" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS857 ,Controls Non-secure access of the interrupt with ID857" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS856 ,Controls Non-secure access of the interrupt with ID856" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS855 ,Controls Non-secure access of the interrupt with ID855" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS854 ,Controls Non-secure access of the interrupt with ID854" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS853 ,Controls Non-secure access of the interrupt with ID853" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS852 ,Controls Non-secure access of the interrupt with ID852" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS851 ,Controls Non-secure access of the interrupt with ID851" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS850 ,Controls Non-secure access of the interrupt with ID850" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS849 ,Controls Non-secure access of the interrupt with ID849" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS848 ,Controls Non-secure access of the interrupt with ID848" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xED4++0x03 hide.long 0x00 "GICD_NSACR53,Non-secure Access Control Register 53" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xED8))) group.long 0xED8++0x03 line.long 0x00 "GICD_NSACR54,Non-secure Access Control Register 54" bitfld.long 0x00 30.--31. " NS_ACCESS879 ,Controls Non-secure access of the interrupt with ID879" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS878 ,Controls Non-secure access of the interrupt with ID878" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS877 ,Controls Non-secure access of the interrupt with ID877" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS876 ,Controls Non-secure access of the interrupt with ID876" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS875 ,Controls Non-secure access of the interrupt with ID875" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS874 ,Controls Non-secure access of the interrupt with ID874" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS873 ,Controls Non-secure access of the interrupt with ID873" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS872 ,Controls Non-secure access of the interrupt with ID872" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS871 ,Controls Non-secure access of the interrupt with ID871" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS870 ,Controls Non-secure access of the interrupt with ID870" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS869 ,Controls Non-secure access of the interrupt with ID869" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS868 ,Controls Non-secure access of the interrupt with ID868" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS867 ,Controls Non-secure access of the interrupt with ID867" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS866 ,Controls Non-secure access of the interrupt with ID866" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS865 ,Controls Non-secure access of the interrupt with ID865" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS864 ,Controls Non-secure access of the interrupt with ID864" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xED8++0x03 hide.long 0x00 "GICD_NSACR54,Non-secure Access Control Register 54" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEDC))) group.long 0xEDC++0x03 line.long 0x00 "GICD_NSACR55,Non-secure Access Control Register 55" bitfld.long 0x00 30.--31. " NS_ACCESS895 ,Controls Non-secure access of the interrupt with ID895" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS894 ,Controls Non-secure access of the interrupt with ID894" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS893 ,Controls Non-secure access of the interrupt with ID893" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS892 ,Controls Non-secure access of the interrupt with ID892" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS891 ,Controls Non-secure access of the interrupt with ID891" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS890 ,Controls Non-secure access of the interrupt with ID890" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS889 ,Controls Non-secure access of the interrupt with ID889" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS888 ,Controls Non-secure access of the interrupt with ID888" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS887 ,Controls Non-secure access of the interrupt with ID887" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS886 ,Controls Non-secure access of the interrupt with ID886" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS885 ,Controls Non-secure access of the interrupt with ID885" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS884 ,Controls Non-secure access of the interrupt with ID884" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS883 ,Controls Non-secure access of the interrupt with ID883" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS882 ,Controls Non-secure access of the interrupt with ID882" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS881 ,Controls Non-secure access of the interrupt with ID881" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS880 ,Controls Non-secure access of the interrupt with ID880" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEDC++0x03 hide.long 0x00 "GICD_NSACR55,Non-secure Access Control Register 55" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEE0))) group.long 0xEE0++0x03 line.long 0x00 "GICD_NSACR56,Non-secure Access Control Register 56" bitfld.long 0x00 30.--31. " NS_ACCESS911 ,Controls Non-secure access of the interrupt with ID911" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS910 ,Controls Non-secure access of the interrupt with ID910" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS909 ,Controls Non-secure access of the interrupt with ID909" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS908 ,Controls Non-secure access of the interrupt with ID908" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS907 ,Controls Non-secure access of the interrupt with ID907" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS906 ,Controls Non-secure access of the interrupt with ID906" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS905 ,Controls Non-secure access of the interrupt with ID905" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS904 ,Controls Non-secure access of the interrupt with ID904" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS903 ,Controls Non-secure access of the interrupt with ID903" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS902 ,Controls Non-secure access of the interrupt with ID902" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS901 ,Controls Non-secure access of the interrupt with ID901" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS900 ,Controls Non-secure access of the interrupt with ID900" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS899 ,Controls Non-secure access of the interrupt with ID899" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS898 ,Controls Non-secure access of the interrupt with ID898" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS897 ,Controls Non-secure access of the interrupt with ID897" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS896 ,Controls Non-secure access of the interrupt with ID896" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEE0++0x03 hide.long 0x00 "GICD_NSACR56,Non-secure Access Control Register 56" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEE4))) group.long 0xEE4++0x03 line.long 0x00 "GICD_NSACR57,Non-secure Access Control Register 57" bitfld.long 0x00 30.--31. " NS_ACCESS927 ,Controls Non-secure access of the interrupt with ID927" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS926 ,Controls Non-secure access of the interrupt with ID926" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS925 ,Controls Non-secure access of the interrupt with ID925" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS924 ,Controls Non-secure access of the interrupt with ID924" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS923 ,Controls Non-secure access of the interrupt with ID923" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS922 ,Controls Non-secure access of the interrupt with ID922" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS921 ,Controls Non-secure access of the interrupt with ID921" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS920 ,Controls Non-secure access of the interrupt with ID920" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS919 ,Controls Non-secure access of the interrupt with ID919" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS918 ,Controls Non-secure access of the interrupt with ID918" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS917 ,Controls Non-secure access of the interrupt with ID917" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS916 ,Controls Non-secure access of the interrupt with ID916" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS915 ,Controls Non-secure access of the interrupt with ID915" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS914 ,Controls Non-secure access of the interrupt with ID914" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS913 ,Controls Non-secure access of the interrupt with ID913" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS912 ,Controls Non-secure access of the interrupt with ID912" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEE4++0x03 hide.long 0x00 "GICD_NSACR57,Non-secure Access Control Register 57" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEE8))) group.long 0xEE8++0x03 line.long 0x00 "GICD_NSACR58,Non-secure Access Control Register 58" bitfld.long 0x00 30.--31. " NS_ACCESS943 ,Controls Non-secure access of the interrupt with ID943" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS942 ,Controls Non-secure access of the interrupt with ID942" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS941 ,Controls Non-secure access of the interrupt with ID941" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS940 ,Controls Non-secure access of the interrupt with ID940" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS939 ,Controls Non-secure access of the interrupt with ID939" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS938 ,Controls Non-secure access of the interrupt with ID938" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS937 ,Controls Non-secure access of the interrupt with ID937" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS936 ,Controls Non-secure access of the interrupt with ID936" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS935 ,Controls Non-secure access of the interrupt with ID935" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS934 ,Controls Non-secure access of the interrupt with ID934" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS933 ,Controls Non-secure access of the interrupt with ID933" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS932 ,Controls Non-secure access of the interrupt with ID932" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS931 ,Controls Non-secure access of the interrupt with ID931" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS930 ,Controls Non-secure access of the interrupt with ID930" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS929 ,Controls Non-secure access of the interrupt with ID929" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS928 ,Controls Non-secure access of the interrupt with ID928" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEE8++0x03 hide.long 0x00 "GICD_NSACR58,Non-secure Access Control Register 58" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEEC))) group.long 0xEEC++0x03 line.long 0x00 "GICD_NSACR59,Non-secure Access Control Register 59" bitfld.long 0x00 30.--31. " NS_ACCESS959 ,Controls Non-secure access of the interrupt with ID959" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS958 ,Controls Non-secure access of the interrupt with ID958" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS957 ,Controls Non-secure access of the interrupt with ID957" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS956 ,Controls Non-secure access of the interrupt with ID956" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS955 ,Controls Non-secure access of the interrupt with ID955" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS954 ,Controls Non-secure access of the interrupt with ID954" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS953 ,Controls Non-secure access of the interrupt with ID953" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS952 ,Controls Non-secure access of the interrupt with ID952" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS951 ,Controls Non-secure access of the interrupt with ID951" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS950 ,Controls Non-secure access of the interrupt with ID950" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS949 ,Controls Non-secure access of the interrupt with ID949" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS948 ,Controls Non-secure access of the interrupt with ID948" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS947 ,Controls Non-secure access of the interrupt with ID947" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS946 ,Controls Non-secure access of the interrupt with ID946" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS945 ,Controls Non-secure access of the interrupt with ID945" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS944 ,Controls Non-secure access of the interrupt with ID944" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEEC++0x03 hide.long 0x00 "GICD_NSACR59,Non-secure Access Control Register 59" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEF0))) group.long 0xEF0++0x03 line.long 0x00 "GICD_NSACR60,Non-secure Access Control Register 60" bitfld.long 0x00 30.--31. " NS_ACCESS975 ,Controls Non-secure access of the interrupt with ID975" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS974 ,Controls Non-secure access of the interrupt with ID974" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS973 ,Controls Non-secure access of the interrupt with ID973" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS972 ,Controls Non-secure access of the interrupt with ID972" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS971 ,Controls Non-secure access of the interrupt with ID971" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS970 ,Controls Non-secure access of the interrupt with ID970" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS969 ,Controls Non-secure access of the interrupt with ID969" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS968 ,Controls Non-secure access of the interrupt with ID968" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS967 ,Controls Non-secure access of the interrupt with ID967" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS966 ,Controls Non-secure access of the interrupt with ID966" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS965 ,Controls Non-secure access of the interrupt with ID965" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS964 ,Controls Non-secure access of the interrupt with ID964" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS963 ,Controls Non-secure access of the interrupt with ID963" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS962 ,Controls Non-secure access of the interrupt with ID962" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS961 ,Controls Non-secure access of the interrupt with ID961" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS960 ,Controls Non-secure access of the interrupt with ID960" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEF0++0x03 hide.long 0x00 "GICD_NSACR60,Non-secure Access Control Register 60" endif if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICD",-1.)+0xEF4))) group.long 0xEF4++0x03 line.long 0x00 "GICD_NSACR61,Non-secure Access Control Register 61" bitfld.long 0x00 30.--31. " NS_ACCESS991 ,Controls Non-secure access of the interrupt with ID991" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 28.--29. " NS_ACCESS990 ,Controls Non-secure access of the interrupt with ID990" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 26.--27. " NS_ACCESS989 ,Controls Non-secure access of the interrupt with ID989" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 24.--25. " NS_ACCESS988 ,Controls Non-secure access of the interrupt with ID988" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 22.--23. " NS_ACCESS987 ,Controls Non-secure access of the interrupt with ID987" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 20.--21. " NS_ACCESS986 ,Controls Non-secure access of the interrupt with ID986" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 18.--19. " NS_ACCESS985 ,Controls Non-secure access of the interrupt with ID985" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 16.--17. " NS_ACCESS984 ,Controls Non-secure access of the interrupt with ID984" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 14.--15. " NS_ACCESS983 ,Controls Non-secure access of the interrupt with ID983" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 12.--13. " NS_ACCESS982 ,Controls Non-secure access of the interrupt with ID982" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 10.--11. " NS_ACCESS981 ,Controls Non-secure access of the interrupt with ID981" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 8.--9. " NS_ACCESS980 ,Controls Non-secure access of the interrupt with ID980" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 6.--7. " NS_ACCESS979 ,Controls Non-secure access of the interrupt with ID979" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 4.--5. " NS_ACCESS978 ,Controls Non-secure access of the interrupt with ID978" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 2.--3. " NS_ACCESS977 ,Controls Non-secure access of the interrupt with ID977" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" textline " " bitfld.long 0x00 0.--1. " NS_ACCESS976 ,Controls Non-secure access of the interrupt with ID976" "No access,SET_CLR_PENDR/SETSPI_NSR/SGIR,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER,SET_CLR_PENDR/SETSPI_NSR/SGIR/CLRSPI_NSR/SET_CLR_ACTIVER/ITARGETSR/IROUTER" else hgroup.long 0xEF4++0x03 hide.long 0x00 "GICD_NSACR61,Non-secure Access Control Register 61" endif tree.end width 25. tree "Software Generated Interrupt" if (((per.l(COMP.BASE("GICD",-1.)))&0x10)==0x10) hgroup.long 0x0F00++0x03 hide.long 0x00 "GICD_SGIR,Software Generated Interrupt Register" hgroup.long 0xF10++0x03 hide.long 0x00 "GICD_CLR_PENDSGIR0,SGI Clear Pending Register 0" hgroup.long 0xF14++0x03 hide.long 0x00 "GICD_CLR_PENDSGIR1,SGI Clear Pending Register 1" hgroup.long 0xF18++0x03 hide.long 0x00 "GICD_CLR_PENDSGIR2,SGI Clear Pending Register 2" hgroup.long 0xF1C++0x03 hide.long 0x00 "GICD_CLR_PENDSGIR3,SGI Clear Pending Register 3" hgroup.long 0xF20++0x03 hide.long 0x00 "GICD_SET_PENDSGIR0,SGI Set Pending Register 0" hgroup.long 0xF24++0x03 hide.long 0x00 "GICD_SET_PENDSGIR1,SGI Set Pending Register 1" hgroup.long 0xF28++0x03 hide.long 0x00 "GICD_SET_PENDSGIR2,SGI Set Pending Register 2" hgroup.long 0xF2C++0x03 hide.long 0x00 "GICD_SET_PENDSGIR3,SGI Set Pending Register 3" else wgroup.long 0x0F00++0x03 line.long 0x00 "GICD_SGIR,Software Generated Interrupt Register" group.long 0xF10++0x03 line.long 0x00 "GICD_CLR_PENDSGIR0,SGI Clear Pending Register 0" group.long 0xF14++0x03 line.long 0x00 "GICD_CLR_PENDSGIR1,SGI Clear Pending Register 1" group.long 0xF18++0x03 line.long 0x00 "GICD_CLR_PENDSGIR2,SGI Clear Pending Register 2" group.long 0xF1C++0x03 line.long 0x00 "GICD_CLR_PENDSGIR3,SGI Clear Pending Register 3" group.long 0xF20++0x03 line.long 0x00 "GICD_SET_PENDSGIR0,SGI Set Pending Register 0" group.long 0xF24++0x03 line.long 0x00 "GICD_SET_PENDSGIR1,SGI Set Pending Register 1" group.long 0xF28++0x03 line.long 0x00 "GICD_SET_PENDSGIR2,SGI Set Pending Register 2" group.long 0xF2C++0x03 line.long 0x00 "GICD_SET_PENDSGIR3,SGI Set Pending Register 3" endif tree.end width 24. tree "Interrupt Routing Registers" group.quad 0x6100++0x07 line.quad 0x00 "GICD_IROUTER32 ,Interrupt Routing Register 32 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6108++0x07 line.quad 0x00 "GICD_IROUTER33 ,Interrupt Routing Register 33 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6110++0x07 line.quad 0x00 "GICD_IROUTER34 ,Interrupt Routing Register 34 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6118++0x07 line.quad 0x00 "GICD_IROUTER35 ,Interrupt Routing Register 35 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6120++0x07 line.quad 0x00 "GICD_IROUTER36 ,Interrupt Routing Register 36 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6128++0x07 line.quad 0x00 "GICD_IROUTER37 ,Interrupt Routing Register 37 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6130++0x07 line.quad 0x00 "GICD_IROUTER38 ,Interrupt Routing Register 38 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6138++0x07 line.quad 0x00 "GICD_IROUTER39 ,Interrupt Routing Register 39 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6140++0x07 line.quad 0x00 "GICD_IROUTER40 ,Interrupt Routing Register 40 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6148++0x07 line.quad 0x00 "GICD_IROUTER41 ,Interrupt Routing Register 41 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6150++0x07 line.quad 0x00 "GICD_IROUTER42 ,Interrupt Routing Register 42 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6158++0x07 line.quad 0x00 "GICD_IROUTER43 ,Interrupt Routing Register 43 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6160++0x07 line.quad 0x00 "GICD_IROUTER44 ,Interrupt Routing Register 44 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6168++0x07 line.quad 0x00 "GICD_IROUTER45 ,Interrupt Routing Register 45 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6170++0x07 line.quad 0x00 "GICD_IROUTER46 ,Interrupt Routing Register 46 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6178++0x07 line.quad 0x00 "GICD_IROUTER47 ,Interrupt Routing Register 47 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6180++0x07 line.quad 0x00 "GICD_IROUTER48 ,Interrupt Routing Register 48 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6188++0x07 line.quad 0x00 "GICD_IROUTER49 ,Interrupt Routing Register 49 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6190++0x07 line.quad 0x00 "GICD_IROUTER50 ,Interrupt Routing Register 50 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6198++0x07 line.quad 0x00 "GICD_IROUTER51 ,Interrupt Routing Register 51 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61A0++0x07 line.quad 0x00 "GICD_IROUTER52 ,Interrupt Routing Register 52 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61A8++0x07 line.quad 0x00 "GICD_IROUTER53 ,Interrupt Routing Register 53 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61B0++0x07 line.quad 0x00 "GICD_IROUTER54 ,Interrupt Routing Register 54 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61B8++0x07 line.quad 0x00 "GICD_IROUTER55 ,Interrupt Routing Register 55 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61C0++0x07 line.quad 0x00 "GICD_IROUTER56 ,Interrupt Routing Register 56 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61C8++0x07 line.quad 0x00 "GICD_IROUTER57 ,Interrupt Routing Register 57 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61D0++0x07 line.quad 0x00 "GICD_IROUTER58 ,Interrupt Routing Register 58 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61D8++0x07 line.quad 0x00 "GICD_IROUTER59 ,Interrupt Routing Register 59 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61E0++0x07 line.quad 0x00 "GICD_IROUTER60 ,Interrupt Routing Register 60 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61E8++0x07 line.quad 0x00 "GICD_IROUTER61 ,Interrupt Routing Register 61 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61F0++0x07 line.quad 0x00 "GICD_IROUTER62 ,Interrupt Routing Register 62 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x61F8++0x07 line.quad 0x00 "GICD_IROUTER63 ,Interrupt Routing Register 63 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6200++0x07 line.quad 0x00 "GICD_IROUTER64 ,Interrupt Routing Register 64 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6208++0x07 line.quad 0x00 "GICD_IROUTER65 ,Interrupt Routing Register 65 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6210++0x07 line.quad 0x00 "GICD_IROUTER66 ,Interrupt Routing Register 66 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6218++0x07 line.quad 0x00 "GICD_IROUTER67 ,Interrupt Routing Register 67 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6220++0x07 line.quad 0x00 "GICD_IROUTER68 ,Interrupt Routing Register 68 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6228++0x07 line.quad 0x00 "GICD_IROUTER69 ,Interrupt Routing Register 69 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6230++0x07 line.quad 0x00 "GICD_IROUTER70 ,Interrupt Routing Register 70 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6238++0x07 line.quad 0x00 "GICD_IROUTER71 ,Interrupt Routing Register 71 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6240++0x07 line.quad 0x00 "GICD_IROUTER72 ,Interrupt Routing Register 72 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6248++0x07 line.quad 0x00 "GICD_IROUTER73 ,Interrupt Routing Register 73 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6250++0x07 line.quad 0x00 "GICD_IROUTER74 ,Interrupt Routing Register 74 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6258++0x07 line.quad 0x00 "GICD_IROUTER75 ,Interrupt Routing Register 75 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6260++0x07 line.quad 0x00 "GICD_IROUTER76 ,Interrupt Routing Register 76 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6268++0x07 line.quad 0x00 "GICD_IROUTER77 ,Interrupt Routing Register 77 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6270++0x07 line.quad 0x00 "GICD_IROUTER78 ,Interrupt Routing Register 78 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6278++0x07 line.quad 0x00 "GICD_IROUTER79 ,Interrupt Routing Register 79 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6280++0x07 line.quad 0x00 "GICD_IROUTER80 ,Interrupt Routing Register 80 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6288++0x07 line.quad 0x00 "GICD_IROUTER81 ,Interrupt Routing Register 81 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6290++0x07 line.quad 0x00 "GICD_IROUTER82 ,Interrupt Routing Register 82 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6298++0x07 line.quad 0x00 "GICD_IROUTER83 ,Interrupt Routing Register 83 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62A0++0x07 line.quad 0x00 "GICD_IROUTER84 ,Interrupt Routing Register 84 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62A8++0x07 line.quad 0x00 "GICD_IROUTER85 ,Interrupt Routing Register 85 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62B0++0x07 line.quad 0x00 "GICD_IROUTER86 ,Interrupt Routing Register 86 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62B8++0x07 line.quad 0x00 "GICD_IROUTER87 ,Interrupt Routing Register 87 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62C0++0x07 line.quad 0x00 "GICD_IROUTER88 ,Interrupt Routing Register 88 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62C8++0x07 line.quad 0x00 "GICD_IROUTER89 ,Interrupt Routing Register 89 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62D0++0x07 line.quad 0x00 "GICD_IROUTER90 ,Interrupt Routing Register 90 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62D8++0x07 line.quad 0x00 "GICD_IROUTER91 ,Interrupt Routing Register 91 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62E0++0x07 line.quad 0x00 "GICD_IROUTER92 ,Interrupt Routing Register 92 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62E8++0x07 line.quad 0x00 "GICD_IROUTER93 ,Interrupt Routing Register 93 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62F0++0x07 line.quad 0x00 "GICD_IROUTER94 ,Interrupt Routing Register 94 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x62F8++0x07 line.quad 0x00 "GICD_IROUTER95 ,Interrupt Routing Register 95 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6300++0x07 line.quad 0x00 "GICD_IROUTER96 ,Interrupt Routing Register 96 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6308++0x07 line.quad 0x00 "GICD_IROUTER97 ,Interrupt Routing Register 97 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6310++0x07 line.quad 0x00 "GICD_IROUTER98 ,Interrupt Routing Register 98 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6318++0x07 line.quad 0x00 "GICD_IROUTER99 ,Interrupt Routing Register 99 " hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6320++0x07 line.quad 0x00 "GICD_IROUTER100,Interrupt Routing Register 100" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6328++0x07 line.quad 0x00 "GICD_IROUTER101,Interrupt Routing Register 101" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6330++0x07 line.quad 0x00 "GICD_IROUTER102,Interrupt Routing Register 102" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6338++0x07 line.quad 0x00 "GICD_IROUTER103,Interrupt Routing Register 103" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6340++0x07 line.quad 0x00 "GICD_IROUTER104,Interrupt Routing Register 104" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6348++0x07 line.quad 0x00 "GICD_IROUTER105,Interrupt Routing Register 105" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6350++0x07 line.quad 0x00 "GICD_IROUTER106,Interrupt Routing Register 106" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6358++0x07 line.quad 0x00 "GICD_IROUTER107,Interrupt Routing Register 107" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6360++0x07 line.quad 0x00 "GICD_IROUTER108,Interrupt Routing Register 108" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6368++0x07 line.quad 0x00 "GICD_IROUTER109,Interrupt Routing Register 109" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6370++0x07 line.quad 0x00 "GICD_IROUTER110,Interrupt Routing Register 110" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6378++0x07 line.quad 0x00 "GICD_IROUTER111,Interrupt Routing Register 111" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6380++0x07 line.quad 0x00 "GICD_IROUTER112,Interrupt Routing Register 112" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6388++0x07 line.quad 0x00 "GICD_IROUTER113,Interrupt Routing Register 113" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6390++0x07 line.quad 0x00 "GICD_IROUTER114,Interrupt Routing Register 114" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6398++0x07 line.quad 0x00 "GICD_IROUTER115,Interrupt Routing Register 115" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63A0++0x07 line.quad 0x00 "GICD_IROUTER116,Interrupt Routing Register 116" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63A8++0x07 line.quad 0x00 "GICD_IROUTER117,Interrupt Routing Register 117" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63B0++0x07 line.quad 0x00 "GICD_IROUTER118,Interrupt Routing Register 118" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63B8++0x07 line.quad 0x00 "GICD_IROUTER119,Interrupt Routing Register 119" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63C0++0x07 line.quad 0x00 "GICD_IROUTER120,Interrupt Routing Register 120" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63C8++0x07 line.quad 0x00 "GICD_IROUTER121,Interrupt Routing Register 121" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63D0++0x07 line.quad 0x00 "GICD_IROUTER122,Interrupt Routing Register 122" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63D8++0x07 line.quad 0x00 "GICD_IROUTER123,Interrupt Routing Register 123" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63E0++0x07 line.quad 0x00 "GICD_IROUTER124,Interrupt Routing Register 124" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63E8++0x07 line.quad 0x00 "GICD_IROUTER125,Interrupt Routing Register 125" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63F0++0x07 line.quad 0x00 "GICD_IROUTER126,Interrupt Routing Register 126" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x63F8++0x07 line.quad 0x00 "GICD_IROUTER127,Interrupt Routing Register 127" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6400++0x07 line.quad 0x00 "GICD_IROUTER128,Interrupt Routing Register 128" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6408++0x07 line.quad 0x00 "GICD_IROUTER129,Interrupt Routing Register 129" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6410++0x07 line.quad 0x00 "GICD_IROUTER130,Interrupt Routing Register 130" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6418++0x07 line.quad 0x00 "GICD_IROUTER131,Interrupt Routing Register 131" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6420++0x07 line.quad 0x00 "GICD_IROUTER132,Interrupt Routing Register 132" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6428++0x07 line.quad 0x00 "GICD_IROUTER133,Interrupt Routing Register 133" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6430++0x07 line.quad 0x00 "GICD_IROUTER134,Interrupt Routing Register 134" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6438++0x07 line.quad 0x00 "GICD_IROUTER135,Interrupt Routing Register 135" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6440++0x07 line.quad 0x00 "GICD_IROUTER136,Interrupt Routing Register 136" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6448++0x07 line.quad 0x00 "GICD_IROUTER137,Interrupt Routing Register 137" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6450++0x07 line.quad 0x00 "GICD_IROUTER138,Interrupt Routing Register 138" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6458++0x07 line.quad 0x00 "GICD_IROUTER139,Interrupt Routing Register 139" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6460++0x07 line.quad 0x00 "GICD_IROUTER140,Interrupt Routing Register 140" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6468++0x07 line.quad 0x00 "GICD_IROUTER141,Interrupt Routing Register 141" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6470++0x07 line.quad 0x00 "GICD_IROUTER142,Interrupt Routing Register 142" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6478++0x07 line.quad 0x00 "GICD_IROUTER143,Interrupt Routing Register 143" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6480++0x07 line.quad 0x00 "GICD_IROUTER144,Interrupt Routing Register 144" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6488++0x07 line.quad 0x00 "GICD_IROUTER145,Interrupt Routing Register 145" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6490++0x07 line.quad 0x00 "GICD_IROUTER146,Interrupt Routing Register 146" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6498++0x07 line.quad 0x00 "GICD_IROUTER147,Interrupt Routing Register 147" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64A0++0x07 line.quad 0x00 "GICD_IROUTER148,Interrupt Routing Register 148" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64A8++0x07 line.quad 0x00 "GICD_IROUTER149,Interrupt Routing Register 149" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64B0++0x07 line.quad 0x00 "GICD_IROUTER150,Interrupt Routing Register 150" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64B8++0x07 line.quad 0x00 "GICD_IROUTER151,Interrupt Routing Register 151" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64C0++0x07 line.quad 0x00 "GICD_IROUTER152,Interrupt Routing Register 152" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64C8++0x07 line.quad 0x00 "GICD_IROUTER153,Interrupt Routing Register 153" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64D0++0x07 line.quad 0x00 "GICD_IROUTER154,Interrupt Routing Register 154" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64D8++0x07 line.quad 0x00 "GICD_IROUTER155,Interrupt Routing Register 155" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64E0++0x07 line.quad 0x00 "GICD_IROUTER156,Interrupt Routing Register 156" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64E8++0x07 line.quad 0x00 "GICD_IROUTER157,Interrupt Routing Register 157" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64F0++0x07 line.quad 0x00 "GICD_IROUTER158,Interrupt Routing Register 158" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x64F8++0x07 line.quad 0x00 "GICD_IROUTER159,Interrupt Routing Register 159" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6500++0x07 line.quad 0x00 "GICD_IROUTER160,Interrupt Routing Register 160" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6508++0x07 line.quad 0x00 "GICD_IROUTER161,Interrupt Routing Register 161" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6510++0x07 line.quad 0x00 "GICD_IROUTER162,Interrupt Routing Register 162" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6518++0x07 line.quad 0x00 "GICD_IROUTER163,Interrupt Routing Register 163" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6520++0x07 line.quad 0x00 "GICD_IROUTER164,Interrupt Routing Register 164" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6528++0x07 line.quad 0x00 "GICD_IROUTER165,Interrupt Routing Register 165" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6530++0x07 line.quad 0x00 "GICD_IROUTER166,Interrupt Routing Register 166" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6538++0x07 line.quad 0x00 "GICD_IROUTER167,Interrupt Routing Register 167" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6540++0x07 line.quad 0x00 "GICD_IROUTER168,Interrupt Routing Register 168" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6548++0x07 line.quad 0x00 "GICD_IROUTER169,Interrupt Routing Register 169" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6550++0x07 line.quad 0x00 "GICD_IROUTER170,Interrupt Routing Register 170" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6558++0x07 line.quad 0x00 "GICD_IROUTER171,Interrupt Routing Register 171" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6560++0x07 line.quad 0x00 "GICD_IROUTER172,Interrupt Routing Register 172" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6568++0x07 line.quad 0x00 "GICD_IROUTER173,Interrupt Routing Register 173" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6570++0x07 line.quad 0x00 "GICD_IROUTER174,Interrupt Routing Register 174" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6578++0x07 line.quad 0x00 "GICD_IROUTER175,Interrupt Routing Register 175" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6580++0x07 line.quad 0x00 "GICD_IROUTER176,Interrupt Routing Register 176" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6588++0x07 line.quad 0x00 "GICD_IROUTER177,Interrupt Routing Register 177" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6590++0x07 line.quad 0x00 "GICD_IROUTER178,Interrupt Routing Register 178" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6598++0x07 line.quad 0x00 "GICD_IROUTER179,Interrupt Routing Register 179" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65A0++0x07 line.quad 0x00 "GICD_IROUTER180,Interrupt Routing Register 180" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65A8++0x07 line.quad 0x00 "GICD_IROUTER181,Interrupt Routing Register 181" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65B0++0x07 line.quad 0x00 "GICD_IROUTER182,Interrupt Routing Register 182" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65B8++0x07 line.quad 0x00 "GICD_IROUTER183,Interrupt Routing Register 183" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65C0++0x07 line.quad 0x00 "GICD_IROUTER184,Interrupt Routing Register 184" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65C8++0x07 line.quad 0x00 "GICD_IROUTER185,Interrupt Routing Register 185" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65D0++0x07 line.quad 0x00 "GICD_IROUTER186,Interrupt Routing Register 186" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65D8++0x07 line.quad 0x00 "GICD_IROUTER187,Interrupt Routing Register 187" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65E0++0x07 line.quad 0x00 "GICD_IROUTER188,Interrupt Routing Register 188" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65E8++0x07 line.quad 0x00 "GICD_IROUTER189,Interrupt Routing Register 189" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65F0++0x07 line.quad 0x00 "GICD_IROUTER190,Interrupt Routing Register 190" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x65F8++0x07 line.quad 0x00 "GICD_IROUTER191,Interrupt Routing Register 191" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6600++0x07 line.quad 0x00 "GICD_IROUTER192,Interrupt Routing Register 192" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6608++0x07 line.quad 0x00 "GICD_IROUTER193,Interrupt Routing Register 193" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6610++0x07 line.quad 0x00 "GICD_IROUTER194,Interrupt Routing Register 194" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6618++0x07 line.quad 0x00 "GICD_IROUTER195,Interrupt Routing Register 195" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6620++0x07 line.quad 0x00 "GICD_IROUTER196,Interrupt Routing Register 196" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6628++0x07 line.quad 0x00 "GICD_IROUTER197,Interrupt Routing Register 197" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6630++0x07 line.quad 0x00 "GICD_IROUTER198,Interrupt Routing Register 198" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6638++0x07 line.quad 0x00 "GICD_IROUTER199,Interrupt Routing Register 199" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6640++0x07 line.quad 0x00 "GICD_IROUTER200,Interrupt Routing Register 200" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6648++0x07 line.quad 0x00 "GICD_IROUTER201,Interrupt Routing Register 201" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6650++0x07 line.quad 0x00 "GICD_IROUTER202,Interrupt Routing Register 202" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6658++0x07 line.quad 0x00 "GICD_IROUTER203,Interrupt Routing Register 203" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6660++0x07 line.quad 0x00 "GICD_IROUTER204,Interrupt Routing Register 204" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6668++0x07 line.quad 0x00 "GICD_IROUTER205,Interrupt Routing Register 205" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6670++0x07 line.quad 0x00 "GICD_IROUTER206,Interrupt Routing Register 206" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6678++0x07 line.quad 0x00 "GICD_IROUTER207,Interrupt Routing Register 207" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6680++0x07 line.quad 0x00 "GICD_IROUTER208,Interrupt Routing Register 208" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6688++0x07 line.quad 0x00 "GICD_IROUTER209,Interrupt Routing Register 209" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6690++0x07 line.quad 0x00 "GICD_IROUTER210,Interrupt Routing Register 210" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6698++0x07 line.quad 0x00 "GICD_IROUTER211,Interrupt Routing Register 211" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66A0++0x07 line.quad 0x00 "GICD_IROUTER212,Interrupt Routing Register 212" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66A8++0x07 line.quad 0x00 "GICD_IROUTER213,Interrupt Routing Register 213" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66B0++0x07 line.quad 0x00 "GICD_IROUTER214,Interrupt Routing Register 214" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66B8++0x07 line.quad 0x00 "GICD_IROUTER215,Interrupt Routing Register 215" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66C0++0x07 line.quad 0x00 "GICD_IROUTER216,Interrupt Routing Register 216" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66C8++0x07 line.quad 0x00 "GICD_IROUTER217,Interrupt Routing Register 217" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66D0++0x07 line.quad 0x00 "GICD_IROUTER218,Interrupt Routing Register 218" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66D8++0x07 line.quad 0x00 "GICD_IROUTER219,Interrupt Routing Register 219" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66E0++0x07 line.quad 0x00 "GICD_IROUTER220,Interrupt Routing Register 220" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66E8++0x07 line.quad 0x00 "GICD_IROUTER221,Interrupt Routing Register 221" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66F0++0x07 line.quad 0x00 "GICD_IROUTER222,Interrupt Routing Register 222" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x66F8++0x07 line.quad 0x00 "GICD_IROUTER223,Interrupt Routing Register 223" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6700++0x07 line.quad 0x00 "GICD_IROUTER224,Interrupt Routing Register 224" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6708++0x07 line.quad 0x00 "GICD_IROUTER225,Interrupt Routing Register 225" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6710++0x07 line.quad 0x00 "GICD_IROUTER226,Interrupt Routing Register 226" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6718++0x07 line.quad 0x00 "GICD_IROUTER227,Interrupt Routing Register 227" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6720++0x07 line.quad 0x00 "GICD_IROUTER228,Interrupt Routing Register 228" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6728++0x07 line.quad 0x00 "GICD_IROUTER229,Interrupt Routing Register 229" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6730++0x07 line.quad 0x00 "GICD_IROUTER230,Interrupt Routing Register 230" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6738++0x07 line.quad 0x00 "GICD_IROUTER231,Interrupt Routing Register 231" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6740++0x07 line.quad 0x00 "GICD_IROUTER232,Interrupt Routing Register 232" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6748++0x07 line.quad 0x00 "GICD_IROUTER233,Interrupt Routing Register 233" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6750++0x07 line.quad 0x00 "GICD_IROUTER234,Interrupt Routing Register 234" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6758++0x07 line.quad 0x00 "GICD_IROUTER235,Interrupt Routing Register 235" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6760++0x07 line.quad 0x00 "GICD_IROUTER236,Interrupt Routing Register 236" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6768++0x07 line.quad 0x00 "GICD_IROUTER237,Interrupt Routing Register 237" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6770++0x07 line.quad 0x00 "GICD_IROUTER238,Interrupt Routing Register 238" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6778++0x07 line.quad 0x00 "GICD_IROUTER239,Interrupt Routing Register 239" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6780++0x07 line.quad 0x00 "GICD_IROUTER240,Interrupt Routing Register 240" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6788++0x07 line.quad 0x00 "GICD_IROUTER241,Interrupt Routing Register 241" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6790++0x07 line.quad 0x00 "GICD_IROUTER242,Interrupt Routing Register 242" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6798++0x07 line.quad 0x00 "GICD_IROUTER243,Interrupt Routing Register 243" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67A0++0x07 line.quad 0x00 "GICD_IROUTER244,Interrupt Routing Register 244" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67A8++0x07 line.quad 0x00 "GICD_IROUTER245,Interrupt Routing Register 245" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67B0++0x07 line.quad 0x00 "GICD_IROUTER246,Interrupt Routing Register 246" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67B8++0x07 line.quad 0x00 "GICD_IROUTER247,Interrupt Routing Register 247" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67C0++0x07 line.quad 0x00 "GICD_IROUTER248,Interrupt Routing Register 248" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67C8++0x07 line.quad 0x00 "GICD_IROUTER249,Interrupt Routing Register 249" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67D0++0x07 line.quad 0x00 "GICD_IROUTER250,Interrupt Routing Register 250" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67D8++0x07 line.quad 0x00 "GICD_IROUTER251,Interrupt Routing Register 251" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67E0++0x07 line.quad 0x00 "GICD_IROUTER252,Interrupt Routing Register 252" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67E8++0x07 line.quad 0x00 "GICD_IROUTER253,Interrupt Routing Register 253" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67F0++0x07 line.quad 0x00 "GICD_IROUTER254,Interrupt Routing Register 254" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x67F8++0x07 line.quad 0x00 "GICD_IROUTER255,Interrupt Routing Register 255" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6800++0x07 line.quad 0x00 "GICD_IROUTER256,Interrupt Routing Register 256" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6808++0x07 line.quad 0x00 "GICD_IROUTER257,Interrupt Routing Register 257" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6810++0x07 line.quad 0x00 "GICD_IROUTER258,Interrupt Routing Register 258" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6818++0x07 line.quad 0x00 "GICD_IROUTER259,Interrupt Routing Register 259" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6820++0x07 line.quad 0x00 "GICD_IROUTER260,Interrupt Routing Register 260" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6828++0x07 line.quad 0x00 "GICD_IROUTER261,Interrupt Routing Register 261" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6830++0x07 line.quad 0x00 "GICD_IROUTER262,Interrupt Routing Register 262" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6838++0x07 line.quad 0x00 "GICD_IROUTER263,Interrupt Routing Register 263" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6840++0x07 line.quad 0x00 "GICD_IROUTER264,Interrupt Routing Register 264" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6848++0x07 line.quad 0x00 "GICD_IROUTER265,Interrupt Routing Register 265" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6850++0x07 line.quad 0x00 "GICD_IROUTER266,Interrupt Routing Register 266" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6858++0x07 line.quad 0x00 "GICD_IROUTER267,Interrupt Routing Register 267" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6860++0x07 line.quad 0x00 "GICD_IROUTER268,Interrupt Routing Register 268" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6868++0x07 line.quad 0x00 "GICD_IROUTER269,Interrupt Routing Register 269" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6870++0x07 line.quad 0x00 "GICD_IROUTER270,Interrupt Routing Register 270" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6878++0x07 line.quad 0x00 "GICD_IROUTER271,Interrupt Routing Register 271" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6880++0x07 line.quad 0x00 "GICD_IROUTER272,Interrupt Routing Register 272" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6888++0x07 line.quad 0x00 "GICD_IROUTER273,Interrupt Routing Register 273" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6890++0x07 line.quad 0x00 "GICD_IROUTER274,Interrupt Routing Register 274" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6898++0x07 line.quad 0x00 "GICD_IROUTER275,Interrupt Routing Register 275" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68A0++0x07 line.quad 0x00 "GICD_IROUTER276,Interrupt Routing Register 276" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68A8++0x07 line.quad 0x00 "GICD_IROUTER277,Interrupt Routing Register 277" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68B0++0x07 line.quad 0x00 "GICD_IROUTER278,Interrupt Routing Register 278" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68B8++0x07 line.quad 0x00 "GICD_IROUTER279,Interrupt Routing Register 279" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68C0++0x07 line.quad 0x00 "GICD_IROUTER280,Interrupt Routing Register 280" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68C8++0x07 line.quad 0x00 "GICD_IROUTER281,Interrupt Routing Register 281" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68D0++0x07 line.quad 0x00 "GICD_IROUTER282,Interrupt Routing Register 282" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68D8++0x07 line.quad 0x00 "GICD_IROUTER283,Interrupt Routing Register 283" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68E0++0x07 line.quad 0x00 "GICD_IROUTER284,Interrupt Routing Register 284" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68E8++0x07 line.quad 0x00 "GICD_IROUTER285,Interrupt Routing Register 285" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68F0++0x07 line.quad 0x00 "GICD_IROUTER286,Interrupt Routing Register 286" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x68F8++0x07 line.quad 0x00 "GICD_IROUTER287,Interrupt Routing Register 287" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6900++0x07 line.quad 0x00 "GICD_IROUTER288,Interrupt Routing Register 288" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6908++0x07 line.quad 0x00 "GICD_IROUTER289,Interrupt Routing Register 289" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6910++0x07 line.quad 0x00 "GICD_IROUTER290,Interrupt Routing Register 290" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6918++0x07 line.quad 0x00 "GICD_IROUTER291,Interrupt Routing Register 291" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6920++0x07 line.quad 0x00 "GICD_IROUTER292,Interrupt Routing Register 292" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6928++0x07 line.quad 0x00 "GICD_IROUTER293,Interrupt Routing Register 293" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6930++0x07 line.quad 0x00 "GICD_IROUTER294,Interrupt Routing Register 294" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6938++0x07 line.quad 0x00 "GICD_IROUTER295,Interrupt Routing Register 295" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6940++0x07 line.quad 0x00 "GICD_IROUTER296,Interrupt Routing Register 296" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6948++0x07 line.quad 0x00 "GICD_IROUTER297,Interrupt Routing Register 297" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6950++0x07 line.quad 0x00 "GICD_IROUTER298,Interrupt Routing Register 298" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6958++0x07 line.quad 0x00 "GICD_IROUTER299,Interrupt Routing Register 299" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6960++0x07 line.quad 0x00 "GICD_IROUTER300,Interrupt Routing Register 300" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6968++0x07 line.quad 0x00 "GICD_IROUTER301,Interrupt Routing Register 301" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6970++0x07 line.quad 0x00 "GICD_IROUTER302,Interrupt Routing Register 302" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6978++0x07 line.quad 0x00 "GICD_IROUTER303,Interrupt Routing Register 303" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6980++0x07 line.quad 0x00 "GICD_IROUTER304,Interrupt Routing Register 304" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6988++0x07 line.quad 0x00 "GICD_IROUTER305,Interrupt Routing Register 305" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6990++0x07 line.quad 0x00 "GICD_IROUTER306,Interrupt Routing Register 306" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6998++0x07 line.quad 0x00 "GICD_IROUTER307,Interrupt Routing Register 307" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69A0++0x07 line.quad 0x00 "GICD_IROUTER308,Interrupt Routing Register 308" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69A8++0x07 line.quad 0x00 "GICD_IROUTER309,Interrupt Routing Register 309" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69B0++0x07 line.quad 0x00 "GICD_IROUTER310,Interrupt Routing Register 310" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69B8++0x07 line.quad 0x00 "GICD_IROUTER311,Interrupt Routing Register 311" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69C0++0x07 line.quad 0x00 "GICD_IROUTER312,Interrupt Routing Register 312" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69C8++0x07 line.quad 0x00 "GICD_IROUTER313,Interrupt Routing Register 313" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69D0++0x07 line.quad 0x00 "GICD_IROUTER314,Interrupt Routing Register 314" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69D8++0x07 line.quad 0x00 "GICD_IROUTER315,Interrupt Routing Register 315" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69E0++0x07 line.quad 0x00 "GICD_IROUTER316,Interrupt Routing Register 316" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69E8++0x07 line.quad 0x00 "GICD_IROUTER317,Interrupt Routing Register 317" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69F0++0x07 line.quad 0x00 "GICD_IROUTER318,Interrupt Routing Register 318" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x69F8++0x07 line.quad 0x00 "GICD_IROUTER319,Interrupt Routing Register 319" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A00++0x07 line.quad 0x00 "GICD_IROUTER320,Interrupt Routing Register 320" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A08++0x07 line.quad 0x00 "GICD_IROUTER321,Interrupt Routing Register 321" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A10++0x07 line.quad 0x00 "GICD_IROUTER322,Interrupt Routing Register 322" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A18++0x07 line.quad 0x00 "GICD_IROUTER323,Interrupt Routing Register 323" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A20++0x07 line.quad 0x00 "GICD_IROUTER324,Interrupt Routing Register 324" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A28++0x07 line.quad 0x00 "GICD_IROUTER325,Interrupt Routing Register 325" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A30++0x07 line.quad 0x00 "GICD_IROUTER326,Interrupt Routing Register 326" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A38++0x07 line.quad 0x00 "GICD_IROUTER327,Interrupt Routing Register 327" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A40++0x07 line.quad 0x00 "GICD_IROUTER328,Interrupt Routing Register 328" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A48++0x07 line.quad 0x00 "GICD_IROUTER329,Interrupt Routing Register 329" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A50++0x07 line.quad 0x00 "GICD_IROUTER330,Interrupt Routing Register 330" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A58++0x07 line.quad 0x00 "GICD_IROUTER331,Interrupt Routing Register 331" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A60++0x07 line.quad 0x00 "GICD_IROUTER332,Interrupt Routing Register 332" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A68++0x07 line.quad 0x00 "GICD_IROUTER333,Interrupt Routing Register 333" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A70++0x07 line.quad 0x00 "GICD_IROUTER334,Interrupt Routing Register 334" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A78++0x07 line.quad 0x00 "GICD_IROUTER335,Interrupt Routing Register 335" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A80++0x07 line.quad 0x00 "GICD_IROUTER336,Interrupt Routing Register 336" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A88++0x07 line.quad 0x00 "GICD_IROUTER337,Interrupt Routing Register 337" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A90++0x07 line.quad 0x00 "GICD_IROUTER338,Interrupt Routing Register 338" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6A98++0x07 line.quad 0x00 "GICD_IROUTER339,Interrupt Routing Register 339" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AA0++0x07 line.quad 0x00 "GICD_IROUTER340,Interrupt Routing Register 340" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AA8++0x07 line.quad 0x00 "GICD_IROUTER341,Interrupt Routing Register 341" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AB0++0x07 line.quad 0x00 "GICD_IROUTER342,Interrupt Routing Register 342" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AB8++0x07 line.quad 0x00 "GICD_IROUTER343,Interrupt Routing Register 343" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AC0++0x07 line.quad 0x00 "GICD_IROUTER344,Interrupt Routing Register 344" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AC8++0x07 line.quad 0x00 "GICD_IROUTER345,Interrupt Routing Register 345" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AD0++0x07 line.quad 0x00 "GICD_IROUTER346,Interrupt Routing Register 346" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AD8++0x07 line.quad 0x00 "GICD_IROUTER347,Interrupt Routing Register 347" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AE0++0x07 line.quad 0x00 "GICD_IROUTER348,Interrupt Routing Register 348" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AE8++0x07 line.quad 0x00 "GICD_IROUTER349,Interrupt Routing Register 349" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AF0++0x07 line.quad 0x00 "GICD_IROUTER350,Interrupt Routing Register 350" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6AF8++0x07 line.quad 0x00 "GICD_IROUTER351,Interrupt Routing Register 351" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B00++0x07 line.quad 0x00 "GICD_IROUTER352,Interrupt Routing Register 352" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B08++0x07 line.quad 0x00 "GICD_IROUTER353,Interrupt Routing Register 353" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B10++0x07 line.quad 0x00 "GICD_IROUTER354,Interrupt Routing Register 354" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B18++0x07 line.quad 0x00 "GICD_IROUTER355,Interrupt Routing Register 355" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B20++0x07 line.quad 0x00 "GICD_IROUTER356,Interrupt Routing Register 356" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B28++0x07 line.quad 0x00 "GICD_IROUTER357,Interrupt Routing Register 357" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B30++0x07 line.quad 0x00 "GICD_IROUTER358,Interrupt Routing Register 358" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B38++0x07 line.quad 0x00 "GICD_IROUTER359,Interrupt Routing Register 359" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B40++0x07 line.quad 0x00 "GICD_IROUTER360,Interrupt Routing Register 360" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B48++0x07 line.quad 0x00 "GICD_IROUTER361,Interrupt Routing Register 361" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B50++0x07 line.quad 0x00 "GICD_IROUTER362,Interrupt Routing Register 362" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B58++0x07 line.quad 0x00 "GICD_IROUTER363,Interrupt Routing Register 363" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B60++0x07 line.quad 0x00 "GICD_IROUTER364,Interrupt Routing Register 364" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B68++0x07 line.quad 0x00 "GICD_IROUTER365,Interrupt Routing Register 365" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B70++0x07 line.quad 0x00 "GICD_IROUTER366,Interrupt Routing Register 366" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B78++0x07 line.quad 0x00 "GICD_IROUTER367,Interrupt Routing Register 367" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B80++0x07 line.quad 0x00 "GICD_IROUTER368,Interrupt Routing Register 368" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B88++0x07 line.quad 0x00 "GICD_IROUTER369,Interrupt Routing Register 369" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B90++0x07 line.quad 0x00 "GICD_IROUTER370,Interrupt Routing Register 370" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6B98++0x07 line.quad 0x00 "GICD_IROUTER371,Interrupt Routing Register 371" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BA0++0x07 line.quad 0x00 "GICD_IROUTER372,Interrupt Routing Register 372" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BA8++0x07 line.quad 0x00 "GICD_IROUTER373,Interrupt Routing Register 373" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BB0++0x07 line.quad 0x00 "GICD_IROUTER374,Interrupt Routing Register 374" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BB8++0x07 line.quad 0x00 "GICD_IROUTER375,Interrupt Routing Register 375" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BC0++0x07 line.quad 0x00 "GICD_IROUTER376,Interrupt Routing Register 376" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BC8++0x07 line.quad 0x00 "GICD_IROUTER377,Interrupt Routing Register 377" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BD0++0x07 line.quad 0x00 "GICD_IROUTER378,Interrupt Routing Register 378" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BD8++0x07 line.quad 0x00 "GICD_IROUTER379,Interrupt Routing Register 379" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BE0++0x07 line.quad 0x00 "GICD_IROUTER380,Interrupt Routing Register 380" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BE8++0x07 line.quad 0x00 "GICD_IROUTER381,Interrupt Routing Register 381" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BF0++0x07 line.quad 0x00 "GICD_IROUTER382,Interrupt Routing Register 382" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6BF8++0x07 line.quad 0x00 "GICD_IROUTER383,Interrupt Routing Register 383" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C00++0x07 line.quad 0x00 "GICD_IROUTER384,Interrupt Routing Register 384" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C08++0x07 line.quad 0x00 "GICD_IROUTER385,Interrupt Routing Register 385" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C10++0x07 line.quad 0x00 "GICD_IROUTER386,Interrupt Routing Register 386" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C18++0x07 line.quad 0x00 "GICD_IROUTER387,Interrupt Routing Register 387" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C20++0x07 line.quad 0x00 "GICD_IROUTER388,Interrupt Routing Register 388" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C28++0x07 line.quad 0x00 "GICD_IROUTER389,Interrupt Routing Register 389" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C30++0x07 line.quad 0x00 "GICD_IROUTER390,Interrupt Routing Register 390" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C38++0x07 line.quad 0x00 "GICD_IROUTER391,Interrupt Routing Register 391" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C40++0x07 line.quad 0x00 "GICD_IROUTER392,Interrupt Routing Register 392" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C48++0x07 line.quad 0x00 "GICD_IROUTER393,Interrupt Routing Register 393" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C50++0x07 line.quad 0x00 "GICD_IROUTER394,Interrupt Routing Register 394" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C58++0x07 line.quad 0x00 "GICD_IROUTER395,Interrupt Routing Register 395" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C60++0x07 line.quad 0x00 "GICD_IROUTER396,Interrupt Routing Register 396" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C68++0x07 line.quad 0x00 "GICD_IROUTER397,Interrupt Routing Register 397" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C70++0x07 line.quad 0x00 "GICD_IROUTER398,Interrupt Routing Register 398" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C78++0x07 line.quad 0x00 "GICD_IROUTER399,Interrupt Routing Register 399" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C80++0x07 line.quad 0x00 "GICD_IROUTER400,Interrupt Routing Register 400" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C88++0x07 line.quad 0x00 "GICD_IROUTER401,Interrupt Routing Register 401" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C90++0x07 line.quad 0x00 "GICD_IROUTER402,Interrupt Routing Register 402" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6C98++0x07 line.quad 0x00 "GICD_IROUTER403,Interrupt Routing Register 403" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CA0++0x07 line.quad 0x00 "GICD_IROUTER404,Interrupt Routing Register 404" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CA8++0x07 line.quad 0x00 "GICD_IROUTER405,Interrupt Routing Register 405" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CB0++0x07 line.quad 0x00 "GICD_IROUTER406,Interrupt Routing Register 406" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CB8++0x07 line.quad 0x00 "GICD_IROUTER407,Interrupt Routing Register 407" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CC0++0x07 line.quad 0x00 "GICD_IROUTER408,Interrupt Routing Register 408" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CC8++0x07 line.quad 0x00 "GICD_IROUTER409,Interrupt Routing Register 409" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CD0++0x07 line.quad 0x00 "GICD_IROUTER410,Interrupt Routing Register 410" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CD8++0x07 line.quad 0x00 "GICD_IROUTER411,Interrupt Routing Register 411" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CE0++0x07 line.quad 0x00 "GICD_IROUTER412,Interrupt Routing Register 412" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CE8++0x07 line.quad 0x00 "GICD_IROUTER413,Interrupt Routing Register 413" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CF0++0x07 line.quad 0x00 "GICD_IROUTER414,Interrupt Routing Register 414" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6CF8++0x07 line.quad 0x00 "GICD_IROUTER415,Interrupt Routing Register 415" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D00++0x07 line.quad 0x00 "GICD_IROUTER416,Interrupt Routing Register 416" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D08++0x07 line.quad 0x00 "GICD_IROUTER417,Interrupt Routing Register 417" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D10++0x07 line.quad 0x00 "GICD_IROUTER418,Interrupt Routing Register 418" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D18++0x07 line.quad 0x00 "GICD_IROUTER419,Interrupt Routing Register 419" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D20++0x07 line.quad 0x00 "GICD_IROUTER420,Interrupt Routing Register 420" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D28++0x07 line.quad 0x00 "GICD_IROUTER421,Interrupt Routing Register 421" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D30++0x07 line.quad 0x00 "GICD_IROUTER422,Interrupt Routing Register 422" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D38++0x07 line.quad 0x00 "GICD_IROUTER423,Interrupt Routing Register 423" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D40++0x07 line.quad 0x00 "GICD_IROUTER424,Interrupt Routing Register 424" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D48++0x07 line.quad 0x00 "GICD_IROUTER425,Interrupt Routing Register 425" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D50++0x07 line.quad 0x00 "GICD_IROUTER426,Interrupt Routing Register 426" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D58++0x07 line.quad 0x00 "GICD_IROUTER427,Interrupt Routing Register 427" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D60++0x07 line.quad 0x00 "GICD_IROUTER428,Interrupt Routing Register 428" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D68++0x07 line.quad 0x00 "GICD_IROUTER429,Interrupt Routing Register 429" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D70++0x07 line.quad 0x00 "GICD_IROUTER430,Interrupt Routing Register 430" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D78++0x07 line.quad 0x00 "GICD_IROUTER431,Interrupt Routing Register 431" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D80++0x07 line.quad 0x00 "GICD_IROUTER432,Interrupt Routing Register 432" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D88++0x07 line.quad 0x00 "GICD_IROUTER433,Interrupt Routing Register 433" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D90++0x07 line.quad 0x00 "GICD_IROUTER434,Interrupt Routing Register 434" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6D98++0x07 line.quad 0x00 "GICD_IROUTER435,Interrupt Routing Register 435" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DA0++0x07 line.quad 0x00 "GICD_IROUTER436,Interrupt Routing Register 436" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DA8++0x07 line.quad 0x00 "GICD_IROUTER437,Interrupt Routing Register 437" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DB0++0x07 line.quad 0x00 "GICD_IROUTER438,Interrupt Routing Register 438" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DB8++0x07 line.quad 0x00 "GICD_IROUTER439,Interrupt Routing Register 439" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DC0++0x07 line.quad 0x00 "GICD_IROUTER440,Interrupt Routing Register 440" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DC8++0x07 line.quad 0x00 "GICD_IROUTER441,Interrupt Routing Register 441" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DD0++0x07 line.quad 0x00 "GICD_IROUTER442,Interrupt Routing Register 442" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DD8++0x07 line.quad 0x00 "GICD_IROUTER443,Interrupt Routing Register 443" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DE0++0x07 line.quad 0x00 "GICD_IROUTER444,Interrupt Routing Register 444" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DE8++0x07 line.quad 0x00 "GICD_IROUTER445,Interrupt Routing Register 445" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DF0++0x07 line.quad 0x00 "GICD_IROUTER446,Interrupt Routing Register 446" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6DF8++0x07 line.quad 0x00 "GICD_IROUTER447,Interrupt Routing Register 447" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E00++0x07 line.quad 0x00 "GICD_IROUTER448,Interrupt Routing Register 448" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E08++0x07 line.quad 0x00 "GICD_IROUTER449,Interrupt Routing Register 449" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E10++0x07 line.quad 0x00 "GICD_IROUTER450,Interrupt Routing Register 450" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E18++0x07 line.quad 0x00 "GICD_IROUTER451,Interrupt Routing Register 451" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E20++0x07 line.quad 0x00 "GICD_IROUTER452,Interrupt Routing Register 452" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E28++0x07 line.quad 0x00 "GICD_IROUTER453,Interrupt Routing Register 453" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E30++0x07 line.quad 0x00 "GICD_IROUTER454,Interrupt Routing Register 454" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E38++0x07 line.quad 0x00 "GICD_IROUTER455,Interrupt Routing Register 455" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E40++0x07 line.quad 0x00 "GICD_IROUTER456,Interrupt Routing Register 456" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E48++0x07 line.quad 0x00 "GICD_IROUTER457,Interrupt Routing Register 457" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E50++0x07 line.quad 0x00 "GICD_IROUTER458,Interrupt Routing Register 458" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E58++0x07 line.quad 0x00 "GICD_IROUTER459,Interrupt Routing Register 459" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E60++0x07 line.quad 0x00 "GICD_IROUTER460,Interrupt Routing Register 460" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E68++0x07 line.quad 0x00 "GICD_IROUTER461,Interrupt Routing Register 461" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E70++0x07 line.quad 0x00 "GICD_IROUTER462,Interrupt Routing Register 462" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E78++0x07 line.quad 0x00 "GICD_IROUTER463,Interrupt Routing Register 463" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E80++0x07 line.quad 0x00 "GICD_IROUTER464,Interrupt Routing Register 464" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E88++0x07 line.quad 0x00 "GICD_IROUTER465,Interrupt Routing Register 465" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E90++0x07 line.quad 0x00 "GICD_IROUTER466,Interrupt Routing Register 466" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6E98++0x07 line.quad 0x00 "GICD_IROUTER467,Interrupt Routing Register 467" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EA0++0x07 line.quad 0x00 "GICD_IROUTER468,Interrupt Routing Register 468" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EA8++0x07 line.quad 0x00 "GICD_IROUTER469,Interrupt Routing Register 469" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EB0++0x07 line.quad 0x00 "GICD_IROUTER470,Interrupt Routing Register 470" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EB8++0x07 line.quad 0x00 "GICD_IROUTER471,Interrupt Routing Register 471" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EC0++0x07 line.quad 0x00 "GICD_IROUTER472,Interrupt Routing Register 472" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EC8++0x07 line.quad 0x00 "GICD_IROUTER473,Interrupt Routing Register 473" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6ED0++0x07 line.quad 0x00 "GICD_IROUTER474,Interrupt Routing Register 474" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6ED8++0x07 line.quad 0x00 "GICD_IROUTER475,Interrupt Routing Register 475" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EE0++0x07 line.quad 0x00 "GICD_IROUTER476,Interrupt Routing Register 476" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EE8++0x07 line.quad 0x00 "GICD_IROUTER477,Interrupt Routing Register 477" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EF0++0x07 line.quad 0x00 "GICD_IROUTER478,Interrupt Routing Register 478" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6EF8++0x07 line.quad 0x00 "GICD_IROUTER479,Interrupt Routing Register 479" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F00++0x07 line.quad 0x00 "GICD_IROUTER480,Interrupt Routing Register 480" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F08++0x07 line.quad 0x00 "GICD_IROUTER481,Interrupt Routing Register 481" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F10++0x07 line.quad 0x00 "GICD_IROUTER482,Interrupt Routing Register 482" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F18++0x07 line.quad 0x00 "GICD_IROUTER483,Interrupt Routing Register 483" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F20++0x07 line.quad 0x00 "GICD_IROUTER484,Interrupt Routing Register 484" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F28++0x07 line.quad 0x00 "GICD_IROUTER485,Interrupt Routing Register 485" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F30++0x07 line.quad 0x00 "GICD_IROUTER486,Interrupt Routing Register 486" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F38++0x07 line.quad 0x00 "GICD_IROUTER487,Interrupt Routing Register 487" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F40++0x07 line.quad 0x00 "GICD_IROUTER488,Interrupt Routing Register 488" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F48++0x07 line.quad 0x00 "GICD_IROUTER489,Interrupt Routing Register 489" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F50++0x07 line.quad 0x00 "GICD_IROUTER490,Interrupt Routing Register 490" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F58++0x07 line.quad 0x00 "GICD_IROUTER491,Interrupt Routing Register 491" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F60++0x07 line.quad 0x00 "GICD_IROUTER492,Interrupt Routing Register 492" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F68++0x07 line.quad 0x00 "GICD_IROUTER493,Interrupt Routing Register 493" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F70++0x07 line.quad 0x00 "GICD_IROUTER494,Interrupt Routing Register 494" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F78++0x07 line.quad 0x00 "GICD_IROUTER495,Interrupt Routing Register 495" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F80++0x07 line.quad 0x00 "GICD_IROUTER496,Interrupt Routing Register 496" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F88++0x07 line.quad 0x00 "GICD_IROUTER497,Interrupt Routing Register 497" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F90++0x07 line.quad 0x00 "GICD_IROUTER498,Interrupt Routing Register 498" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6F98++0x07 line.quad 0x00 "GICD_IROUTER499,Interrupt Routing Register 499" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FA0++0x07 line.quad 0x00 "GICD_IROUTER500,Interrupt Routing Register 500" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FA8++0x07 line.quad 0x00 "GICD_IROUTER501,Interrupt Routing Register 501" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FB0++0x07 line.quad 0x00 "GICD_IROUTER502,Interrupt Routing Register 502" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FB8++0x07 line.quad 0x00 "GICD_IROUTER503,Interrupt Routing Register 503" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FC0++0x07 line.quad 0x00 "GICD_IROUTER504,Interrupt Routing Register 504" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FC8++0x07 line.quad 0x00 "GICD_IROUTER505,Interrupt Routing Register 505" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FD0++0x07 line.quad 0x00 "GICD_IROUTER506,Interrupt Routing Register 506" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FD8++0x07 line.quad 0x00 "GICD_IROUTER507,Interrupt Routing Register 507" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FE0++0x07 line.quad 0x00 "GICD_IROUTER508,Interrupt Routing Register 508" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FE8++0x07 line.quad 0x00 "GICD_IROUTER509,Interrupt Routing Register 509" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FF0++0x07 line.quad 0x00 "GICD_IROUTER510,Interrupt Routing Register 510" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x6FF8++0x07 line.quad 0x00 "GICD_IROUTER511,Interrupt Routing Register 511" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7000++0x07 line.quad 0x00 "GICD_IROUTER512,Interrupt Routing Register 512" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7008++0x07 line.quad 0x00 "GICD_IROUTER513,Interrupt Routing Register 513" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7010++0x07 line.quad 0x00 "GICD_IROUTER514,Interrupt Routing Register 514" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7018++0x07 line.quad 0x00 "GICD_IROUTER515,Interrupt Routing Register 515" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7020++0x07 line.quad 0x00 "GICD_IROUTER516,Interrupt Routing Register 516" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7028++0x07 line.quad 0x00 "GICD_IROUTER517,Interrupt Routing Register 517" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7030++0x07 line.quad 0x00 "GICD_IROUTER518,Interrupt Routing Register 518" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7038++0x07 line.quad 0x00 "GICD_IROUTER519,Interrupt Routing Register 519" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7040++0x07 line.quad 0x00 "GICD_IROUTER520,Interrupt Routing Register 520" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7048++0x07 line.quad 0x00 "GICD_IROUTER521,Interrupt Routing Register 521" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7050++0x07 line.quad 0x00 "GICD_IROUTER522,Interrupt Routing Register 522" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7058++0x07 line.quad 0x00 "GICD_IROUTER523,Interrupt Routing Register 523" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7060++0x07 line.quad 0x00 "GICD_IROUTER524,Interrupt Routing Register 524" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7068++0x07 line.quad 0x00 "GICD_IROUTER525,Interrupt Routing Register 525" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7070++0x07 line.quad 0x00 "GICD_IROUTER526,Interrupt Routing Register 526" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7078++0x07 line.quad 0x00 "GICD_IROUTER527,Interrupt Routing Register 527" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7080++0x07 line.quad 0x00 "GICD_IROUTER528,Interrupt Routing Register 528" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7088++0x07 line.quad 0x00 "GICD_IROUTER529,Interrupt Routing Register 529" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7090++0x07 line.quad 0x00 "GICD_IROUTER530,Interrupt Routing Register 530" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7098++0x07 line.quad 0x00 "GICD_IROUTER531,Interrupt Routing Register 531" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70A0++0x07 line.quad 0x00 "GICD_IROUTER532,Interrupt Routing Register 532" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70A8++0x07 line.quad 0x00 "GICD_IROUTER533,Interrupt Routing Register 533" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70B0++0x07 line.quad 0x00 "GICD_IROUTER534,Interrupt Routing Register 534" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70B8++0x07 line.quad 0x00 "GICD_IROUTER535,Interrupt Routing Register 535" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70C0++0x07 line.quad 0x00 "GICD_IROUTER536,Interrupt Routing Register 536" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70C8++0x07 line.quad 0x00 "GICD_IROUTER537,Interrupt Routing Register 537" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70D0++0x07 line.quad 0x00 "GICD_IROUTER538,Interrupt Routing Register 538" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70D8++0x07 line.quad 0x00 "GICD_IROUTER539,Interrupt Routing Register 539" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70E0++0x07 line.quad 0x00 "GICD_IROUTER540,Interrupt Routing Register 540" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70E8++0x07 line.quad 0x00 "GICD_IROUTER541,Interrupt Routing Register 541" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70F0++0x07 line.quad 0x00 "GICD_IROUTER542,Interrupt Routing Register 542" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x70F8++0x07 line.quad 0x00 "GICD_IROUTER543,Interrupt Routing Register 543" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7100++0x07 line.quad 0x00 "GICD_IROUTER544,Interrupt Routing Register 544" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7108++0x07 line.quad 0x00 "GICD_IROUTER545,Interrupt Routing Register 545" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7110++0x07 line.quad 0x00 "GICD_IROUTER546,Interrupt Routing Register 546" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7118++0x07 line.quad 0x00 "GICD_IROUTER547,Interrupt Routing Register 547" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7120++0x07 line.quad 0x00 "GICD_IROUTER548,Interrupt Routing Register 548" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7128++0x07 line.quad 0x00 "GICD_IROUTER549,Interrupt Routing Register 549" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7130++0x07 line.quad 0x00 "GICD_IROUTER550,Interrupt Routing Register 550" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7138++0x07 line.quad 0x00 "GICD_IROUTER551,Interrupt Routing Register 551" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7140++0x07 line.quad 0x00 "GICD_IROUTER552,Interrupt Routing Register 552" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7148++0x07 line.quad 0x00 "GICD_IROUTER553,Interrupt Routing Register 553" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7150++0x07 line.quad 0x00 "GICD_IROUTER554,Interrupt Routing Register 554" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7158++0x07 line.quad 0x00 "GICD_IROUTER555,Interrupt Routing Register 555" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7160++0x07 line.quad 0x00 "GICD_IROUTER556,Interrupt Routing Register 556" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7168++0x07 line.quad 0x00 "GICD_IROUTER557,Interrupt Routing Register 557" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7170++0x07 line.quad 0x00 "GICD_IROUTER558,Interrupt Routing Register 558" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7178++0x07 line.quad 0x00 "GICD_IROUTER559,Interrupt Routing Register 559" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7180++0x07 line.quad 0x00 "GICD_IROUTER560,Interrupt Routing Register 560" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7188++0x07 line.quad 0x00 "GICD_IROUTER561,Interrupt Routing Register 561" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7190++0x07 line.quad 0x00 "GICD_IROUTER562,Interrupt Routing Register 562" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7198++0x07 line.quad 0x00 "GICD_IROUTER563,Interrupt Routing Register 563" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71A0++0x07 line.quad 0x00 "GICD_IROUTER564,Interrupt Routing Register 564" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71A8++0x07 line.quad 0x00 "GICD_IROUTER565,Interrupt Routing Register 565" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71B0++0x07 line.quad 0x00 "GICD_IROUTER566,Interrupt Routing Register 566" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71B8++0x07 line.quad 0x00 "GICD_IROUTER567,Interrupt Routing Register 567" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71C0++0x07 line.quad 0x00 "GICD_IROUTER568,Interrupt Routing Register 568" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71C8++0x07 line.quad 0x00 "GICD_IROUTER569,Interrupt Routing Register 569" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71D0++0x07 line.quad 0x00 "GICD_IROUTER570,Interrupt Routing Register 570" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71D8++0x07 line.quad 0x00 "GICD_IROUTER571,Interrupt Routing Register 571" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71E0++0x07 line.quad 0x00 "GICD_IROUTER572,Interrupt Routing Register 572" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71E8++0x07 line.quad 0x00 "GICD_IROUTER573,Interrupt Routing Register 573" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71F0++0x07 line.quad 0x00 "GICD_IROUTER574,Interrupt Routing Register 574" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x71F8++0x07 line.quad 0x00 "GICD_IROUTER575,Interrupt Routing Register 575" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7200++0x07 line.quad 0x00 "GICD_IROUTER576,Interrupt Routing Register 576" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7208++0x07 line.quad 0x00 "GICD_IROUTER577,Interrupt Routing Register 577" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7210++0x07 line.quad 0x00 "GICD_IROUTER578,Interrupt Routing Register 578" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7218++0x07 line.quad 0x00 "GICD_IROUTER579,Interrupt Routing Register 579" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7220++0x07 line.quad 0x00 "GICD_IROUTER580,Interrupt Routing Register 580" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7228++0x07 line.quad 0x00 "GICD_IROUTER581,Interrupt Routing Register 581" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7230++0x07 line.quad 0x00 "GICD_IROUTER582,Interrupt Routing Register 582" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7238++0x07 line.quad 0x00 "GICD_IROUTER583,Interrupt Routing Register 583" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7240++0x07 line.quad 0x00 "GICD_IROUTER584,Interrupt Routing Register 584" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7248++0x07 line.quad 0x00 "GICD_IROUTER585,Interrupt Routing Register 585" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7250++0x07 line.quad 0x00 "GICD_IROUTER586,Interrupt Routing Register 586" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7258++0x07 line.quad 0x00 "GICD_IROUTER587,Interrupt Routing Register 587" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7260++0x07 line.quad 0x00 "GICD_IROUTER588,Interrupt Routing Register 588" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7268++0x07 line.quad 0x00 "GICD_IROUTER589,Interrupt Routing Register 589" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7270++0x07 line.quad 0x00 "GICD_IROUTER590,Interrupt Routing Register 590" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7278++0x07 line.quad 0x00 "GICD_IROUTER591,Interrupt Routing Register 591" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7280++0x07 line.quad 0x00 "GICD_IROUTER592,Interrupt Routing Register 592" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7288++0x07 line.quad 0x00 "GICD_IROUTER593,Interrupt Routing Register 593" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7290++0x07 line.quad 0x00 "GICD_IROUTER594,Interrupt Routing Register 594" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7298++0x07 line.quad 0x00 "GICD_IROUTER595,Interrupt Routing Register 595" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72A0++0x07 line.quad 0x00 "GICD_IROUTER596,Interrupt Routing Register 596" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72A8++0x07 line.quad 0x00 "GICD_IROUTER597,Interrupt Routing Register 597" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72B0++0x07 line.quad 0x00 "GICD_IROUTER598,Interrupt Routing Register 598" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72B8++0x07 line.quad 0x00 "GICD_IROUTER599,Interrupt Routing Register 599" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72C0++0x07 line.quad 0x00 "GICD_IROUTER600,Interrupt Routing Register 600" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72C8++0x07 line.quad 0x00 "GICD_IROUTER601,Interrupt Routing Register 601" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72D0++0x07 line.quad 0x00 "GICD_IROUTER602,Interrupt Routing Register 602" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72D8++0x07 line.quad 0x00 "GICD_IROUTER603,Interrupt Routing Register 603" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72E0++0x07 line.quad 0x00 "GICD_IROUTER604,Interrupt Routing Register 604" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72E8++0x07 line.quad 0x00 "GICD_IROUTER605,Interrupt Routing Register 605" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72F0++0x07 line.quad 0x00 "GICD_IROUTER606,Interrupt Routing Register 606" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x72F8++0x07 line.quad 0x00 "GICD_IROUTER607,Interrupt Routing Register 607" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7300++0x07 line.quad 0x00 "GICD_IROUTER608,Interrupt Routing Register 608" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7308++0x07 line.quad 0x00 "GICD_IROUTER609,Interrupt Routing Register 609" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7310++0x07 line.quad 0x00 "GICD_IROUTER610,Interrupt Routing Register 610" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7318++0x07 line.quad 0x00 "GICD_IROUTER611,Interrupt Routing Register 611" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7320++0x07 line.quad 0x00 "GICD_IROUTER612,Interrupt Routing Register 612" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7328++0x07 line.quad 0x00 "GICD_IROUTER613,Interrupt Routing Register 613" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7330++0x07 line.quad 0x00 "GICD_IROUTER614,Interrupt Routing Register 614" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7338++0x07 line.quad 0x00 "GICD_IROUTER615,Interrupt Routing Register 615" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7340++0x07 line.quad 0x00 "GICD_IROUTER616,Interrupt Routing Register 616" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7348++0x07 line.quad 0x00 "GICD_IROUTER617,Interrupt Routing Register 617" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7350++0x07 line.quad 0x00 "GICD_IROUTER618,Interrupt Routing Register 618" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7358++0x07 line.quad 0x00 "GICD_IROUTER619,Interrupt Routing Register 619" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7360++0x07 line.quad 0x00 "GICD_IROUTER620,Interrupt Routing Register 620" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7368++0x07 line.quad 0x00 "GICD_IROUTER621,Interrupt Routing Register 621" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7370++0x07 line.quad 0x00 "GICD_IROUTER622,Interrupt Routing Register 622" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7378++0x07 line.quad 0x00 "GICD_IROUTER623,Interrupt Routing Register 623" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7380++0x07 line.quad 0x00 "GICD_IROUTER624,Interrupt Routing Register 624" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7388++0x07 line.quad 0x00 "GICD_IROUTER625,Interrupt Routing Register 625" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7390++0x07 line.quad 0x00 "GICD_IROUTER626,Interrupt Routing Register 626" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7398++0x07 line.quad 0x00 "GICD_IROUTER627,Interrupt Routing Register 627" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73A0++0x07 line.quad 0x00 "GICD_IROUTER628,Interrupt Routing Register 628" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73A8++0x07 line.quad 0x00 "GICD_IROUTER629,Interrupt Routing Register 629" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73B0++0x07 line.quad 0x00 "GICD_IROUTER630,Interrupt Routing Register 630" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73B8++0x07 line.quad 0x00 "GICD_IROUTER631,Interrupt Routing Register 631" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73C0++0x07 line.quad 0x00 "GICD_IROUTER632,Interrupt Routing Register 632" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73C8++0x07 line.quad 0x00 "GICD_IROUTER633,Interrupt Routing Register 633" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73D0++0x07 line.quad 0x00 "GICD_IROUTER634,Interrupt Routing Register 634" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73D8++0x07 line.quad 0x00 "GICD_IROUTER635,Interrupt Routing Register 635" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73E0++0x07 line.quad 0x00 "GICD_IROUTER636,Interrupt Routing Register 636" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73E8++0x07 line.quad 0x00 "GICD_IROUTER637,Interrupt Routing Register 637" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73F0++0x07 line.quad 0x00 "GICD_IROUTER638,Interrupt Routing Register 638" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x73F8++0x07 line.quad 0x00 "GICD_IROUTER639,Interrupt Routing Register 639" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7400++0x07 line.quad 0x00 "GICD_IROUTER640,Interrupt Routing Register 640" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7408++0x07 line.quad 0x00 "GICD_IROUTER641,Interrupt Routing Register 641" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7410++0x07 line.quad 0x00 "GICD_IROUTER642,Interrupt Routing Register 642" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7418++0x07 line.quad 0x00 "GICD_IROUTER643,Interrupt Routing Register 643" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7420++0x07 line.quad 0x00 "GICD_IROUTER644,Interrupt Routing Register 644" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7428++0x07 line.quad 0x00 "GICD_IROUTER645,Interrupt Routing Register 645" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7430++0x07 line.quad 0x00 "GICD_IROUTER646,Interrupt Routing Register 646" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7438++0x07 line.quad 0x00 "GICD_IROUTER647,Interrupt Routing Register 647" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7440++0x07 line.quad 0x00 "GICD_IROUTER648,Interrupt Routing Register 648" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7448++0x07 line.quad 0x00 "GICD_IROUTER649,Interrupt Routing Register 649" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7450++0x07 line.quad 0x00 "GICD_IROUTER650,Interrupt Routing Register 650" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7458++0x07 line.quad 0x00 "GICD_IROUTER651,Interrupt Routing Register 651" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7460++0x07 line.quad 0x00 "GICD_IROUTER652,Interrupt Routing Register 652" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7468++0x07 line.quad 0x00 "GICD_IROUTER653,Interrupt Routing Register 653" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7470++0x07 line.quad 0x00 "GICD_IROUTER654,Interrupt Routing Register 654" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7478++0x07 line.quad 0x00 "GICD_IROUTER655,Interrupt Routing Register 655" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7480++0x07 line.quad 0x00 "GICD_IROUTER656,Interrupt Routing Register 656" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7488++0x07 line.quad 0x00 "GICD_IROUTER657,Interrupt Routing Register 657" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7490++0x07 line.quad 0x00 "GICD_IROUTER658,Interrupt Routing Register 658" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7498++0x07 line.quad 0x00 "GICD_IROUTER659,Interrupt Routing Register 659" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74A0++0x07 line.quad 0x00 "GICD_IROUTER660,Interrupt Routing Register 660" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74A8++0x07 line.quad 0x00 "GICD_IROUTER661,Interrupt Routing Register 661" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74B0++0x07 line.quad 0x00 "GICD_IROUTER662,Interrupt Routing Register 662" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74B8++0x07 line.quad 0x00 "GICD_IROUTER663,Interrupt Routing Register 663" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74C0++0x07 line.quad 0x00 "GICD_IROUTER664,Interrupt Routing Register 664" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74C8++0x07 line.quad 0x00 "GICD_IROUTER665,Interrupt Routing Register 665" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74D0++0x07 line.quad 0x00 "GICD_IROUTER666,Interrupt Routing Register 666" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74D8++0x07 line.quad 0x00 "GICD_IROUTER667,Interrupt Routing Register 667" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74E0++0x07 line.quad 0x00 "GICD_IROUTER668,Interrupt Routing Register 668" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74E8++0x07 line.quad 0x00 "GICD_IROUTER669,Interrupt Routing Register 669" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74F0++0x07 line.quad 0x00 "GICD_IROUTER670,Interrupt Routing Register 670" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x74F8++0x07 line.quad 0x00 "GICD_IROUTER671,Interrupt Routing Register 671" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7500++0x07 line.quad 0x00 "GICD_IROUTER672,Interrupt Routing Register 672" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7508++0x07 line.quad 0x00 "GICD_IROUTER673,Interrupt Routing Register 673" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7510++0x07 line.quad 0x00 "GICD_IROUTER674,Interrupt Routing Register 674" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7518++0x07 line.quad 0x00 "GICD_IROUTER675,Interrupt Routing Register 675" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7520++0x07 line.quad 0x00 "GICD_IROUTER676,Interrupt Routing Register 676" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7528++0x07 line.quad 0x00 "GICD_IROUTER677,Interrupt Routing Register 677" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7530++0x07 line.quad 0x00 "GICD_IROUTER678,Interrupt Routing Register 678" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7538++0x07 line.quad 0x00 "GICD_IROUTER679,Interrupt Routing Register 679" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7540++0x07 line.quad 0x00 "GICD_IROUTER680,Interrupt Routing Register 680" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7548++0x07 line.quad 0x00 "GICD_IROUTER681,Interrupt Routing Register 681" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7550++0x07 line.quad 0x00 "GICD_IROUTER682,Interrupt Routing Register 682" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7558++0x07 line.quad 0x00 "GICD_IROUTER683,Interrupt Routing Register 683" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7560++0x07 line.quad 0x00 "GICD_IROUTER684,Interrupt Routing Register 684" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7568++0x07 line.quad 0x00 "GICD_IROUTER685,Interrupt Routing Register 685" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7570++0x07 line.quad 0x00 "GICD_IROUTER686,Interrupt Routing Register 686" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7578++0x07 line.quad 0x00 "GICD_IROUTER687,Interrupt Routing Register 687" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7580++0x07 line.quad 0x00 "GICD_IROUTER688,Interrupt Routing Register 688" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7588++0x07 line.quad 0x00 "GICD_IROUTER689,Interrupt Routing Register 689" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7590++0x07 line.quad 0x00 "GICD_IROUTER690,Interrupt Routing Register 690" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7598++0x07 line.quad 0x00 "GICD_IROUTER691,Interrupt Routing Register 691" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75A0++0x07 line.quad 0x00 "GICD_IROUTER692,Interrupt Routing Register 692" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75A8++0x07 line.quad 0x00 "GICD_IROUTER693,Interrupt Routing Register 693" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75B0++0x07 line.quad 0x00 "GICD_IROUTER694,Interrupt Routing Register 694" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75B8++0x07 line.quad 0x00 "GICD_IROUTER695,Interrupt Routing Register 695" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75C0++0x07 line.quad 0x00 "GICD_IROUTER696,Interrupt Routing Register 696" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75C8++0x07 line.quad 0x00 "GICD_IROUTER697,Interrupt Routing Register 697" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75D0++0x07 line.quad 0x00 "GICD_IROUTER698,Interrupt Routing Register 698" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75D8++0x07 line.quad 0x00 "GICD_IROUTER699,Interrupt Routing Register 699" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75E0++0x07 line.quad 0x00 "GICD_IROUTER700,Interrupt Routing Register 700" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75E8++0x07 line.quad 0x00 "GICD_IROUTER701,Interrupt Routing Register 701" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75F0++0x07 line.quad 0x00 "GICD_IROUTER702,Interrupt Routing Register 702" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x75F8++0x07 line.quad 0x00 "GICD_IROUTER703,Interrupt Routing Register 703" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7600++0x07 line.quad 0x00 "GICD_IROUTER704,Interrupt Routing Register 704" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7608++0x07 line.quad 0x00 "GICD_IROUTER705,Interrupt Routing Register 705" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7610++0x07 line.quad 0x00 "GICD_IROUTER706,Interrupt Routing Register 706" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7618++0x07 line.quad 0x00 "GICD_IROUTER707,Interrupt Routing Register 707" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7620++0x07 line.quad 0x00 "GICD_IROUTER708,Interrupt Routing Register 708" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7628++0x07 line.quad 0x00 "GICD_IROUTER709,Interrupt Routing Register 709" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7630++0x07 line.quad 0x00 "GICD_IROUTER710,Interrupt Routing Register 710" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7638++0x07 line.quad 0x00 "GICD_IROUTER711,Interrupt Routing Register 711" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7640++0x07 line.quad 0x00 "GICD_IROUTER712,Interrupt Routing Register 712" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7648++0x07 line.quad 0x00 "GICD_IROUTER713,Interrupt Routing Register 713" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7650++0x07 line.quad 0x00 "GICD_IROUTER714,Interrupt Routing Register 714" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7658++0x07 line.quad 0x00 "GICD_IROUTER715,Interrupt Routing Register 715" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7660++0x07 line.quad 0x00 "GICD_IROUTER716,Interrupt Routing Register 716" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7668++0x07 line.quad 0x00 "GICD_IROUTER717,Interrupt Routing Register 717" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7670++0x07 line.quad 0x00 "GICD_IROUTER718,Interrupt Routing Register 718" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7678++0x07 line.quad 0x00 "GICD_IROUTER719,Interrupt Routing Register 719" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7680++0x07 line.quad 0x00 "GICD_IROUTER720,Interrupt Routing Register 720" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7688++0x07 line.quad 0x00 "GICD_IROUTER721,Interrupt Routing Register 721" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7690++0x07 line.quad 0x00 "GICD_IROUTER722,Interrupt Routing Register 722" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7698++0x07 line.quad 0x00 "GICD_IROUTER723,Interrupt Routing Register 723" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76A0++0x07 line.quad 0x00 "GICD_IROUTER724,Interrupt Routing Register 724" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76A8++0x07 line.quad 0x00 "GICD_IROUTER725,Interrupt Routing Register 725" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76B0++0x07 line.quad 0x00 "GICD_IROUTER726,Interrupt Routing Register 726" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76B8++0x07 line.quad 0x00 "GICD_IROUTER727,Interrupt Routing Register 727" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76C0++0x07 line.quad 0x00 "GICD_IROUTER728,Interrupt Routing Register 728" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76C8++0x07 line.quad 0x00 "GICD_IROUTER729,Interrupt Routing Register 729" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76D0++0x07 line.quad 0x00 "GICD_IROUTER730,Interrupt Routing Register 730" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76D8++0x07 line.quad 0x00 "GICD_IROUTER731,Interrupt Routing Register 731" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76E0++0x07 line.quad 0x00 "GICD_IROUTER732,Interrupt Routing Register 732" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76E8++0x07 line.quad 0x00 "GICD_IROUTER733,Interrupt Routing Register 733" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76F0++0x07 line.quad 0x00 "GICD_IROUTER734,Interrupt Routing Register 734" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x76F8++0x07 line.quad 0x00 "GICD_IROUTER735,Interrupt Routing Register 735" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7700++0x07 line.quad 0x00 "GICD_IROUTER736,Interrupt Routing Register 736" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7708++0x07 line.quad 0x00 "GICD_IROUTER737,Interrupt Routing Register 737" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7710++0x07 line.quad 0x00 "GICD_IROUTER738,Interrupt Routing Register 738" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7718++0x07 line.quad 0x00 "GICD_IROUTER739,Interrupt Routing Register 739" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7720++0x07 line.quad 0x00 "GICD_IROUTER740,Interrupt Routing Register 740" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7728++0x07 line.quad 0x00 "GICD_IROUTER741,Interrupt Routing Register 741" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7730++0x07 line.quad 0x00 "GICD_IROUTER742,Interrupt Routing Register 742" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7738++0x07 line.quad 0x00 "GICD_IROUTER743,Interrupt Routing Register 743" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7740++0x07 line.quad 0x00 "GICD_IROUTER744,Interrupt Routing Register 744" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7748++0x07 line.quad 0x00 "GICD_IROUTER745,Interrupt Routing Register 745" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7750++0x07 line.quad 0x00 "GICD_IROUTER746,Interrupt Routing Register 746" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7758++0x07 line.quad 0x00 "GICD_IROUTER747,Interrupt Routing Register 747" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7760++0x07 line.quad 0x00 "GICD_IROUTER748,Interrupt Routing Register 748" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7768++0x07 line.quad 0x00 "GICD_IROUTER749,Interrupt Routing Register 749" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7770++0x07 line.quad 0x00 "GICD_IROUTER750,Interrupt Routing Register 750" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7778++0x07 line.quad 0x00 "GICD_IROUTER751,Interrupt Routing Register 751" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7780++0x07 line.quad 0x00 "GICD_IROUTER752,Interrupt Routing Register 752" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7788++0x07 line.quad 0x00 "GICD_IROUTER753,Interrupt Routing Register 753" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7790++0x07 line.quad 0x00 "GICD_IROUTER754,Interrupt Routing Register 754" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7798++0x07 line.quad 0x00 "GICD_IROUTER755,Interrupt Routing Register 755" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77A0++0x07 line.quad 0x00 "GICD_IROUTER756,Interrupt Routing Register 756" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77A8++0x07 line.quad 0x00 "GICD_IROUTER757,Interrupt Routing Register 757" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77B0++0x07 line.quad 0x00 "GICD_IROUTER758,Interrupt Routing Register 758" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77B8++0x07 line.quad 0x00 "GICD_IROUTER759,Interrupt Routing Register 759" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77C0++0x07 line.quad 0x00 "GICD_IROUTER760,Interrupt Routing Register 760" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77C8++0x07 line.quad 0x00 "GICD_IROUTER761,Interrupt Routing Register 761" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77D0++0x07 line.quad 0x00 "GICD_IROUTER762,Interrupt Routing Register 762" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77D8++0x07 line.quad 0x00 "GICD_IROUTER763,Interrupt Routing Register 763" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77E0++0x07 line.quad 0x00 "GICD_IROUTER764,Interrupt Routing Register 764" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77E8++0x07 line.quad 0x00 "GICD_IROUTER765,Interrupt Routing Register 765" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77F0++0x07 line.quad 0x00 "GICD_IROUTER766,Interrupt Routing Register 766" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x77F8++0x07 line.quad 0x00 "GICD_IROUTER767,Interrupt Routing Register 767" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7800++0x07 line.quad 0x00 "GICD_IROUTER768,Interrupt Routing Register 768" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7808++0x07 line.quad 0x00 "GICD_IROUTER769,Interrupt Routing Register 769" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7810++0x07 line.quad 0x00 "GICD_IROUTER770,Interrupt Routing Register 770" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7818++0x07 line.quad 0x00 "GICD_IROUTER771,Interrupt Routing Register 771" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7820++0x07 line.quad 0x00 "GICD_IROUTER772,Interrupt Routing Register 772" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7828++0x07 line.quad 0x00 "GICD_IROUTER773,Interrupt Routing Register 773" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7830++0x07 line.quad 0x00 "GICD_IROUTER774,Interrupt Routing Register 774" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7838++0x07 line.quad 0x00 "GICD_IROUTER775,Interrupt Routing Register 775" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7840++0x07 line.quad 0x00 "GICD_IROUTER776,Interrupt Routing Register 776" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7848++0x07 line.quad 0x00 "GICD_IROUTER777,Interrupt Routing Register 777" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7850++0x07 line.quad 0x00 "GICD_IROUTER778,Interrupt Routing Register 778" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7858++0x07 line.quad 0x00 "GICD_IROUTER779,Interrupt Routing Register 779" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7860++0x07 line.quad 0x00 "GICD_IROUTER780,Interrupt Routing Register 780" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7868++0x07 line.quad 0x00 "GICD_IROUTER781,Interrupt Routing Register 781" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7870++0x07 line.quad 0x00 "GICD_IROUTER782,Interrupt Routing Register 782" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7878++0x07 line.quad 0x00 "GICD_IROUTER783,Interrupt Routing Register 783" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7880++0x07 line.quad 0x00 "GICD_IROUTER784,Interrupt Routing Register 784" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7888++0x07 line.quad 0x00 "GICD_IROUTER785,Interrupt Routing Register 785" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7890++0x07 line.quad 0x00 "GICD_IROUTER786,Interrupt Routing Register 786" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7898++0x07 line.quad 0x00 "GICD_IROUTER787,Interrupt Routing Register 787" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78A0++0x07 line.quad 0x00 "GICD_IROUTER788,Interrupt Routing Register 788" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78A8++0x07 line.quad 0x00 "GICD_IROUTER789,Interrupt Routing Register 789" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78B0++0x07 line.quad 0x00 "GICD_IROUTER790,Interrupt Routing Register 790" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78B8++0x07 line.quad 0x00 "GICD_IROUTER791,Interrupt Routing Register 791" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78C0++0x07 line.quad 0x00 "GICD_IROUTER792,Interrupt Routing Register 792" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78C8++0x07 line.quad 0x00 "GICD_IROUTER793,Interrupt Routing Register 793" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78D0++0x07 line.quad 0x00 "GICD_IROUTER794,Interrupt Routing Register 794" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78D8++0x07 line.quad 0x00 "GICD_IROUTER795,Interrupt Routing Register 795" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78E0++0x07 line.quad 0x00 "GICD_IROUTER796,Interrupt Routing Register 796" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78E8++0x07 line.quad 0x00 "GICD_IROUTER797,Interrupt Routing Register 797" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78F0++0x07 line.quad 0x00 "GICD_IROUTER798,Interrupt Routing Register 798" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x78F8++0x07 line.quad 0x00 "GICD_IROUTER799,Interrupt Routing Register 799" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7900++0x07 line.quad 0x00 "GICD_IROUTER800,Interrupt Routing Register 800" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7908++0x07 line.quad 0x00 "GICD_IROUTER801,Interrupt Routing Register 801" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7910++0x07 line.quad 0x00 "GICD_IROUTER802,Interrupt Routing Register 802" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7918++0x07 line.quad 0x00 "GICD_IROUTER803,Interrupt Routing Register 803" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7920++0x07 line.quad 0x00 "GICD_IROUTER804,Interrupt Routing Register 804" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7928++0x07 line.quad 0x00 "GICD_IROUTER805,Interrupt Routing Register 805" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7930++0x07 line.quad 0x00 "GICD_IROUTER806,Interrupt Routing Register 806" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7938++0x07 line.quad 0x00 "GICD_IROUTER807,Interrupt Routing Register 807" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7940++0x07 line.quad 0x00 "GICD_IROUTER808,Interrupt Routing Register 808" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7948++0x07 line.quad 0x00 "GICD_IROUTER809,Interrupt Routing Register 809" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7950++0x07 line.quad 0x00 "GICD_IROUTER810,Interrupt Routing Register 810" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7958++0x07 line.quad 0x00 "GICD_IROUTER811,Interrupt Routing Register 811" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7960++0x07 line.quad 0x00 "GICD_IROUTER812,Interrupt Routing Register 812" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7968++0x07 line.quad 0x00 "GICD_IROUTER813,Interrupt Routing Register 813" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7970++0x07 line.quad 0x00 "GICD_IROUTER814,Interrupt Routing Register 814" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7978++0x07 line.quad 0x00 "GICD_IROUTER815,Interrupt Routing Register 815" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7980++0x07 line.quad 0x00 "GICD_IROUTER816,Interrupt Routing Register 816" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7988++0x07 line.quad 0x00 "GICD_IROUTER817,Interrupt Routing Register 817" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7990++0x07 line.quad 0x00 "GICD_IROUTER818,Interrupt Routing Register 818" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7998++0x07 line.quad 0x00 "GICD_IROUTER819,Interrupt Routing Register 819" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79A0++0x07 line.quad 0x00 "GICD_IROUTER820,Interrupt Routing Register 820" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79A8++0x07 line.quad 0x00 "GICD_IROUTER821,Interrupt Routing Register 821" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79B0++0x07 line.quad 0x00 "GICD_IROUTER822,Interrupt Routing Register 822" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79B8++0x07 line.quad 0x00 "GICD_IROUTER823,Interrupt Routing Register 823" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79C0++0x07 line.quad 0x00 "GICD_IROUTER824,Interrupt Routing Register 824" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79C8++0x07 line.quad 0x00 "GICD_IROUTER825,Interrupt Routing Register 825" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79D0++0x07 line.quad 0x00 "GICD_IROUTER826,Interrupt Routing Register 826" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79D8++0x07 line.quad 0x00 "GICD_IROUTER827,Interrupt Routing Register 827" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79E0++0x07 line.quad 0x00 "GICD_IROUTER828,Interrupt Routing Register 828" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79E8++0x07 line.quad 0x00 "GICD_IROUTER829,Interrupt Routing Register 829" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79F0++0x07 line.quad 0x00 "GICD_IROUTER830,Interrupt Routing Register 830" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x79F8++0x07 line.quad 0x00 "GICD_IROUTER831,Interrupt Routing Register 831" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A00++0x07 line.quad 0x00 "GICD_IROUTER832,Interrupt Routing Register 832" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A08++0x07 line.quad 0x00 "GICD_IROUTER833,Interrupt Routing Register 833" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A10++0x07 line.quad 0x00 "GICD_IROUTER834,Interrupt Routing Register 834" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A18++0x07 line.quad 0x00 "GICD_IROUTER835,Interrupt Routing Register 835" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A20++0x07 line.quad 0x00 "GICD_IROUTER836,Interrupt Routing Register 836" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A28++0x07 line.quad 0x00 "GICD_IROUTER837,Interrupt Routing Register 837" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A30++0x07 line.quad 0x00 "GICD_IROUTER838,Interrupt Routing Register 838" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A38++0x07 line.quad 0x00 "GICD_IROUTER839,Interrupt Routing Register 839" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A40++0x07 line.quad 0x00 "GICD_IROUTER840,Interrupt Routing Register 840" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A48++0x07 line.quad 0x00 "GICD_IROUTER841,Interrupt Routing Register 841" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A50++0x07 line.quad 0x00 "GICD_IROUTER842,Interrupt Routing Register 842" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A58++0x07 line.quad 0x00 "GICD_IROUTER843,Interrupt Routing Register 843" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A60++0x07 line.quad 0x00 "GICD_IROUTER844,Interrupt Routing Register 844" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A68++0x07 line.quad 0x00 "GICD_IROUTER845,Interrupt Routing Register 845" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A70++0x07 line.quad 0x00 "GICD_IROUTER846,Interrupt Routing Register 846" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A78++0x07 line.quad 0x00 "GICD_IROUTER847,Interrupt Routing Register 847" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A80++0x07 line.quad 0x00 "GICD_IROUTER848,Interrupt Routing Register 848" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A88++0x07 line.quad 0x00 "GICD_IROUTER849,Interrupt Routing Register 849" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A90++0x07 line.quad 0x00 "GICD_IROUTER850,Interrupt Routing Register 850" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7A98++0x07 line.quad 0x00 "GICD_IROUTER851,Interrupt Routing Register 851" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AA0++0x07 line.quad 0x00 "GICD_IROUTER852,Interrupt Routing Register 852" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AA8++0x07 line.quad 0x00 "GICD_IROUTER853,Interrupt Routing Register 853" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AB0++0x07 line.quad 0x00 "GICD_IROUTER854,Interrupt Routing Register 854" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AB8++0x07 line.quad 0x00 "GICD_IROUTER855,Interrupt Routing Register 855" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AC0++0x07 line.quad 0x00 "GICD_IROUTER856,Interrupt Routing Register 856" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AC8++0x07 line.quad 0x00 "GICD_IROUTER857,Interrupt Routing Register 857" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AD0++0x07 line.quad 0x00 "GICD_IROUTER858,Interrupt Routing Register 858" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AD8++0x07 line.quad 0x00 "GICD_IROUTER859,Interrupt Routing Register 859" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AE0++0x07 line.quad 0x00 "GICD_IROUTER860,Interrupt Routing Register 860" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AE8++0x07 line.quad 0x00 "GICD_IROUTER861,Interrupt Routing Register 861" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AF0++0x07 line.quad 0x00 "GICD_IROUTER862,Interrupt Routing Register 862" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7AF8++0x07 line.quad 0x00 "GICD_IROUTER863,Interrupt Routing Register 863" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B00++0x07 line.quad 0x00 "GICD_IROUTER864,Interrupt Routing Register 864" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B08++0x07 line.quad 0x00 "GICD_IROUTER865,Interrupt Routing Register 865" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B10++0x07 line.quad 0x00 "GICD_IROUTER866,Interrupt Routing Register 866" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B18++0x07 line.quad 0x00 "GICD_IROUTER867,Interrupt Routing Register 867" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B20++0x07 line.quad 0x00 "GICD_IROUTER868,Interrupt Routing Register 868" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B28++0x07 line.quad 0x00 "GICD_IROUTER869,Interrupt Routing Register 869" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B30++0x07 line.quad 0x00 "GICD_IROUTER870,Interrupt Routing Register 870" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B38++0x07 line.quad 0x00 "GICD_IROUTER871,Interrupt Routing Register 871" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B40++0x07 line.quad 0x00 "GICD_IROUTER872,Interrupt Routing Register 872" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B48++0x07 line.quad 0x00 "GICD_IROUTER873,Interrupt Routing Register 873" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B50++0x07 line.quad 0x00 "GICD_IROUTER874,Interrupt Routing Register 874" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B58++0x07 line.quad 0x00 "GICD_IROUTER875,Interrupt Routing Register 875" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B60++0x07 line.quad 0x00 "GICD_IROUTER876,Interrupt Routing Register 876" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B68++0x07 line.quad 0x00 "GICD_IROUTER877,Interrupt Routing Register 877" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B70++0x07 line.quad 0x00 "GICD_IROUTER878,Interrupt Routing Register 878" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B78++0x07 line.quad 0x00 "GICD_IROUTER879,Interrupt Routing Register 879" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B80++0x07 line.quad 0x00 "GICD_IROUTER880,Interrupt Routing Register 880" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B88++0x07 line.quad 0x00 "GICD_IROUTER881,Interrupt Routing Register 881" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B90++0x07 line.quad 0x00 "GICD_IROUTER882,Interrupt Routing Register 882" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7B98++0x07 line.quad 0x00 "GICD_IROUTER883,Interrupt Routing Register 883" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BA0++0x07 line.quad 0x00 "GICD_IROUTER884,Interrupt Routing Register 884" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BA8++0x07 line.quad 0x00 "GICD_IROUTER885,Interrupt Routing Register 885" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BB0++0x07 line.quad 0x00 "GICD_IROUTER886,Interrupt Routing Register 886" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BB8++0x07 line.quad 0x00 "GICD_IROUTER887,Interrupt Routing Register 887" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BC0++0x07 line.quad 0x00 "GICD_IROUTER888,Interrupt Routing Register 888" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BC8++0x07 line.quad 0x00 "GICD_IROUTER889,Interrupt Routing Register 889" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BD0++0x07 line.quad 0x00 "GICD_IROUTER890,Interrupt Routing Register 890" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BD8++0x07 line.quad 0x00 "GICD_IROUTER891,Interrupt Routing Register 891" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BE0++0x07 line.quad 0x00 "GICD_IROUTER892,Interrupt Routing Register 892" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BE8++0x07 line.quad 0x00 "GICD_IROUTER893,Interrupt Routing Register 893" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BF0++0x07 line.quad 0x00 "GICD_IROUTER894,Interrupt Routing Register 894" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7BF8++0x07 line.quad 0x00 "GICD_IROUTER895,Interrupt Routing Register 895" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C00++0x07 line.quad 0x00 "GICD_IROUTER896,Interrupt Routing Register 896" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C08++0x07 line.quad 0x00 "GICD_IROUTER897,Interrupt Routing Register 897" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C10++0x07 line.quad 0x00 "GICD_IROUTER898,Interrupt Routing Register 898" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C18++0x07 line.quad 0x00 "GICD_IROUTER899,Interrupt Routing Register 899" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C20++0x07 line.quad 0x00 "GICD_IROUTER900,Interrupt Routing Register 900" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C28++0x07 line.quad 0x00 "GICD_IROUTER901,Interrupt Routing Register 901" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C30++0x07 line.quad 0x00 "GICD_IROUTER902,Interrupt Routing Register 902" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C38++0x07 line.quad 0x00 "GICD_IROUTER903,Interrupt Routing Register 903" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C40++0x07 line.quad 0x00 "GICD_IROUTER904,Interrupt Routing Register 904" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C48++0x07 line.quad 0x00 "GICD_IROUTER905,Interrupt Routing Register 905" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C50++0x07 line.quad 0x00 "GICD_IROUTER906,Interrupt Routing Register 906" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C58++0x07 line.quad 0x00 "GICD_IROUTER907,Interrupt Routing Register 907" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C60++0x07 line.quad 0x00 "GICD_IROUTER908,Interrupt Routing Register 908" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C68++0x07 line.quad 0x00 "GICD_IROUTER909,Interrupt Routing Register 909" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C70++0x07 line.quad 0x00 "GICD_IROUTER910,Interrupt Routing Register 910" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C78++0x07 line.quad 0x00 "GICD_IROUTER911,Interrupt Routing Register 911" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C80++0x07 line.quad 0x00 "GICD_IROUTER912,Interrupt Routing Register 912" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C88++0x07 line.quad 0x00 "GICD_IROUTER913,Interrupt Routing Register 913" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C90++0x07 line.quad 0x00 "GICD_IROUTER914,Interrupt Routing Register 914" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7C98++0x07 line.quad 0x00 "GICD_IROUTER915,Interrupt Routing Register 915" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CA0++0x07 line.quad 0x00 "GICD_IROUTER916,Interrupt Routing Register 916" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CA8++0x07 line.quad 0x00 "GICD_IROUTER917,Interrupt Routing Register 917" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CB0++0x07 line.quad 0x00 "GICD_IROUTER918,Interrupt Routing Register 918" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CB8++0x07 line.quad 0x00 "GICD_IROUTER919,Interrupt Routing Register 919" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CC0++0x07 line.quad 0x00 "GICD_IROUTER920,Interrupt Routing Register 920" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CC8++0x07 line.quad 0x00 "GICD_IROUTER921,Interrupt Routing Register 921" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CD0++0x07 line.quad 0x00 "GICD_IROUTER922,Interrupt Routing Register 922" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CD8++0x07 line.quad 0x00 "GICD_IROUTER923,Interrupt Routing Register 923" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CE0++0x07 line.quad 0x00 "GICD_IROUTER924,Interrupt Routing Register 924" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CE8++0x07 line.quad 0x00 "GICD_IROUTER925,Interrupt Routing Register 925" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CF0++0x07 line.quad 0x00 "GICD_IROUTER926,Interrupt Routing Register 926" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7CF8++0x07 line.quad 0x00 "GICD_IROUTER927,Interrupt Routing Register 927" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D00++0x07 line.quad 0x00 "GICD_IROUTER928,Interrupt Routing Register 928" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D08++0x07 line.quad 0x00 "GICD_IROUTER929,Interrupt Routing Register 929" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D10++0x07 line.quad 0x00 "GICD_IROUTER930,Interrupt Routing Register 930" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D18++0x07 line.quad 0x00 "GICD_IROUTER931,Interrupt Routing Register 931" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D20++0x07 line.quad 0x00 "GICD_IROUTER932,Interrupt Routing Register 932" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D28++0x07 line.quad 0x00 "GICD_IROUTER933,Interrupt Routing Register 933" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D30++0x07 line.quad 0x00 "GICD_IROUTER934,Interrupt Routing Register 934" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D38++0x07 line.quad 0x00 "GICD_IROUTER935,Interrupt Routing Register 935" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D40++0x07 line.quad 0x00 "GICD_IROUTER936,Interrupt Routing Register 936" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D48++0x07 line.quad 0x00 "GICD_IROUTER937,Interrupt Routing Register 937" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D50++0x07 line.quad 0x00 "GICD_IROUTER938,Interrupt Routing Register 938" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D58++0x07 line.quad 0x00 "GICD_IROUTER939,Interrupt Routing Register 939" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D60++0x07 line.quad 0x00 "GICD_IROUTER940,Interrupt Routing Register 940" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D68++0x07 line.quad 0x00 "GICD_IROUTER941,Interrupt Routing Register 941" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D70++0x07 line.quad 0x00 "GICD_IROUTER942,Interrupt Routing Register 942" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D78++0x07 line.quad 0x00 "GICD_IROUTER943,Interrupt Routing Register 943" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D80++0x07 line.quad 0x00 "GICD_IROUTER944,Interrupt Routing Register 944" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D88++0x07 line.quad 0x00 "GICD_IROUTER945,Interrupt Routing Register 945" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D90++0x07 line.quad 0x00 "GICD_IROUTER946,Interrupt Routing Register 946" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7D98++0x07 line.quad 0x00 "GICD_IROUTER947,Interrupt Routing Register 947" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DA0++0x07 line.quad 0x00 "GICD_IROUTER948,Interrupt Routing Register 948" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DA8++0x07 line.quad 0x00 "GICD_IROUTER949,Interrupt Routing Register 949" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DB0++0x07 line.quad 0x00 "GICD_IROUTER950,Interrupt Routing Register 950" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DB8++0x07 line.quad 0x00 "GICD_IROUTER951,Interrupt Routing Register 951" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DC0++0x07 line.quad 0x00 "GICD_IROUTER952,Interrupt Routing Register 952" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DC8++0x07 line.quad 0x00 "GICD_IROUTER953,Interrupt Routing Register 953" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DD0++0x07 line.quad 0x00 "GICD_IROUTER954,Interrupt Routing Register 954" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DD8++0x07 line.quad 0x00 "GICD_IROUTER955,Interrupt Routing Register 955" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DE0++0x07 line.quad 0x00 "GICD_IROUTER956,Interrupt Routing Register 956" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DE8++0x07 line.quad 0x00 "GICD_IROUTER957,Interrupt Routing Register 957" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DF0++0x07 line.quad 0x00 "GICD_IROUTER958,Interrupt Routing Register 958" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7DF8++0x07 line.quad 0x00 "GICD_IROUTER959,Interrupt Routing Register 959" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E00++0x07 line.quad 0x00 "GICD_IROUTER960,Interrupt Routing Register 960" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E08++0x07 line.quad 0x00 "GICD_IROUTER961,Interrupt Routing Register 961" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E10++0x07 line.quad 0x00 "GICD_IROUTER962,Interrupt Routing Register 962" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E18++0x07 line.quad 0x00 "GICD_IROUTER963,Interrupt Routing Register 963" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E20++0x07 line.quad 0x00 "GICD_IROUTER964,Interrupt Routing Register 964" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E28++0x07 line.quad 0x00 "GICD_IROUTER965,Interrupt Routing Register 965" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E30++0x07 line.quad 0x00 "GICD_IROUTER966,Interrupt Routing Register 966" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E38++0x07 line.quad 0x00 "GICD_IROUTER967,Interrupt Routing Register 967" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E40++0x07 line.quad 0x00 "GICD_IROUTER968,Interrupt Routing Register 968" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E48++0x07 line.quad 0x00 "GICD_IROUTER969,Interrupt Routing Register 969" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E50++0x07 line.quad 0x00 "GICD_IROUTER970,Interrupt Routing Register 970" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E58++0x07 line.quad 0x00 "GICD_IROUTER971,Interrupt Routing Register 971" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E60++0x07 line.quad 0x00 "GICD_IROUTER972,Interrupt Routing Register 972" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E68++0x07 line.quad 0x00 "GICD_IROUTER973,Interrupt Routing Register 973" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E70++0x07 line.quad 0x00 "GICD_IROUTER974,Interrupt Routing Register 974" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E78++0x07 line.quad 0x00 "GICD_IROUTER975,Interrupt Routing Register 975" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E80++0x07 line.quad 0x00 "GICD_IROUTER976,Interrupt Routing Register 976" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E88++0x07 line.quad 0x00 "GICD_IROUTER977,Interrupt Routing Register 977" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E90++0x07 line.quad 0x00 "GICD_IROUTER978,Interrupt Routing Register 978" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7E98++0x07 line.quad 0x00 "GICD_IROUTER979,Interrupt Routing Register 979" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EA0++0x07 line.quad 0x00 "GICD_IROUTER980,Interrupt Routing Register 980" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EA8++0x07 line.quad 0x00 "GICD_IROUTER981,Interrupt Routing Register 981" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EB0++0x07 line.quad 0x00 "GICD_IROUTER982,Interrupt Routing Register 982" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EB8++0x07 line.quad 0x00 "GICD_IROUTER983,Interrupt Routing Register 983" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EC0++0x07 line.quad 0x00 "GICD_IROUTER984,Interrupt Routing Register 984" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EC8++0x07 line.quad 0x00 "GICD_IROUTER985,Interrupt Routing Register 985" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7ED0++0x07 line.quad 0x00 "GICD_IROUTER986,Interrupt Routing Register 986" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7ED8++0x07 line.quad 0x00 "GICD_IROUTER987,Interrupt Routing Register 987" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EE0++0x07 line.quad 0x00 "GICD_IROUTER988,Interrupt Routing Register 988" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EE8++0x07 line.quad 0x00 "GICD_IROUTER989,Interrupt Routing Register 989" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EF0++0x07 line.quad 0x00 "GICD_IROUTER990,Interrupt Routing Register 990" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" group.quad 0x7EF8++0x07 line.quad 0x00 "GICD_IROUTER991,Interrupt Routing Register 991" hexmask.quad.byte 0x00 32.--39. 1. " AFF3 ,Affinity level 3" bitfld.quad 0x00 31. " IRM ,Interrupt Routing Mode. Defines how SPIs are routed in an affinity hierarchy" "PE specified by AFFn fields,Any PE defined as a participating node" textline " " hexmask.quad.byte 0x00 16.--23. 1. " AFF2 ,Affinity level 2" hexmask.quad.byte 0x00 8.--15. 1. " AFF1 ,Affinity level 1" textline " " hexmask.quad.byte 0x00 0.--7. 1. " AFF0 ,Affinity level 0" tree.end width 22. tree "Implementation Defined Test Registers" rgroup.long 0xC000++0x03 line.long 0x00 "GICD_ESTATUSR,GICD_ESTATUSR" bitfld.long 0x00 31. " SRWP ,Super Register Write Pending" "Not pending,Pending" wgroup.long 0xC004++0x03 line.long 0x00 "GICD_ERRTESTR,Error Test Register" bitfld.long 0x00 1. " AXIM_ERR ,Drives the axim_err pin to 0b1 for 1 cycle" "Low,High" bitfld.long 0x00 0. " ECC_FATAL ,Drives the ecc_fatal pin to 0b1 for 1 cycle" "Low,High" textline " " if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x01) rgroup.long 0xC084++0x03 line.long 0x0 "GICD_SPISR0,Shared Peripheral Interrupt Status Register 0" bitfld.long 0x00 31. " SPIS63 ,SPI Status Bit 63" "Low,High" bitfld.long 0x00 30. " SPIS62 ,SPI Status Bit 62" "Low,High" bitfld.long 0x00 29. " SPIS61 ,SPI Status Bit 61" "Low,High" textline " " bitfld.long 0x00 28. " SPIS60 ,SPI Status Bit 60" "Low,High" bitfld.long 0x00 27. " SPIS59 ,SPI Status Bit 59" "Low,High" bitfld.long 0x00 26. " SPIS58 ,SPI Status Bit 58" "Low,High" textline " " bitfld.long 0x00 25. " SPIS57 ,SPI Status Bit 57" "Low,High" bitfld.long 0x00 24. " SPIS56 ,SPI Status Bit 56" "Low,High" bitfld.long 0x00 23. " SPIS55 ,SPI Status Bit 55" "Low,High" textline " " bitfld.long 0x00 22. " SPIS54 ,SPI Status Bit 54" "Low,High" bitfld.long 0x00 21. " SPIS53 ,SPI Status Bit 53" "Low,High" bitfld.long 0x00 20. " SPIS52 ,SPI Status Bit 52" "Low,High" textline " " bitfld.long 0x00 19. " SPIS51 ,SPI Status Bit 51" "Low,High" bitfld.long 0x00 18. " SPIS50 ,SPI Status Bit 50" "Low,High" bitfld.long 0x00 17. " SPIS49 ,SPI Status Bit 49" "Low,High" textline " " bitfld.long 0x00 16. " SPIS48 ,SPI Status Bit 48" "Low,High" bitfld.long 0x00 15. " SPIS47 ,SPI Status Bit 47" "Low,High" bitfld.long 0x00 14. " SPIS46 ,SPI Status Bit 46" "Low,High" textline " " bitfld.long 0x00 13. " SPIS45 ,SPI Status Bit 45" "Low,High" bitfld.long 0x00 12. " SPIS44 ,SPI Status Bit 44" "Low,High" bitfld.long 0x00 11. " SPIS43 ,SPI Status Bit 43" "Low,High" textline " " bitfld.long 0x00 10. " SPIS42 ,SPI Status Bit 42" "Low,High" bitfld.long 0x00 9. " SPIS41 ,SPI Status Bit 41" "Low,High" bitfld.long 0x00 8. " SPIS40 ,SPI Status Bit 40" "Low,High" textline " " bitfld.long 0x00 7. " SPIS39 ,SPI Status Bit 39" "Low,High" bitfld.long 0x00 6. " SPIS38 ,SPI Status Bit 38" "Low,High" bitfld.long 0x00 5. " SPIS37 ,SPI Status Bit 37" "Low,High" textline " " bitfld.long 0x00 4. " SPIS36 ,SPI Status Bit 36" "Low,High" bitfld.long 0x00 3. " SPIS35 ,SPI Status Bit 35" "Low,High" bitfld.long 0x00 2. " SPIS34 ,SPI Status Bit 34" "Low,High" textline " " bitfld.long 0x00 1. " SPIS33 ,SPI Status Bit 33" "Low,High" bitfld.long 0x00 0. " SPIS32 ,SPI Status Bit 32" "Low,High" else hgroup.long 0xC084++0x03 hide.long 0x0 "GICD_SPISR0,Shared Peripheral Interrupt Status Register 0" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x02) rgroup.long 0xC088++0x03 line.long 0x0 "GICD_SPISR1,Shared Peripheral Interrupt Status Register 1" bitfld.long 0x00 31. " SPIS95 ,SPI Status Bit 95" "Low,High" bitfld.long 0x00 30. " SPIS94 ,SPI Status Bit 94" "Low,High" bitfld.long 0x00 29. " SPIS93 ,SPI Status Bit 93" "Low,High" textline " " bitfld.long 0x00 28. " SPIS92 ,SPI Status Bit 92" "Low,High" bitfld.long 0x00 27. " SPIS91 ,SPI Status Bit 91" "Low,High" bitfld.long 0x00 26. " SPIS90 ,SPI Status Bit 90" "Low,High" textline " " bitfld.long 0x00 25. " SPIS89 ,SPI Status Bit 89" "Low,High" bitfld.long 0x00 24. " SPIS88 ,SPI Status Bit 88" "Low,High" bitfld.long 0x00 23. " SPIS87 ,SPI Status Bit 87" "Low,High" textline " " bitfld.long 0x00 22. " SPIS86 ,SPI Status Bit 86" "Low,High" bitfld.long 0x00 21. " SPIS85 ,SPI Status Bit 85" "Low,High" bitfld.long 0x00 20. " SPIS84 ,SPI Status Bit 84" "Low,High" textline " " bitfld.long 0x00 19. " SPIS83 ,SPI Status Bit 83" "Low,High" bitfld.long 0x00 18. " SPIS82 ,SPI Status Bit 82" "Low,High" bitfld.long 0x00 17. " SPIS81 ,SPI Status Bit 81" "Low,High" textline " " bitfld.long 0x00 16. " SPIS80 ,SPI Status Bit 80" "Low,High" bitfld.long 0x00 15. " SPIS79 ,SPI Status Bit 79" "Low,High" bitfld.long 0x00 14. " SPIS78 ,SPI Status Bit 78" "Low,High" textline " " bitfld.long 0x00 13. " SPIS77 ,SPI Status Bit 77" "Low,High" bitfld.long 0x00 12. " SPIS76 ,SPI Status Bit 76" "Low,High" bitfld.long 0x00 11. " SPIS75 ,SPI Status Bit 75" "Low,High" textline " " bitfld.long 0x00 10. " SPIS74 ,SPI Status Bit 74" "Low,High" bitfld.long 0x00 9. " SPIS73 ,SPI Status Bit 73" "Low,High" bitfld.long 0x00 8. " SPIS72 ,SPI Status Bit 72" "Low,High" textline " " bitfld.long 0x00 7. " SPIS71 ,SPI Status Bit 71" "Low,High" bitfld.long 0x00 6. " SPIS70 ,SPI Status Bit 70" "Low,High" bitfld.long 0x00 5. " SPIS69 ,SPI Status Bit 69" "Low,High" textline " " bitfld.long 0x00 4. " SPIS68 ,SPI Status Bit 68" "Low,High" bitfld.long 0x00 3. " SPIS67 ,SPI Status Bit 67" "Low,High" bitfld.long 0x00 2. " SPIS66 ,SPI Status Bit 66" "Low,High" textline " " bitfld.long 0x00 1. " SPIS65 ,SPI Status Bit 65" "Low,High" bitfld.long 0x00 0. " SPIS64 ,SPI Status Bit 64" "Low,High" else hgroup.long 0xC088++0x03 hide.long 0x0 "GICD_SPISR1,Shared Peripheral Interrupt Status Register 1" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x03) rgroup.long 0xC08C++0x03 line.long 0x0 "GICD_SPISR2,Shared Peripheral Interrupt Status Register 2" bitfld.long 0x00 31. " SPIS127 ,SPI Status Bit 127" "Low,High" bitfld.long 0x00 30. " SPIS126 ,SPI Status Bit 126" "Low,High" bitfld.long 0x00 29. " SPIS125 ,SPI Status Bit 125" "Low,High" textline " " bitfld.long 0x00 28. " SPIS124 ,SPI Status Bit 124" "Low,High" bitfld.long 0x00 27. " SPIS123 ,SPI Status Bit 123" "Low,High" bitfld.long 0x00 26. " SPIS122 ,SPI Status Bit 122" "Low,High" textline " " bitfld.long 0x00 25. " SPIS121 ,SPI Status Bit 121" "Low,High" bitfld.long 0x00 24. " SPIS120 ,SPI Status Bit 120" "Low,High" bitfld.long 0x00 23. " SPIS119 ,SPI Status Bit 119" "Low,High" textline " " bitfld.long 0x00 22. " SPIS118 ,SPI Status Bit 118" "Low,High" bitfld.long 0x00 21. " SPIS117 ,SPI Status Bit 117" "Low,High" bitfld.long 0x00 20. " SPIS116 ,SPI Status Bit 116" "Low,High" textline " " bitfld.long 0x00 19. " SPIS115 ,SPI Status Bit 115" "Low,High" bitfld.long 0x00 18. " SPIS114 ,SPI Status Bit 114" "Low,High" bitfld.long 0x00 17. " SPIS113 ,SPI Status Bit 113" "Low,High" textline " " bitfld.long 0x00 16. " SPIS112 ,SPI Status Bit 112" "Low,High" bitfld.long 0x00 15. " SPIS111 ,SPI Status Bit 111" "Low,High" bitfld.long 0x00 14. " SPIS110 ,SPI Status Bit 110" "Low,High" textline " " bitfld.long 0x00 13. " SPIS109 ,SPI Status Bit 109" "Low,High" bitfld.long 0x00 12. " SPIS108 ,SPI Status Bit 108" "Low,High" bitfld.long 0x00 11. " SPIS107 ,SPI Status Bit 107" "Low,High" textline " " bitfld.long 0x00 10. " SPIS106 ,SPI Status Bit 106" "Low,High" bitfld.long 0x00 9. " SPIS105 ,SPI Status Bit 105" "Low,High" bitfld.long 0x00 8. " SPIS104 ,SPI Status Bit 104" "Low,High" textline " " bitfld.long 0x00 7. " SPIS103 ,SPI Status Bit 103" "Low,High" bitfld.long 0x00 6. " SPIS102 ,SPI Status Bit 102" "Low,High" bitfld.long 0x00 5. " SPIS101 ,SPI Status Bit 101" "Low,High" textline " " bitfld.long 0x00 4. " SPIS100 ,SPI Status Bit 100" "Low,High" bitfld.long 0x00 3. " SPIS99 ,SPI Status Bit 99" "Low,High" bitfld.long 0x00 2. " SPIS98 ,SPI Status Bit 98" "Low,High" textline " " bitfld.long 0x00 1. " SPIS97 ,SPI Status Bit 97" "Low,High" bitfld.long 0x00 0. " SPIS96 ,SPI Status Bit 96" "Low,High" else hgroup.long 0xC08C++0x03 hide.long 0x0 "GICD_SPISR2,Shared Peripheral Interrupt Status Register 2" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x04) rgroup.long 0xC090++0x03 line.long 0x0 "GICD_SPISR3,Shared Peripheral Interrupt Status Register 3" bitfld.long 0x00 31. " SPIS159 ,SPI Status Bit 159" "Low,High" bitfld.long 0x00 30. " SPIS158 ,SPI Status Bit 158" "Low,High" bitfld.long 0x00 29. " SPIS157 ,SPI Status Bit 157" "Low,High" textline " " bitfld.long 0x00 28. " SPIS156 ,SPI Status Bit 156" "Low,High" bitfld.long 0x00 27. " SPIS155 ,SPI Status Bit 155" "Low,High" bitfld.long 0x00 26. " SPIS154 ,SPI Status Bit 154" "Low,High" textline " " bitfld.long 0x00 25. " SPIS153 ,SPI Status Bit 153" "Low,High" bitfld.long 0x00 24. " SPIS152 ,SPI Status Bit 152" "Low,High" bitfld.long 0x00 23. " SPIS151 ,SPI Status Bit 151" "Low,High" textline " " bitfld.long 0x00 22. " SPIS150 ,SPI Status Bit 150" "Low,High" bitfld.long 0x00 21. " SPIS149 ,SPI Status Bit 149" "Low,High" bitfld.long 0x00 20. " SPIS148 ,SPI Status Bit 148" "Low,High" textline " " bitfld.long 0x00 19. " SPIS147 ,SPI Status Bit 147" "Low,High" bitfld.long 0x00 18. " SPIS146 ,SPI Status Bit 146" "Low,High" bitfld.long 0x00 17. " SPIS145 ,SPI Status Bit 145" "Low,High" textline " " bitfld.long 0x00 16. " SPIS144 ,SPI Status Bit 144" "Low,High" bitfld.long 0x00 15. " SPIS143 ,SPI Status Bit 143" "Low,High" bitfld.long 0x00 14. " SPIS142 ,SPI Status Bit 142" "Low,High" textline " " bitfld.long 0x00 13. " SPIS141 ,SPI Status Bit 141" "Low,High" bitfld.long 0x00 12. " SPIS140 ,SPI Status Bit 140" "Low,High" bitfld.long 0x00 11. " SPIS139 ,SPI Status Bit 139" "Low,High" textline " " bitfld.long 0x00 10. " SPIS138 ,SPI Status Bit 138" "Low,High" bitfld.long 0x00 9. " SPIS137 ,SPI Status Bit 137" "Low,High" bitfld.long 0x00 8. " SPIS136 ,SPI Status Bit 136" "Low,High" textline " " bitfld.long 0x00 7. " SPIS135 ,SPI Status Bit 135" "Low,High" bitfld.long 0x00 6. " SPIS134 ,SPI Status Bit 134" "Low,High" bitfld.long 0x00 5. " SPIS133 ,SPI Status Bit 133" "Low,High" textline " " bitfld.long 0x00 4. " SPIS132 ,SPI Status Bit 132" "Low,High" bitfld.long 0x00 3. " SPIS131 ,SPI Status Bit 131" "Low,High" bitfld.long 0x00 2. " SPIS130 ,SPI Status Bit 130" "Low,High" textline " " bitfld.long 0x00 1. " SPIS129 ,SPI Status Bit 129" "Low,High" bitfld.long 0x00 0. " SPIS128 ,SPI Status Bit 128" "Low,High" else hgroup.long 0xC090++0x03 hide.long 0x0 "GICD_SPISR3,Shared Peripheral Interrupt Status Register 3" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x05) rgroup.long 0xC094++0x03 line.long 0x0 "GICD_SPISR4,Shared Peripheral Interrupt Status Register 4" bitfld.long 0x00 31. " SPIS191 ,SPI Status Bit 191" "Low,High" bitfld.long 0x00 30. " SPIS190 ,SPI Status Bit 190" "Low,High" bitfld.long 0x00 29. " SPIS189 ,SPI Status Bit 189" "Low,High" textline " " bitfld.long 0x00 28. " SPIS188 ,SPI Status Bit 188" "Low,High" bitfld.long 0x00 27. " SPIS187 ,SPI Status Bit 187" "Low,High" bitfld.long 0x00 26. " SPIS186 ,SPI Status Bit 186" "Low,High" textline " " bitfld.long 0x00 25. " SPIS185 ,SPI Status Bit 185" "Low,High" bitfld.long 0x00 24. " SPIS184 ,SPI Status Bit 184" "Low,High" bitfld.long 0x00 23. " SPIS183 ,SPI Status Bit 183" "Low,High" textline " " bitfld.long 0x00 22. " SPIS182 ,SPI Status Bit 182" "Low,High" bitfld.long 0x00 21. " SPIS181 ,SPI Status Bit 181" "Low,High" bitfld.long 0x00 20. " SPIS180 ,SPI Status Bit 180" "Low,High" textline " " bitfld.long 0x00 19. " SPIS179 ,SPI Status Bit 179" "Low,High" bitfld.long 0x00 18. " SPIS178 ,SPI Status Bit 178" "Low,High" bitfld.long 0x00 17. " SPIS177 ,SPI Status Bit 177" "Low,High" textline " " bitfld.long 0x00 16. " SPIS176 ,SPI Status Bit 176" "Low,High" bitfld.long 0x00 15. " SPIS175 ,SPI Status Bit 175" "Low,High" bitfld.long 0x00 14. " SPIS174 ,SPI Status Bit 174" "Low,High" textline " " bitfld.long 0x00 13. " SPIS173 ,SPI Status Bit 173" "Low,High" bitfld.long 0x00 12. " SPIS172 ,SPI Status Bit 172" "Low,High" bitfld.long 0x00 11. " SPIS171 ,SPI Status Bit 171" "Low,High" textline " " bitfld.long 0x00 10. " SPIS170 ,SPI Status Bit 170" "Low,High" bitfld.long 0x00 9. " SPIS169 ,SPI Status Bit 169" "Low,High" bitfld.long 0x00 8. " SPIS168 ,SPI Status Bit 168" "Low,High" textline " " bitfld.long 0x00 7. " SPIS167 ,SPI Status Bit 167" "Low,High" bitfld.long 0x00 6. " SPIS166 ,SPI Status Bit 166" "Low,High" bitfld.long 0x00 5. " SPIS165 ,SPI Status Bit 165" "Low,High" textline " " bitfld.long 0x00 4. " SPIS164 ,SPI Status Bit 164" "Low,High" bitfld.long 0x00 3. " SPIS163 ,SPI Status Bit 163" "Low,High" bitfld.long 0x00 2. " SPIS162 ,SPI Status Bit 162" "Low,High" textline " " bitfld.long 0x00 1. " SPIS161 ,SPI Status Bit 161" "Low,High" bitfld.long 0x00 0. " SPIS160 ,SPI Status Bit 160" "Low,High" else hgroup.long 0xC094++0x03 hide.long 0x0 "GICD_SPISR4,Shared Peripheral Interrupt Status Register 4" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x06) rgroup.long 0xC098++0x03 line.long 0x0 "GICD_SPISR5,Shared Peripheral Interrupt Status Register 5" bitfld.long 0x00 31. " SPIS223 ,SPI Status Bit 223" "Low,High" bitfld.long 0x00 30. " SPIS222 ,SPI Status Bit 222" "Low,High" bitfld.long 0x00 29. " SPIS221 ,SPI Status Bit 221" "Low,High" textline " " bitfld.long 0x00 28. " SPIS220 ,SPI Status Bit 220" "Low,High" bitfld.long 0x00 27. " SPIS219 ,SPI Status Bit 219" "Low,High" bitfld.long 0x00 26. " SPIS218 ,SPI Status Bit 218" "Low,High" textline " " bitfld.long 0x00 25. " SPIS217 ,SPI Status Bit 217" "Low,High" bitfld.long 0x00 24. " SPIS216 ,SPI Status Bit 216" "Low,High" bitfld.long 0x00 23. " SPIS215 ,SPI Status Bit 215" "Low,High" textline " " bitfld.long 0x00 22. " SPIS214 ,SPI Status Bit 214" "Low,High" bitfld.long 0x00 21. " SPIS213 ,SPI Status Bit 213" "Low,High" bitfld.long 0x00 20. " SPIS212 ,SPI Status Bit 212" "Low,High" textline " " bitfld.long 0x00 19. " SPIS211 ,SPI Status Bit 211" "Low,High" bitfld.long 0x00 18. " SPIS210 ,SPI Status Bit 210" "Low,High" bitfld.long 0x00 17. " SPIS209 ,SPI Status Bit 209" "Low,High" textline " " bitfld.long 0x00 16. " SPIS208 ,SPI Status Bit 208" "Low,High" bitfld.long 0x00 15. " SPIS207 ,SPI Status Bit 207" "Low,High" bitfld.long 0x00 14. " SPIS206 ,SPI Status Bit 206" "Low,High" textline " " bitfld.long 0x00 13. " SPIS205 ,SPI Status Bit 205" "Low,High" bitfld.long 0x00 12. " SPIS204 ,SPI Status Bit 204" "Low,High" bitfld.long 0x00 11. " SPIS203 ,SPI Status Bit 203" "Low,High" textline " " bitfld.long 0x00 10. " SPIS202 ,SPI Status Bit 202" "Low,High" bitfld.long 0x00 9. " SPIS201 ,SPI Status Bit 201" "Low,High" bitfld.long 0x00 8. " SPIS200 ,SPI Status Bit 200" "Low,High" textline " " bitfld.long 0x00 7. " SPIS199 ,SPI Status Bit 199" "Low,High" bitfld.long 0x00 6. " SPIS198 ,SPI Status Bit 198" "Low,High" bitfld.long 0x00 5. " SPIS197 ,SPI Status Bit 197" "Low,High" textline " " bitfld.long 0x00 4. " SPIS196 ,SPI Status Bit 196" "Low,High" bitfld.long 0x00 3. " SPIS195 ,SPI Status Bit 195" "Low,High" bitfld.long 0x00 2. " SPIS194 ,SPI Status Bit 194" "Low,High" textline " " bitfld.long 0x00 1. " SPIS193 ,SPI Status Bit 193" "Low,High" bitfld.long 0x00 0. " SPIS192 ,SPI Status Bit 192" "Low,High" else hgroup.long 0xC098++0x03 hide.long 0x0 "GICD_SPISR5,Shared Peripheral Interrupt Status Register 5" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x07) rgroup.long 0xC09C++0x03 line.long 0x0 "GICD_SPISR6,Shared Peripheral Interrupt Status Register 6" bitfld.long 0x00 31. " SPIS255 ,SPI Status Bit 255" "Low,High" bitfld.long 0x00 30. " SPIS254 ,SPI Status Bit 254" "Low,High" bitfld.long 0x00 29. " SPIS253 ,SPI Status Bit 253" "Low,High" textline " " bitfld.long 0x00 28. " SPIS252 ,SPI Status Bit 252" "Low,High" bitfld.long 0x00 27. " SPIS251 ,SPI Status Bit 251" "Low,High" bitfld.long 0x00 26. " SPIS250 ,SPI Status Bit 250" "Low,High" textline " " bitfld.long 0x00 25. " SPIS249 ,SPI Status Bit 249" "Low,High" bitfld.long 0x00 24. " SPIS248 ,SPI Status Bit 248" "Low,High" bitfld.long 0x00 23. " SPIS247 ,SPI Status Bit 247" "Low,High" textline " " bitfld.long 0x00 22. " SPIS246 ,SPI Status Bit 246" "Low,High" bitfld.long 0x00 21. " SPIS245 ,SPI Status Bit 245" "Low,High" bitfld.long 0x00 20. " SPIS244 ,SPI Status Bit 244" "Low,High" textline " " bitfld.long 0x00 19. " SPIS243 ,SPI Status Bit 243" "Low,High" bitfld.long 0x00 18. " SPIS242 ,SPI Status Bit 242" "Low,High" bitfld.long 0x00 17. " SPIS241 ,SPI Status Bit 241" "Low,High" textline " " bitfld.long 0x00 16. " SPIS240 ,SPI Status Bit 240" "Low,High" bitfld.long 0x00 15. " SPIS239 ,SPI Status Bit 239" "Low,High" bitfld.long 0x00 14. " SPIS238 ,SPI Status Bit 238" "Low,High" textline " " bitfld.long 0x00 13. " SPIS237 ,SPI Status Bit 237" "Low,High" bitfld.long 0x00 12. " SPIS236 ,SPI Status Bit 236" "Low,High" bitfld.long 0x00 11. " SPIS235 ,SPI Status Bit 235" "Low,High" textline " " bitfld.long 0x00 10. " SPIS234 ,SPI Status Bit 234" "Low,High" bitfld.long 0x00 9. " SPIS233 ,SPI Status Bit 233" "Low,High" bitfld.long 0x00 8. " SPIS232 ,SPI Status Bit 232" "Low,High" textline " " bitfld.long 0x00 7. " SPIS231 ,SPI Status Bit 231" "Low,High" bitfld.long 0x00 6. " SPIS230 ,SPI Status Bit 230" "Low,High" bitfld.long 0x00 5. " SPIS229 ,SPI Status Bit 229" "Low,High" textline " " bitfld.long 0x00 4. " SPIS228 ,SPI Status Bit 228" "Low,High" bitfld.long 0x00 3. " SPIS227 ,SPI Status Bit 227" "Low,High" bitfld.long 0x00 2. " SPIS226 ,SPI Status Bit 226" "Low,High" textline " " bitfld.long 0x00 1. " SPIS225 ,SPI Status Bit 225" "Low,High" bitfld.long 0x00 0. " SPIS224 ,SPI Status Bit 224" "Low,High" else hgroup.long 0xC09C++0x03 hide.long 0x0 "GICD_SPISR6,Shared Peripheral Interrupt Status Register 6" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x08) rgroup.long 0xC0A0++0x03 line.long 0x0 "GICD_SPISR7,Shared Peripheral Interrupt Status Register 7" bitfld.long 0x00 31. " SPIS287 ,SPI Status Bit 287" "Low,High" bitfld.long 0x00 30. " SPIS286 ,SPI Status Bit 286" "Low,High" bitfld.long 0x00 29. " SPIS285 ,SPI Status Bit 285" "Low,High" textline " " bitfld.long 0x00 28. " SPIS284 ,SPI Status Bit 284" "Low,High" bitfld.long 0x00 27. " SPIS283 ,SPI Status Bit 283" "Low,High" bitfld.long 0x00 26. " SPIS282 ,SPI Status Bit 282" "Low,High" textline " " bitfld.long 0x00 25. " SPIS281 ,SPI Status Bit 281" "Low,High" bitfld.long 0x00 24. " SPIS280 ,SPI Status Bit 280" "Low,High" bitfld.long 0x00 23. " SPIS279 ,SPI Status Bit 279" "Low,High" textline " " bitfld.long 0x00 22. " SPIS278 ,SPI Status Bit 278" "Low,High" bitfld.long 0x00 21. " SPIS277 ,SPI Status Bit 277" "Low,High" bitfld.long 0x00 20. " SPIS276 ,SPI Status Bit 276" "Low,High" textline " " bitfld.long 0x00 19. " SPIS275 ,SPI Status Bit 275" "Low,High" bitfld.long 0x00 18. " SPIS274 ,SPI Status Bit 274" "Low,High" bitfld.long 0x00 17. " SPIS273 ,SPI Status Bit 273" "Low,High" textline " " bitfld.long 0x00 16. " SPIS272 ,SPI Status Bit 272" "Low,High" bitfld.long 0x00 15. " SPIS271 ,SPI Status Bit 271" "Low,High" bitfld.long 0x00 14. " SPIS270 ,SPI Status Bit 270" "Low,High" textline " " bitfld.long 0x00 13. " SPIS269 ,SPI Status Bit 269" "Low,High" bitfld.long 0x00 12. " SPIS268 ,SPI Status Bit 268" "Low,High" bitfld.long 0x00 11. " SPIS267 ,SPI Status Bit 267" "Low,High" textline " " bitfld.long 0x00 10. " SPIS266 ,SPI Status Bit 266" "Low,High" bitfld.long 0x00 9. " SPIS265 ,SPI Status Bit 265" "Low,High" bitfld.long 0x00 8. " SPIS264 ,SPI Status Bit 264" "Low,High" textline " " bitfld.long 0x00 7. " SPIS263 ,SPI Status Bit 263" "Low,High" bitfld.long 0x00 6. " SPIS262 ,SPI Status Bit 262" "Low,High" bitfld.long 0x00 5. " SPIS261 ,SPI Status Bit 261" "Low,High" textline " " bitfld.long 0x00 4. " SPIS260 ,SPI Status Bit 260" "Low,High" bitfld.long 0x00 3. " SPIS259 ,SPI Status Bit 259" "Low,High" bitfld.long 0x00 2. " SPIS258 ,SPI Status Bit 258" "Low,High" textline " " bitfld.long 0x00 1. " SPIS257 ,SPI Status Bit 257" "Low,High" bitfld.long 0x00 0. " SPIS256 ,SPI Status Bit 256" "Low,High" else hgroup.long 0xC0A0++0x03 hide.long 0x0 "GICD_SPISR7,Shared Peripheral Interrupt Status Register 7" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x09) rgroup.long 0xC0A4++0x03 line.long 0x0 "GICD_SPISR8,Shared Peripheral Interrupt Status Register 8" bitfld.long 0x00 31. " SPIS319 ,SPI Status Bit 319" "Low,High" bitfld.long 0x00 30. " SPIS318 ,SPI Status Bit 318" "Low,High" bitfld.long 0x00 29. " SPIS317 ,SPI Status Bit 317" "Low,High" textline " " bitfld.long 0x00 28. " SPIS316 ,SPI Status Bit 316" "Low,High" bitfld.long 0x00 27. " SPIS315 ,SPI Status Bit 315" "Low,High" bitfld.long 0x00 26. " SPIS314 ,SPI Status Bit 314" "Low,High" textline " " bitfld.long 0x00 25. " SPIS313 ,SPI Status Bit 313" "Low,High" bitfld.long 0x00 24. " SPIS312 ,SPI Status Bit 312" "Low,High" bitfld.long 0x00 23. " SPIS311 ,SPI Status Bit 311" "Low,High" textline " " bitfld.long 0x00 22. " SPIS310 ,SPI Status Bit 310" "Low,High" bitfld.long 0x00 21. " SPIS309 ,SPI Status Bit 309" "Low,High" bitfld.long 0x00 20. " SPIS308 ,SPI Status Bit 308" "Low,High" textline " " bitfld.long 0x00 19. " SPIS307 ,SPI Status Bit 307" "Low,High" bitfld.long 0x00 18. " SPIS306 ,SPI Status Bit 306" "Low,High" bitfld.long 0x00 17. " SPIS305 ,SPI Status Bit 305" "Low,High" textline " " bitfld.long 0x00 16. " SPIS304 ,SPI Status Bit 304" "Low,High" bitfld.long 0x00 15. " SPIS303 ,SPI Status Bit 303" "Low,High" bitfld.long 0x00 14. " SPIS302 ,SPI Status Bit 302" "Low,High" textline " " bitfld.long 0x00 13. " SPIS301 ,SPI Status Bit 301" "Low,High" bitfld.long 0x00 12. " SPIS300 ,SPI Status Bit 300" "Low,High" bitfld.long 0x00 11. " SPIS299 ,SPI Status Bit 299" "Low,High" textline " " bitfld.long 0x00 10. " SPIS298 ,SPI Status Bit 298" "Low,High" bitfld.long 0x00 9. " SPIS297 ,SPI Status Bit 297" "Low,High" bitfld.long 0x00 8. " SPIS296 ,SPI Status Bit 296" "Low,High" textline " " bitfld.long 0x00 7. " SPIS295 ,SPI Status Bit 295" "Low,High" bitfld.long 0x00 6. " SPIS294 ,SPI Status Bit 294" "Low,High" bitfld.long 0x00 5. " SPIS293 ,SPI Status Bit 293" "Low,High" textline " " bitfld.long 0x00 4. " SPIS292 ,SPI Status Bit 292" "Low,High" bitfld.long 0x00 3. " SPIS291 ,SPI Status Bit 291" "Low,High" bitfld.long 0x00 2. " SPIS290 ,SPI Status Bit 290" "Low,High" textline " " bitfld.long 0x00 1. " SPIS289 ,SPI Status Bit 289" "Low,High" bitfld.long 0x00 0. " SPIS288 ,SPI Status Bit 288" "Low,High" else hgroup.long 0xC0A4++0x03 hide.long 0x0 "GICD_SPISR8,Shared Peripheral Interrupt Status Register 8" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0A) rgroup.long 0xC0A8++0x03 line.long 0x0 "GICD_SPISR9,Shared Peripheral Interrupt Status Register 9" bitfld.long 0x00 31. " SPIS351 ,SPI Status Bit 351" "Low,High" bitfld.long 0x00 30. " SPIS350 ,SPI Status Bit 350" "Low,High" bitfld.long 0x00 29. " SPIS349 ,SPI Status Bit 349" "Low,High" textline " " bitfld.long 0x00 28. " SPIS348 ,SPI Status Bit 348" "Low,High" bitfld.long 0x00 27. " SPIS347 ,SPI Status Bit 347" "Low,High" bitfld.long 0x00 26. " SPIS346 ,SPI Status Bit 346" "Low,High" textline " " bitfld.long 0x00 25. " SPIS345 ,SPI Status Bit 345" "Low,High" bitfld.long 0x00 24. " SPIS344 ,SPI Status Bit 344" "Low,High" bitfld.long 0x00 23. " SPIS343 ,SPI Status Bit 343" "Low,High" textline " " bitfld.long 0x00 22. " SPIS342 ,SPI Status Bit 342" "Low,High" bitfld.long 0x00 21. " SPIS341 ,SPI Status Bit 341" "Low,High" bitfld.long 0x00 20. " SPIS340 ,SPI Status Bit 340" "Low,High" textline " " bitfld.long 0x00 19. " SPIS339 ,SPI Status Bit 339" "Low,High" bitfld.long 0x00 18. " SPIS338 ,SPI Status Bit 338" "Low,High" bitfld.long 0x00 17. " SPIS337 ,SPI Status Bit 337" "Low,High" textline " " bitfld.long 0x00 16. " SPIS336 ,SPI Status Bit 336" "Low,High" bitfld.long 0x00 15. " SPIS335 ,SPI Status Bit 335" "Low,High" bitfld.long 0x00 14. " SPIS334 ,SPI Status Bit 334" "Low,High" textline " " bitfld.long 0x00 13. " SPIS333 ,SPI Status Bit 333" "Low,High" bitfld.long 0x00 12. " SPIS332 ,SPI Status Bit 332" "Low,High" bitfld.long 0x00 11. " SPIS331 ,SPI Status Bit 331" "Low,High" textline " " bitfld.long 0x00 10. " SPIS330 ,SPI Status Bit 330" "Low,High" bitfld.long 0x00 9. " SPIS329 ,SPI Status Bit 329" "Low,High" bitfld.long 0x00 8. " SPIS328 ,SPI Status Bit 328" "Low,High" textline " " bitfld.long 0x00 7. " SPIS327 ,SPI Status Bit 327" "Low,High" bitfld.long 0x00 6. " SPIS326 ,SPI Status Bit 326" "Low,High" bitfld.long 0x00 5. " SPIS325 ,SPI Status Bit 325" "Low,High" textline " " bitfld.long 0x00 4. " SPIS324 ,SPI Status Bit 324" "Low,High" bitfld.long 0x00 3. " SPIS323 ,SPI Status Bit 323" "Low,High" bitfld.long 0x00 2. " SPIS322 ,SPI Status Bit 322" "Low,High" textline " " bitfld.long 0x00 1. " SPIS321 ,SPI Status Bit 321" "Low,High" bitfld.long 0x00 0. " SPIS320 ,SPI Status Bit 320" "Low,High" else hgroup.long 0xC0A8++0x03 hide.long 0x0 "GICD_SPISR9,Shared Peripheral Interrupt Status Register 9" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0B) rgroup.long 0xC0AC++0x03 line.long 0x0 "GICD_SPISR10,Shared Peripheral Interrupt Status Register 10" bitfld.long 0x00 31. " SPIS383 ,SPI Status Bit 383" "Low,High" bitfld.long 0x00 30. " SPIS382 ,SPI Status Bit 382" "Low,High" bitfld.long 0x00 29. " SPIS381 ,SPI Status Bit 381" "Low,High" textline " " bitfld.long 0x00 28. " SPIS380 ,SPI Status Bit 380" "Low,High" bitfld.long 0x00 27. " SPIS379 ,SPI Status Bit 379" "Low,High" bitfld.long 0x00 26. " SPIS378 ,SPI Status Bit 378" "Low,High" textline " " bitfld.long 0x00 25. " SPIS377 ,SPI Status Bit 377" "Low,High" bitfld.long 0x00 24. " SPIS376 ,SPI Status Bit 376" "Low,High" bitfld.long 0x00 23. " SPIS375 ,SPI Status Bit 375" "Low,High" textline " " bitfld.long 0x00 22. " SPIS374 ,SPI Status Bit 374" "Low,High" bitfld.long 0x00 21. " SPIS373 ,SPI Status Bit 373" "Low,High" bitfld.long 0x00 20. " SPIS372 ,SPI Status Bit 372" "Low,High" textline " " bitfld.long 0x00 19. " SPIS371 ,SPI Status Bit 371" "Low,High" bitfld.long 0x00 18. " SPIS370 ,SPI Status Bit 370" "Low,High" bitfld.long 0x00 17. " SPIS369 ,SPI Status Bit 369" "Low,High" textline " " bitfld.long 0x00 16. " SPIS368 ,SPI Status Bit 368" "Low,High" bitfld.long 0x00 15. " SPIS367 ,SPI Status Bit 367" "Low,High" bitfld.long 0x00 14. " SPIS366 ,SPI Status Bit 366" "Low,High" textline " " bitfld.long 0x00 13. " SPIS365 ,SPI Status Bit 365" "Low,High" bitfld.long 0x00 12. " SPIS364 ,SPI Status Bit 364" "Low,High" bitfld.long 0x00 11. " SPIS363 ,SPI Status Bit 363" "Low,High" textline " " bitfld.long 0x00 10. " SPIS362 ,SPI Status Bit 362" "Low,High" bitfld.long 0x00 9. " SPIS361 ,SPI Status Bit 361" "Low,High" bitfld.long 0x00 8. " SPIS360 ,SPI Status Bit 360" "Low,High" textline " " bitfld.long 0x00 7. " SPIS359 ,SPI Status Bit 359" "Low,High" bitfld.long 0x00 6. " SPIS358 ,SPI Status Bit 358" "Low,High" bitfld.long 0x00 5. " SPIS357 ,SPI Status Bit 357" "Low,High" textline " " bitfld.long 0x00 4. " SPIS356 ,SPI Status Bit 356" "Low,High" bitfld.long 0x00 3. " SPIS355 ,SPI Status Bit 355" "Low,High" bitfld.long 0x00 2. " SPIS354 ,SPI Status Bit 354" "Low,High" textline " " bitfld.long 0x00 1. " SPIS353 ,SPI Status Bit 353" "Low,High" bitfld.long 0x00 0. " SPIS352 ,SPI Status Bit 352" "Low,High" else hgroup.long 0xC0AC++0x03 hide.long 0x0 "GICD_SPISR10,Shared Peripheral Interrupt Status Register 10" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0C) rgroup.long 0xC0B0++0x03 line.long 0x0 "GICD_SPISR11,Shared Peripheral Interrupt Status Register 11" bitfld.long 0x00 31. " SPIS415 ,SPI Status Bit 415" "Low,High" bitfld.long 0x00 30. " SPIS414 ,SPI Status Bit 414" "Low,High" bitfld.long 0x00 29. " SPIS413 ,SPI Status Bit 413" "Low,High" textline " " bitfld.long 0x00 28. " SPIS412 ,SPI Status Bit 412" "Low,High" bitfld.long 0x00 27. " SPIS411 ,SPI Status Bit 411" "Low,High" bitfld.long 0x00 26. " SPIS410 ,SPI Status Bit 410" "Low,High" textline " " bitfld.long 0x00 25. " SPIS409 ,SPI Status Bit 409" "Low,High" bitfld.long 0x00 24. " SPIS408 ,SPI Status Bit 408" "Low,High" bitfld.long 0x00 23. " SPIS407 ,SPI Status Bit 407" "Low,High" textline " " bitfld.long 0x00 22. " SPIS406 ,SPI Status Bit 406" "Low,High" bitfld.long 0x00 21. " SPIS405 ,SPI Status Bit 405" "Low,High" bitfld.long 0x00 20. " SPIS404 ,SPI Status Bit 404" "Low,High" textline " " bitfld.long 0x00 19. " SPIS403 ,SPI Status Bit 403" "Low,High" bitfld.long 0x00 18. " SPIS402 ,SPI Status Bit 402" "Low,High" bitfld.long 0x00 17. " SPIS401 ,SPI Status Bit 401" "Low,High" textline " " bitfld.long 0x00 16. " SPIS400 ,SPI Status Bit 400" "Low,High" bitfld.long 0x00 15. " SPIS399 ,SPI Status Bit 399" "Low,High" bitfld.long 0x00 14. " SPIS398 ,SPI Status Bit 398" "Low,High" textline " " bitfld.long 0x00 13. " SPIS397 ,SPI Status Bit 397" "Low,High" bitfld.long 0x00 12. " SPIS396 ,SPI Status Bit 396" "Low,High" bitfld.long 0x00 11. " SPIS395 ,SPI Status Bit 395" "Low,High" textline " " bitfld.long 0x00 10. " SPIS394 ,SPI Status Bit 394" "Low,High" bitfld.long 0x00 9. " SPIS393 ,SPI Status Bit 393" "Low,High" bitfld.long 0x00 8. " SPIS392 ,SPI Status Bit 392" "Low,High" textline " " bitfld.long 0x00 7. " SPIS391 ,SPI Status Bit 391" "Low,High" bitfld.long 0x00 6. " SPIS390 ,SPI Status Bit 390" "Low,High" bitfld.long 0x00 5. " SPIS389 ,SPI Status Bit 389" "Low,High" textline " " bitfld.long 0x00 4. " SPIS388 ,SPI Status Bit 388" "Low,High" bitfld.long 0x00 3. " SPIS387 ,SPI Status Bit 387" "Low,High" bitfld.long 0x00 2. " SPIS386 ,SPI Status Bit 386" "Low,High" textline " " bitfld.long 0x00 1. " SPIS385 ,SPI Status Bit 385" "Low,High" bitfld.long 0x00 0. " SPIS384 ,SPI Status Bit 384" "Low,High" else hgroup.long 0xC0B0++0x03 hide.long 0x0 "GICD_SPISR11,Shared Peripheral Interrupt Status Register 11" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0D) rgroup.long 0xC0B4++0x03 line.long 0x0 "GICD_SPISR12,Shared Peripheral Interrupt Status Register 12" bitfld.long 0x00 31. " SPIS447 ,SPI Status Bit 447" "Low,High" bitfld.long 0x00 30. " SPIS446 ,SPI Status Bit 446" "Low,High" bitfld.long 0x00 29. " SPIS445 ,SPI Status Bit 445" "Low,High" textline " " bitfld.long 0x00 28. " SPIS444 ,SPI Status Bit 444" "Low,High" bitfld.long 0x00 27. " SPIS443 ,SPI Status Bit 443" "Low,High" bitfld.long 0x00 26. " SPIS442 ,SPI Status Bit 442" "Low,High" textline " " bitfld.long 0x00 25. " SPIS441 ,SPI Status Bit 441" "Low,High" bitfld.long 0x00 24. " SPIS440 ,SPI Status Bit 440" "Low,High" bitfld.long 0x00 23. " SPIS439 ,SPI Status Bit 439" "Low,High" textline " " bitfld.long 0x00 22. " SPIS438 ,SPI Status Bit 438" "Low,High" bitfld.long 0x00 21. " SPIS437 ,SPI Status Bit 437" "Low,High" bitfld.long 0x00 20. " SPIS436 ,SPI Status Bit 436" "Low,High" textline " " bitfld.long 0x00 19. " SPIS435 ,SPI Status Bit 435" "Low,High" bitfld.long 0x00 18. " SPIS434 ,SPI Status Bit 434" "Low,High" bitfld.long 0x00 17. " SPIS433 ,SPI Status Bit 433" "Low,High" textline " " bitfld.long 0x00 16. " SPIS432 ,SPI Status Bit 432" "Low,High" bitfld.long 0x00 15. " SPIS431 ,SPI Status Bit 431" "Low,High" bitfld.long 0x00 14. " SPIS430 ,SPI Status Bit 430" "Low,High" textline " " bitfld.long 0x00 13. " SPIS429 ,SPI Status Bit 429" "Low,High" bitfld.long 0x00 12. " SPIS428 ,SPI Status Bit 428" "Low,High" bitfld.long 0x00 11. " SPIS427 ,SPI Status Bit 427" "Low,High" textline " " bitfld.long 0x00 10. " SPIS426 ,SPI Status Bit 426" "Low,High" bitfld.long 0x00 9. " SPIS425 ,SPI Status Bit 425" "Low,High" bitfld.long 0x00 8. " SPIS424 ,SPI Status Bit 424" "Low,High" textline " " bitfld.long 0x00 7. " SPIS423 ,SPI Status Bit 423" "Low,High" bitfld.long 0x00 6. " SPIS422 ,SPI Status Bit 422" "Low,High" bitfld.long 0x00 5. " SPIS421 ,SPI Status Bit 421" "Low,High" textline " " bitfld.long 0x00 4. " SPIS420 ,SPI Status Bit 420" "Low,High" bitfld.long 0x00 3. " SPIS419 ,SPI Status Bit 419" "Low,High" bitfld.long 0x00 2. " SPIS418 ,SPI Status Bit 418" "Low,High" textline " " bitfld.long 0x00 1. " SPIS417 ,SPI Status Bit 417" "Low,High" bitfld.long 0x00 0. " SPIS416 ,SPI Status Bit 416" "Low,High" else hgroup.long 0xC0B4++0x03 hide.long 0x0 "GICD_SPISR12,Shared Peripheral Interrupt Status Register 12" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0E) rgroup.long 0xC0B8++0x03 line.long 0x0 "GICD_SPISR13,Shared Peripheral Interrupt Status Register 13" bitfld.long 0x00 31. " SPIS479 ,SPI Status Bit 479" "Low,High" bitfld.long 0x00 30. " SPIS478 ,SPI Status Bit 478" "Low,High" bitfld.long 0x00 29. " SPIS477 ,SPI Status Bit 477" "Low,High" textline " " bitfld.long 0x00 28. " SPIS476 ,SPI Status Bit 476" "Low,High" bitfld.long 0x00 27. " SPIS475 ,SPI Status Bit 475" "Low,High" bitfld.long 0x00 26. " SPIS474 ,SPI Status Bit 474" "Low,High" textline " " bitfld.long 0x00 25. " SPIS473 ,SPI Status Bit 473" "Low,High" bitfld.long 0x00 24. " SPIS472 ,SPI Status Bit 472" "Low,High" bitfld.long 0x00 23. " SPIS471 ,SPI Status Bit 471" "Low,High" textline " " bitfld.long 0x00 22. " SPIS470 ,SPI Status Bit 470" "Low,High" bitfld.long 0x00 21. " SPIS469 ,SPI Status Bit 469" "Low,High" bitfld.long 0x00 20. " SPIS468 ,SPI Status Bit 468" "Low,High" textline " " bitfld.long 0x00 19. " SPIS467 ,SPI Status Bit 467" "Low,High" bitfld.long 0x00 18. " SPIS466 ,SPI Status Bit 466" "Low,High" bitfld.long 0x00 17. " SPIS465 ,SPI Status Bit 465" "Low,High" textline " " bitfld.long 0x00 16. " SPIS464 ,SPI Status Bit 464" "Low,High" bitfld.long 0x00 15. " SPIS463 ,SPI Status Bit 463" "Low,High" bitfld.long 0x00 14. " SPIS462 ,SPI Status Bit 462" "Low,High" textline " " bitfld.long 0x00 13. " SPIS461 ,SPI Status Bit 461" "Low,High" bitfld.long 0x00 12. " SPIS460 ,SPI Status Bit 460" "Low,High" bitfld.long 0x00 11. " SPIS459 ,SPI Status Bit 459" "Low,High" textline " " bitfld.long 0x00 10. " SPIS458 ,SPI Status Bit 458" "Low,High" bitfld.long 0x00 9. " SPIS457 ,SPI Status Bit 457" "Low,High" bitfld.long 0x00 8. " SPIS456 ,SPI Status Bit 456" "Low,High" textline " " bitfld.long 0x00 7. " SPIS455 ,SPI Status Bit 455" "Low,High" bitfld.long 0x00 6. " SPIS454 ,SPI Status Bit 454" "Low,High" bitfld.long 0x00 5. " SPIS453 ,SPI Status Bit 453" "Low,High" textline " " bitfld.long 0x00 4. " SPIS452 ,SPI Status Bit 452" "Low,High" bitfld.long 0x00 3. " SPIS451 ,SPI Status Bit 451" "Low,High" bitfld.long 0x00 2. " SPIS450 ,SPI Status Bit 450" "Low,High" textline " " bitfld.long 0x00 1. " SPIS449 ,SPI Status Bit 449" "Low,High" bitfld.long 0x00 0. " SPIS448 ,SPI Status Bit 448" "Low,High" else hgroup.long 0xC0B8++0x03 hide.long 0x0 "GICD_SPISR13,Shared Peripheral Interrupt Status Register 13" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x0F) rgroup.long 0xC0BC++0x03 line.long 0x0 "GICD_SPISR14,Shared Peripheral Interrupt Status Register 14" bitfld.long 0x00 31. " SPIS511 ,SPI Status Bit 511" "Low,High" bitfld.long 0x00 30. " SPIS510 ,SPI Status Bit 510" "Low,High" bitfld.long 0x00 29. " SPIS509 ,SPI Status Bit 509" "Low,High" textline " " bitfld.long 0x00 28. " SPIS508 ,SPI Status Bit 508" "Low,High" bitfld.long 0x00 27. " SPIS507 ,SPI Status Bit 507" "Low,High" bitfld.long 0x00 26. " SPIS506 ,SPI Status Bit 506" "Low,High" textline " " bitfld.long 0x00 25. " SPIS505 ,SPI Status Bit 505" "Low,High" bitfld.long 0x00 24. " SPIS504 ,SPI Status Bit 504" "Low,High" bitfld.long 0x00 23. " SPIS503 ,SPI Status Bit 503" "Low,High" textline " " bitfld.long 0x00 22. " SPIS502 ,SPI Status Bit 502" "Low,High" bitfld.long 0x00 21. " SPIS501 ,SPI Status Bit 501" "Low,High" bitfld.long 0x00 20. " SPIS500 ,SPI Status Bit 500" "Low,High" textline " " bitfld.long 0x00 19. " SPIS499 ,SPI Status Bit 499" "Low,High" bitfld.long 0x00 18. " SPIS498 ,SPI Status Bit 498" "Low,High" bitfld.long 0x00 17. " SPIS497 ,SPI Status Bit 497" "Low,High" textline " " bitfld.long 0x00 16. " SPIS496 ,SPI Status Bit 496" "Low,High" bitfld.long 0x00 15. " SPIS495 ,SPI Status Bit 495" "Low,High" bitfld.long 0x00 14. " SPIS494 ,SPI Status Bit 494" "Low,High" textline " " bitfld.long 0x00 13. " SPIS493 ,SPI Status Bit 493" "Low,High" bitfld.long 0x00 12. " SPIS492 ,SPI Status Bit 492" "Low,High" bitfld.long 0x00 11. " SPIS491 ,SPI Status Bit 491" "Low,High" textline " " bitfld.long 0x00 10. " SPIS490 ,SPI Status Bit 490" "Low,High" bitfld.long 0x00 9. " SPIS489 ,SPI Status Bit 489" "Low,High" bitfld.long 0x00 8. " SPIS488 ,SPI Status Bit 488" "Low,High" textline " " bitfld.long 0x00 7. " SPIS487 ,SPI Status Bit 487" "Low,High" bitfld.long 0x00 6. " SPIS486 ,SPI Status Bit 486" "Low,High" bitfld.long 0x00 5. " SPIS485 ,SPI Status Bit 485" "Low,High" textline " " bitfld.long 0x00 4. " SPIS484 ,SPI Status Bit 484" "Low,High" bitfld.long 0x00 3. " SPIS483 ,SPI Status Bit 483" "Low,High" bitfld.long 0x00 2. " SPIS482 ,SPI Status Bit 482" "Low,High" textline " " bitfld.long 0x00 1. " SPIS481 ,SPI Status Bit 481" "Low,High" bitfld.long 0x00 0. " SPIS480 ,SPI Status Bit 480" "Low,High" else hgroup.long 0xC0BC++0x03 hide.long 0x0 "GICD_SPISR14,Shared Peripheral Interrupt Status Register 14" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x10) rgroup.long 0xC0C0++0x03 line.long 0x0 "GICD_SPISR15,Shared Peripheral Interrupt Status Register 15" bitfld.long 0x00 31. " SPIS543 ,SPI Status Bit 543" "Low,High" bitfld.long 0x00 30. " SPIS542 ,SPI Status Bit 542" "Low,High" bitfld.long 0x00 29. " SPIS541 ,SPI Status Bit 541" "Low,High" textline " " bitfld.long 0x00 28. " SPIS540 ,SPI Status Bit 540" "Low,High" bitfld.long 0x00 27. " SPIS539 ,SPI Status Bit 539" "Low,High" bitfld.long 0x00 26. " SPIS538 ,SPI Status Bit 538" "Low,High" textline " " bitfld.long 0x00 25. " SPIS537 ,SPI Status Bit 537" "Low,High" bitfld.long 0x00 24. " SPIS536 ,SPI Status Bit 536" "Low,High" bitfld.long 0x00 23. " SPIS535 ,SPI Status Bit 535" "Low,High" textline " " bitfld.long 0x00 22. " SPIS534 ,SPI Status Bit 534" "Low,High" bitfld.long 0x00 21. " SPIS533 ,SPI Status Bit 533" "Low,High" bitfld.long 0x00 20. " SPIS532 ,SPI Status Bit 532" "Low,High" textline " " bitfld.long 0x00 19. " SPIS531 ,SPI Status Bit 531" "Low,High" bitfld.long 0x00 18. " SPIS530 ,SPI Status Bit 530" "Low,High" bitfld.long 0x00 17. " SPIS529 ,SPI Status Bit 529" "Low,High" textline " " bitfld.long 0x00 16. " SPIS528 ,SPI Status Bit 528" "Low,High" bitfld.long 0x00 15. " SPIS527 ,SPI Status Bit 527" "Low,High" bitfld.long 0x00 14. " SPIS526 ,SPI Status Bit 526" "Low,High" textline " " bitfld.long 0x00 13. " SPIS525 ,SPI Status Bit 525" "Low,High" bitfld.long 0x00 12. " SPIS524 ,SPI Status Bit 524" "Low,High" bitfld.long 0x00 11. " SPIS523 ,SPI Status Bit 523" "Low,High" textline " " bitfld.long 0x00 10. " SPIS522 ,SPI Status Bit 522" "Low,High" bitfld.long 0x00 9. " SPIS521 ,SPI Status Bit 521" "Low,High" bitfld.long 0x00 8. " SPIS520 ,SPI Status Bit 520" "Low,High" textline " " bitfld.long 0x00 7. " SPIS519 ,SPI Status Bit 519" "Low,High" bitfld.long 0x00 6. " SPIS518 ,SPI Status Bit 518" "Low,High" bitfld.long 0x00 5. " SPIS517 ,SPI Status Bit 517" "Low,High" textline " " bitfld.long 0x00 4. " SPIS516 ,SPI Status Bit 516" "Low,High" bitfld.long 0x00 3. " SPIS515 ,SPI Status Bit 515" "Low,High" bitfld.long 0x00 2. " SPIS514 ,SPI Status Bit 514" "Low,High" textline " " bitfld.long 0x00 1. " SPIS513 ,SPI Status Bit 513" "Low,High" bitfld.long 0x00 0. " SPIS512 ,SPI Status Bit 512" "Low,High" else hgroup.long 0xC0C0++0x03 hide.long 0x0 "GICD_SPISR15,Shared Peripheral Interrupt Status Register 15" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x11) rgroup.long 0xC0C4++0x03 line.long 0x0 "GICD_SPISR16,Shared Peripheral Interrupt Status Register 16" bitfld.long 0x00 31. " SPIS575 ,SPI Status Bit 575" "Low,High" bitfld.long 0x00 30. " SPIS574 ,SPI Status Bit 574" "Low,High" bitfld.long 0x00 29. " SPIS573 ,SPI Status Bit 573" "Low,High" textline " " bitfld.long 0x00 28. " SPIS572 ,SPI Status Bit 572" "Low,High" bitfld.long 0x00 27. " SPIS571 ,SPI Status Bit 571" "Low,High" bitfld.long 0x00 26. " SPIS570 ,SPI Status Bit 570" "Low,High" textline " " bitfld.long 0x00 25. " SPIS569 ,SPI Status Bit 569" "Low,High" bitfld.long 0x00 24. " SPIS568 ,SPI Status Bit 568" "Low,High" bitfld.long 0x00 23. " SPIS567 ,SPI Status Bit 567" "Low,High" textline " " bitfld.long 0x00 22. " SPIS566 ,SPI Status Bit 566" "Low,High" bitfld.long 0x00 21. " SPIS565 ,SPI Status Bit 565" "Low,High" bitfld.long 0x00 20. " SPIS564 ,SPI Status Bit 564" "Low,High" textline " " bitfld.long 0x00 19. " SPIS563 ,SPI Status Bit 563" "Low,High" bitfld.long 0x00 18. " SPIS562 ,SPI Status Bit 562" "Low,High" bitfld.long 0x00 17. " SPIS561 ,SPI Status Bit 561" "Low,High" textline " " bitfld.long 0x00 16. " SPIS560 ,SPI Status Bit 560" "Low,High" bitfld.long 0x00 15. " SPIS559 ,SPI Status Bit 559" "Low,High" bitfld.long 0x00 14. " SPIS558 ,SPI Status Bit 558" "Low,High" textline " " bitfld.long 0x00 13. " SPIS557 ,SPI Status Bit 557" "Low,High" bitfld.long 0x00 12. " SPIS556 ,SPI Status Bit 556" "Low,High" bitfld.long 0x00 11. " SPIS555 ,SPI Status Bit 555" "Low,High" textline " " bitfld.long 0x00 10. " SPIS554 ,SPI Status Bit 554" "Low,High" bitfld.long 0x00 9. " SPIS553 ,SPI Status Bit 553" "Low,High" bitfld.long 0x00 8. " SPIS552 ,SPI Status Bit 552" "Low,High" textline " " bitfld.long 0x00 7. " SPIS551 ,SPI Status Bit 551" "Low,High" bitfld.long 0x00 6. " SPIS550 ,SPI Status Bit 550" "Low,High" bitfld.long 0x00 5. " SPIS549 ,SPI Status Bit 549" "Low,High" textline " " bitfld.long 0x00 4. " SPIS548 ,SPI Status Bit 548" "Low,High" bitfld.long 0x00 3. " SPIS547 ,SPI Status Bit 547" "Low,High" bitfld.long 0x00 2. " SPIS546 ,SPI Status Bit 546" "Low,High" textline " " bitfld.long 0x00 1. " SPIS545 ,SPI Status Bit 545" "Low,High" bitfld.long 0x00 0. " SPIS544 ,SPI Status Bit 544" "Low,High" else hgroup.long 0xC0C4++0x03 hide.long 0x0 "GICD_SPISR16,Shared Peripheral Interrupt Status Register 16" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x12) rgroup.long 0xC0C8++0x03 line.long 0x0 "GICD_SPISR17,Shared Peripheral Interrupt Status Register 17" bitfld.long 0x00 31. " SPIS607 ,SPI Status Bit 607" "Low,High" bitfld.long 0x00 30. " SPIS606 ,SPI Status Bit 606" "Low,High" bitfld.long 0x00 29. " SPIS605 ,SPI Status Bit 605" "Low,High" textline " " bitfld.long 0x00 28. " SPIS604 ,SPI Status Bit 604" "Low,High" bitfld.long 0x00 27. " SPIS603 ,SPI Status Bit 603" "Low,High" bitfld.long 0x00 26. " SPIS602 ,SPI Status Bit 602" "Low,High" textline " " bitfld.long 0x00 25. " SPIS601 ,SPI Status Bit 601" "Low,High" bitfld.long 0x00 24. " SPIS600 ,SPI Status Bit 600" "Low,High" bitfld.long 0x00 23. " SPIS599 ,SPI Status Bit 599" "Low,High" textline " " bitfld.long 0x00 22. " SPIS598 ,SPI Status Bit 598" "Low,High" bitfld.long 0x00 21. " SPIS597 ,SPI Status Bit 597" "Low,High" bitfld.long 0x00 20. " SPIS596 ,SPI Status Bit 596" "Low,High" textline " " bitfld.long 0x00 19. " SPIS595 ,SPI Status Bit 595" "Low,High" bitfld.long 0x00 18. " SPIS594 ,SPI Status Bit 594" "Low,High" bitfld.long 0x00 17. " SPIS593 ,SPI Status Bit 593" "Low,High" textline " " bitfld.long 0x00 16. " SPIS592 ,SPI Status Bit 592" "Low,High" bitfld.long 0x00 15. " SPIS591 ,SPI Status Bit 591" "Low,High" bitfld.long 0x00 14. " SPIS590 ,SPI Status Bit 590" "Low,High" textline " " bitfld.long 0x00 13. " SPIS589 ,SPI Status Bit 589" "Low,High" bitfld.long 0x00 12. " SPIS588 ,SPI Status Bit 588" "Low,High" bitfld.long 0x00 11. " SPIS587 ,SPI Status Bit 587" "Low,High" textline " " bitfld.long 0x00 10. " SPIS586 ,SPI Status Bit 586" "Low,High" bitfld.long 0x00 9. " SPIS585 ,SPI Status Bit 585" "Low,High" bitfld.long 0x00 8. " SPIS584 ,SPI Status Bit 584" "Low,High" textline " " bitfld.long 0x00 7. " SPIS583 ,SPI Status Bit 583" "Low,High" bitfld.long 0x00 6. " SPIS582 ,SPI Status Bit 582" "Low,High" bitfld.long 0x00 5. " SPIS581 ,SPI Status Bit 581" "Low,High" textline " " bitfld.long 0x00 4. " SPIS580 ,SPI Status Bit 580" "Low,High" bitfld.long 0x00 3. " SPIS579 ,SPI Status Bit 579" "Low,High" bitfld.long 0x00 2. " SPIS578 ,SPI Status Bit 578" "Low,High" textline " " bitfld.long 0x00 1. " SPIS577 ,SPI Status Bit 577" "Low,High" bitfld.long 0x00 0. " SPIS576 ,SPI Status Bit 576" "Low,High" else hgroup.long 0xC0C8++0x03 hide.long 0x0 "GICD_SPISR17,Shared Peripheral Interrupt Status Register 17" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x13) rgroup.long 0xC0CC++0x03 line.long 0x0 "GICD_SPISR18,Shared Peripheral Interrupt Status Register 18" bitfld.long 0x00 31. " SPIS639 ,SPI Status Bit 639" "Low,High" bitfld.long 0x00 30. " SPIS638 ,SPI Status Bit 638" "Low,High" bitfld.long 0x00 29. " SPIS637 ,SPI Status Bit 637" "Low,High" textline " " bitfld.long 0x00 28. " SPIS636 ,SPI Status Bit 636" "Low,High" bitfld.long 0x00 27. " SPIS635 ,SPI Status Bit 635" "Low,High" bitfld.long 0x00 26. " SPIS634 ,SPI Status Bit 634" "Low,High" textline " " bitfld.long 0x00 25. " SPIS633 ,SPI Status Bit 633" "Low,High" bitfld.long 0x00 24. " SPIS632 ,SPI Status Bit 632" "Low,High" bitfld.long 0x00 23. " SPIS631 ,SPI Status Bit 631" "Low,High" textline " " bitfld.long 0x00 22. " SPIS630 ,SPI Status Bit 630" "Low,High" bitfld.long 0x00 21. " SPIS629 ,SPI Status Bit 629" "Low,High" bitfld.long 0x00 20. " SPIS628 ,SPI Status Bit 628" "Low,High" textline " " bitfld.long 0x00 19. " SPIS627 ,SPI Status Bit 627" "Low,High" bitfld.long 0x00 18. " SPIS626 ,SPI Status Bit 626" "Low,High" bitfld.long 0x00 17. " SPIS625 ,SPI Status Bit 625" "Low,High" textline " " bitfld.long 0x00 16. " SPIS624 ,SPI Status Bit 624" "Low,High" bitfld.long 0x00 15. " SPIS623 ,SPI Status Bit 623" "Low,High" bitfld.long 0x00 14. " SPIS622 ,SPI Status Bit 622" "Low,High" textline " " bitfld.long 0x00 13. " SPIS621 ,SPI Status Bit 621" "Low,High" bitfld.long 0x00 12. " SPIS620 ,SPI Status Bit 620" "Low,High" bitfld.long 0x00 11. " SPIS619 ,SPI Status Bit 619" "Low,High" textline " " bitfld.long 0x00 10. " SPIS618 ,SPI Status Bit 618" "Low,High" bitfld.long 0x00 9. " SPIS617 ,SPI Status Bit 617" "Low,High" bitfld.long 0x00 8. " SPIS616 ,SPI Status Bit 616" "Low,High" textline " " bitfld.long 0x00 7. " SPIS615 ,SPI Status Bit 615" "Low,High" bitfld.long 0x00 6. " SPIS614 ,SPI Status Bit 614" "Low,High" bitfld.long 0x00 5. " SPIS613 ,SPI Status Bit 613" "Low,High" textline " " bitfld.long 0x00 4. " SPIS612 ,SPI Status Bit 612" "Low,High" bitfld.long 0x00 3. " SPIS611 ,SPI Status Bit 611" "Low,High" bitfld.long 0x00 2. " SPIS610 ,SPI Status Bit 610" "Low,High" textline " " bitfld.long 0x00 1. " SPIS609 ,SPI Status Bit 609" "Low,High" bitfld.long 0x00 0. " SPIS608 ,SPI Status Bit 608" "Low,High" else hgroup.long 0xC0CC++0x03 hide.long 0x0 "GICD_SPISR18,Shared Peripheral Interrupt Status Register 18" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x14) rgroup.long 0xC0D0++0x03 line.long 0x0 "GICD_SPISR19,Shared Peripheral Interrupt Status Register 19" bitfld.long 0x00 31. " SPIS671 ,SPI Status Bit 671" "Low,High" bitfld.long 0x00 30. " SPIS670 ,SPI Status Bit 670" "Low,High" bitfld.long 0x00 29. " SPIS669 ,SPI Status Bit 669" "Low,High" textline " " bitfld.long 0x00 28. " SPIS668 ,SPI Status Bit 668" "Low,High" bitfld.long 0x00 27. " SPIS667 ,SPI Status Bit 667" "Low,High" bitfld.long 0x00 26. " SPIS666 ,SPI Status Bit 666" "Low,High" textline " " bitfld.long 0x00 25. " SPIS665 ,SPI Status Bit 665" "Low,High" bitfld.long 0x00 24. " SPIS664 ,SPI Status Bit 664" "Low,High" bitfld.long 0x00 23. " SPIS663 ,SPI Status Bit 663" "Low,High" textline " " bitfld.long 0x00 22. " SPIS662 ,SPI Status Bit 662" "Low,High" bitfld.long 0x00 21. " SPIS661 ,SPI Status Bit 661" "Low,High" bitfld.long 0x00 20. " SPIS660 ,SPI Status Bit 660" "Low,High" textline " " bitfld.long 0x00 19. " SPIS659 ,SPI Status Bit 659" "Low,High" bitfld.long 0x00 18. " SPIS658 ,SPI Status Bit 658" "Low,High" bitfld.long 0x00 17. " SPIS657 ,SPI Status Bit 657" "Low,High" textline " " bitfld.long 0x00 16. " SPIS656 ,SPI Status Bit 656" "Low,High" bitfld.long 0x00 15. " SPIS655 ,SPI Status Bit 655" "Low,High" bitfld.long 0x00 14. " SPIS654 ,SPI Status Bit 654" "Low,High" textline " " bitfld.long 0x00 13. " SPIS653 ,SPI Status Bit 653" "Low,High" bitfld.long 0x00 12. " SPIS652 ,SPI Status Bit 652" "Low,High" bitfld.long 0x00 11. " SPIS651 ,SPI Status Bit 651" "Low,High" textline " " bitfld.long 0x00 10. " SPIS650 ,SPI Status Bit 650" "Low,High" bitfld.long 0x00 9. " SPIS649 ,SPI Status Bit 649" "Low,High" bitfld.long 0x00 8. " SPIS648 ,SPI Status Bit 648" "Low,High" textline " " bitfld.long 0x00 7. " SPIS647 ,SPI Status Bit 647" "Low,High" bitfld.long 0x00 6. " SPIS646 ,SPI Status Bit 646" "Low,High" bitfld.long 0x00 5. " SPIS645 ,SPI Status Bit 645" "Low,High" textline " " bitfld.long 0x00 4. " SPIS644 ,SPI Status Bit 644" "Low,High" bitfld.long 0x00 3. " SPIS643 ,SPI Status Bit 643" "Low,High" bitfld.long 0x00 2. " SPIS642 ,SPI Status Bit 642" "Low,High" textline " " bitfld.long 0x00 1. " SPIS641 ,SPI Status Bit 641" "Low,High" bitfld.long 0x00 0. " SPIS640 ,SPI Status Bit 640" "Low,High" else hgroup.long 0xC0D0++0x03 hide.long 0x0 "GICD_SPISR19,Shared Peripheral Interrupt Status Register 19" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x15) rgroup.long 0xC0D4++0x03 line.long 0x0 "GICD_SPISR20,Shared Peripheral Interrupt Status Register 20" bitfld.long 0x00 31. " SPIS703 ,SPI Status Bit 703" "Low,High" bitfld.long 0x00 30. " SPIS702 ,SPI Status Bit 702" "Low,High" bitfld.long 0x00 29. " SPIS701 ,SPI Status Bit 701" "Low,High" textline " " bitfld.long 0x00 28. " SPIS700 ,SPI Status Bit 700" "Low,High" bitfld.long 0x00 27. " SPIS699 ,SPI Status Bit 699" "Low,High" bitfld.long 0x00 26. " SPIS698 ,SPI Status Bit 698" "Low,High" textline " " bitfld.long 0x00 25. " SPIS697 ,SPI Status Bit 697" "Low,High" bitfld.long 0x00 24. " SPIS696 ,SPI Status Bit 696" "Low,High" bitfld.long 0x00 23. " SPIS695 ,SPI Status Bit 695" "Low,High" textline " " bitfld.long 0x00 22. " SPIS694 ,SPI Status Bit 694" "Low,High" bitfld.long 0x00 21. " SPIS693 ,SPI Status Bit 693" "Low,High" bitfld.long 0x00 20. " SPIS692 ,SPI Status Bit 692" "Low,High" textline " " bitfld.long 0x00 19. " SPIS691 ,SPI Status Bit 691" "Low,High" bitfld.long 0x00 18. " SPIS690 ,SPI Status Bit 690" "Low,High" bitfld.long 0x00 17. " SPIS689 ,SPI Status Bit 689" "Low,High" textline " " bitfld.long 0x00 16. " SPIS688 ,SPI Status Bit 688" "Low,High" bitfld.long 0x00 15. " SPIS687 ,SPI Status Bit 687" "Low,High" bitfld.long 0x00 14. " SPIS686 ,SPI Status Bit 686" "Low,High" textline " " bitfld.long 0x00 13. " SPIS685 ,SPI Status Bit 685" "Low,High" bitfld.long 0x00 12. " SPIS684 ,SPI Status Bit 684" "Low,High" bitfld.long 0x00 11. " SPIS683 ,SPI Status Bit 683" "Low,High" textline " " bitfld.long 0x00 10. " SPIS682 ,SPI Status Bit 682" "Low,High" bitfld.long 0x00 9. " SPIS681 ,SPI Status Bit 681" "Low,High" bitfld.long 0x00 8. " SPIS680 ,SPI Status Bit 680" "Low,High" textline " " bitfld.long 0x00 7. " SPIS679 ,SPI Status Bit 679" "Low,High" bitfld.long 0x00 6. " SPIS678 ,SPI Status Bit 678" "Low,High" bitfld.long 0x00 5. " SPIS677 ,SPI Status Bit 677" "Low,High" textline " " bitfld.long 0x00 4. " SPIS676 ,SPI Status Bit 676" "Low,High" bitfld.long 0x00 3. " SPIS675 ,SPI Status Bit 675" "Low,High" bitfld.long 0x00 2. " SPIS674 ,SPI Status Bit 674" "Low,High" textline " " bitfld.long 0x00 1. " SPIS673 ,SPI Status Bit 673" "Low,High" bitfld.long 0x00 0. " SPIS672 ,SPI Status Bit 672" "Low,High" else hgroup.long 0xC0D4++0x03 hide.long 0x0 "GICD_SPISR20,Shared Peripheral Interrupt Status Register 20" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x16) rgroup.long 0xC0D8++0x03 line.long 0x0 "GICD_SPISR21,Shared Peripheral Interrupt Status Register 21" bitfld.long 0x00 31. " SPIS735 ,SPI Status Bit 735" "Low,High" bitfld.long 0x00 30. " SPIS734 ,SPI Status Bit 734" "Low,High" bitfld.long 0x00 29. " SPIS733 ,SPI Status Bit 733" "Low,High" textline " " bitfld.long 0x00 28. " SPIS732 ,SPI Status Bit 732" "Low,High" bitfld.long 0x00 27. " SPIS731 ,SPI Status Bit 731" "Low,High" bitfld.long 0x00 26. " SPIS730 ,SPI Status Bit 730" "Low,High" textline " " bitfld.long 0x00 25. " SPIS729 ,SPI Status Bit 729" "Low,High" bitfld.long 0x00 24. " SPIS728 ,SPI Status Bit 728" "Low,High" bitfld.long 0x00 23. " SPIS727 ,SPI Status Bit 727" "Low,High" textline " " bitfld.long 0x00 22. " SPIS726 ,SPI Status Bit 726" "Low,High" bitfld.long 0x00 21. " SPIS725 ,SPI Status Bit 725" "Low,High" bitfld.long 0x00 20. " SPIS724 ,SPI Status Bit 724" "Low,High" textline " " bitfld.long 0x00 19. " SPIS723 ,SPI Status Bit 723" "Low,High" bitfld.long 0x00 18. " SPIS722 ,SPI Status Bit 722" "Low,High" bitfld.long 0x00 17. " SPIS721 ,SPI Status Bit 721" "Low,High" textline " " bitfld.long 0x00 16. " SPIS720 ,SPI Status Bit 720" "Low,High" bitfld.long 0x00 15. " SPIS719 ,SPI Status Bit 719" "Low,High" bitfld.long 0x00 14. " SPIS718 ,SPI Status Bit 718" "Low,High" textline " " bitfld.long 0x00 13. " SPIS717 ,SPI Status Bit 717" "Low,High" bitfld.long 0x00 12. " SPIS716 ,SPI Status Bit 716" "Low,High" bitfld.long 0x00 11. " SPIS715 ,SPI Status Bit 715" "Low,High" textline " " bitfld.long 0x00 10. " SPIS714 ,SPI Status Bit 714" "Low,High" bitfld.long 0x00 9. " SPIS713 ,SPI Status Bit 713" "Low,High" bitfld.long 0x00 8. " SPIS712 ,SPI Status Bit 712" "Low,High" textline " " bitfld.long 0x00 7. " SPIS711 ,SPI Status Bit 711" "Low,High" bitfld.long 0x00 6. " SPIS710 ,SPI Status Bit 710" "Low,High" bitfld.long 0x00 5. " SPIS709 ,SPI Status Bit 709" "Low,High" textline " " bitfld.long 0x00 4. " SPIS708 ,SPI Status Bit 708" "Low,High" bitfld.long 0x00 3. " SPIS707 ,SPI Status Bit 707" "Low,High" bitfld.long 0x00 2. " SPIS706 ,SPI Status Bit 706" "Low,High" textline " " bitfld.long 0x00 1. " SPIS705 ,SPI Status Bit 705" "Low,High" bitfld.long 0x00 0. " SPIS704 ,SPI Status Bit 704" "Low,High" else hgroup.long 0xC0D8++0x03 hide.long 0x0 "GICD_SPISR21,Shared Peripheral Interrupt Status Register 21" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x17) rgroup.long 0xC0DC++0x03 line.long 0x0 "GICD_SPISR22,Shared Peripheral Interrupt Status Register 22" bitfld.long 0x00 31. " SPIS767 ,SPI Status Bit 767" "Low,High" bitfld.long 0x00 30. " SPIS766 ,SPI Status Bit 766" "Low,High" bitfld.long 0x00 29. " SPIS765 ,SPI Status Bit 765" "Low,High" textline " " bitfld.long 0x00 28. " SPIS764 ,SPI Status Bit 764" "Low,High" bitfld.long 0x00 27. " SPIS763 ,SPI Status Bit 763" "Low,High" bitfld.long 0x00 26. " SPIS762 ,SPI Status Bit 762" "Low,High" textline " " bitfld.long 0x00 25. " SPIS761 ,SPI Status Bit 761" "Low,High" bitfld.long 0x00 24. " SPIS760 ,SPI Status Bit 760" "Low,High" bitfld.long 0x00 23. " SPIS759 ,SPI Status Bit 759" "Low,High" textline " " bitfld.long 0x00 22. " SPIS758 ,SPI Status Bit 758" "Low,High" bitfld.long 0x00 21. " SPIS757 ,SPI Status Bit 757" "Low,High" bitfld.long 0x00 20. " SPIS756 ,SPI Status Bit 756" "Low,High" textline " " bitfld.long 0x00 19. " SPIS755 ,SPI Status Bit 755" "Low,High" bitfld.long 0x00 18. " SPIS754 ,SPI Status Bit 754" "Low,High" bitfld.long 0x00 17. " SPIS753 ,SPI Status Bit 753" "Low,High" textline " " bitfld.long 0x00 16. " SPIS752 ,SPI Status Bit 752" "Low,High" bitfld.long 0x00 15. " SPIS751 ,SPI Status Bit 751" "Low,High" bitfld.long 0x00 14. " SPIS750 ,SPI Status Bit 750" "Low,High" textline " " bitfld.long 0x00 13. " SPIS749 ,SPI Status Bit 749" "Low,High" bitfld.long 0x00 12. " SPIS748 ,SPI Status Bit 748" "Low,High" bitfld.long 0x00 11. " SPIS747 ,SPI Status Bit 747" "Low,High" textline " " bitfld.long 0x00 10. " SPIS746 ,SPI Status Bit 746" "Low,High" bitfld.long 0x00 9. " SPIS745 ,SPI Status Bit 745" "Low,High" bitfld.long 0x00 8. " SPIS744 ,SPI Status Bit 744" "Low,High" textline " " bitfld.long 0x00 7. " SPIS743 ,SPI Status Bit 743" "Low,High" bitfld.long 0x00 6. " SPIS742 ,SPI Status Bit 742" "Low,High" bitfld.long 0x00 5. " SPIS741 ,SPI Status Bit 741" "Low,High" textline " " bitfld.long 0x00 4. " SPIS740 ,SPI Status Bit 740" "Low,High" bitfld.long 0x00 3. " SPIS739 ,SPI Status Bit 739" "Low,High" bitfld.long 0x00 2. " SPIS738 ,SPI Status Bit 738" "Low,High" textline " " bitfld.long 0x00 1. " SPIS737 ,SPI Status Bit 737" "Low,High" bitfld.long 0x00 0. " SPIS736 ,SPI Status Bit 736" "Low,High" else hgroup.long 0xC0DC++0x03 hide.long 0x0 "GICD_SPISR22,Shared Peripheral Interrupt Status Register 22" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x18) rgroup.long 0xC0E0++0x03 line.long 0x0 "GICD_SPISR23,Shared Peripheral Interrupt Status Register 23" bitfld.long 0x00 31. " SPIS799 ,SPI Status Bit 799" "Low,High" bitfld.long 0x00 30. " SPIS798 ,SPI Status Bit 798" "Low,High" bitfld.long 0x00 29. " SPIS797 ,SPI Status Bit 797" "Low,High" textline " " bitfld.long 0x00 28. " SPIS796 ,SPI Status Bit 796" "Low,High" bitfld.long 0x00 27. " SPIS795 ,SPI Status Bit 795" "Low,High" bitfld.long 0x00 26. " SPIS794 ,SPI Status Bit 794" "Low,High" textline " " bitfld.long 0x00 25. " SPIS793 ,SPI Status Bit 793" "Low,High" bitfld.long 0x00 24. " SPIS792 ,SPI Status Bit 792" "Low,High" bitfld.long 0x00 23. " SPIS791 ,SPI Status Bit 791" "Low,High" textline " " bitfld.long 0x00 22. " SPIS790 ,SPI Status Bit 790" "Low,High" bitfld.long 0x00 21. " SPIS789 ,SPI Status Bit 789" "Low,High" bitfld.long 0x00 20. " SPIS788 ,SPI Status Bit 788" "Low,High" textline " " bitfld.long 0x00 19. " SPIS787 ,SPI Status Bit 787" "Low,High" bitfld.long 0x00 18. " SPIS786 ,SPI Status Bit 786" "Low,High" bitfld.long 0x00 17. " SPIS785 ,SPI Status Bit 785" "Low,High" textline " " bitfld.long 0x00 16. " SPIS784 ,SPI Status Bit 784" "Low,High" bitfld.long 0x00 15. " SPIS783 ,SPI Status Bit 783" "Low,High" bitfld.long 0x00 14. " SPIS782 ,SPI Status Bit 782" "Low,High" textline " " bitfld.long 0x00 13. " SPIS781 ,SPI Status Bit 781" "Low,High" bitfld.long 0x00 12. " SPIS780 ,SPI Status Bit 780" "Low,High" bitfld.long 0x00 11. " SPIS779 ,SPI Status Bit 779" "Low,High" textline " " bitfld.long 0x00 10. " SPIS778 ,SPI Status Bit 778" "Low,High" bitfld.long 0x00 9. " SPIS777 ,SPI Status Bit 777" "Low,High" bitfld.long 0x00 8. " SPIS776 ,SPI Status Bit 776" "Low,High" textline " " bitfld.long 0x00 7. " SPIS775 ,SPI Status Bit 775" "Low,High" bitfld.long 0x00 6. " SPIS774 ,SPI Status Bit 774" "Low,High" bitfld.long 0x00 5. " SPIS773 ,SPI Status Bit 773" "Low,High" textline " " bitfld.long 0x00 4. " SPIS772 ,SPI Status Bit 772" "Low,High" bitfld.long 0x00 3. " SPIS771 ,SPI Status Bit 771" "Low,High" bitfld.long 0x00 2. " SPIS770 ,SPI Status Bit 770" "Low,High" textline " " bitfld.long 0x00 1. " SPIS769 ,SPI Status Bit 769" "Low,High" bitfld.long 0x00 0. " SPIS768 ,SPI Status Bit 768" "Low,High" else hgroup.long 0xC0E0++0x03 hide.long 0x0 "GICD_SPISR23,Shared Peripheral Interrupt Status Register 23" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x19) rgroup.long 0xC0E4++0x03 line.long 0x0 "GICD_SPISR24,Shared Peripheral Interrupt Status Register 24" bitfld.long 0x00 31. " SPIS831 ,SPI Status Bit 831" "Low,High" bitfld.long 0x00 30. " SPIS830 ,SPI Status Bit 830" "Low,High" bitfld.long 0x00 29. " SPIS829 ,SPI Status Bit 829" "Low,High" textline " " bitfld.long 0x00 28. " SPIS828 ,SPI Status Bit 828" "Low,High" bitfld.long 0x00 27. " SPIS827 ,SPI Status Bit 827" "Low,High" bitfld.long 0x00 26. " SPIS826 ,SPI Status Bit 826" "Low,High" textline " " bitfld.long 0x00 25. " SPIS825 ,SPI Status Bit 825" "Low,High" bitfld.long 0x00 24. " SPIS824 ,SPI Status Bit 824" "Low,High" bitfld.long 0x00 23. " SPIS823 ,SPI Status Bit 823" "Low,High" textline " " bitfld.long 0x00 22. " SPIS822 ,SPI Status Bit 822" "Low,High" bitfld.long 0x00 21. " SPIS821 ,SPI Status Bit 821" "Low,High" bitfld.long 0x00 20. " SPIS820 ,SPI Status Bit 820" "Low,High" textline " " bitfld.long 0x00 19. " SPIS819 ,SPI Status Bit 819" "Low,High" bitfld.long 0x00 18. " SPIS818 ,SPI Status Bit 818" "Low,High" bitfld.long 0x00 17. " SPIS817 ,SPI Status Bit 817" "Low,High" textline " " bitfld.long 0x00 16. " SPIS816 ,SPI Status Bit 816" "Low,High" bitfld.long 0x00 15. " SPIS815 ,SPI Status Bit 815" "Low,High" bitfld.long 0x00 14. " SPIS814 ,SPI Status Bit 814" "Low,High" textline " " bitfld.long 0x00 13. " SPIS813 ,SPI Status Bit 813" "Low,High" bitfld.long 0x00 12. " SPIS812 ,SPI Status Bit 812" "Low,High" bitfld.long 0x00 11. " SPIS811 ,SPI Status Bit 811" "Low,High" textline " " bitfld.long 0x00 10. " SPIS810 ,SPI Status Bit 810" "Low,High" bitfld.long 0x00 9. " SPIS809 ,SPI Status Bit 809" "Low,High" bitfld.long 0x00 8. " SPIS808 ,SPI Status Bit 808" "Low,High" textline " " bitfld.long 0x00 7. " SPIS807 ,SPI Status Bit 807" "Low,High" bitfld.long 0x00 6. " SPIS806 ,SPI Status Bit 806" "Low,High" bitfld.long 0x00 5. " SPIS805 ,SPI Status Bit 805" "Low,High" textline " " bitfld.long 0x00 4. " SPIS804 ,SPI Status Bit 804" "Low,High" bitfld.long 0x00 3. " SPIS803 ,SPI Status Bit 803" "Low,High" bitfld.long 0x00 2. " SPIS802 ,SPI Status Bit 802" "Low,High" textline " " bitfld.long 0x00 1. " SPIS801 ,SPI Status Bit 801" "Low,High" bitfld.long 0x00 0. " SPIS800 ,SPI Status Bit 800" "Low,High" else hgroup.long 0xC0E4++0x03 hide.long 0x0 "GICD_SPISR24,Shared Peripheral Interrupt Status Register 24" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1A) rgroup.long 0xC0E8++0x03 line.long 0x0 "GICD_SPISR25,Shared Peripheral Interrupt Status Register 25" bitfld.long 0x00 31. " SPIS863 ,SPI Status Bit 863" "Low,High" bitfld.long 0x00 30. " SPIS862 ,SPI Status Bit 862" "Low,High" bitfld.long 0x00 29. " SPIS861 ,SPI Status Bit 861" "Low,High" textline " " bitfld.long 0x00 28. " SPIS860 ,SPI Status Bit 860" "Low,High" bitfld.long 0x00 27. " SPIS859 ,SPI Status Bit 859" "Low,High" bitfld.long 0x00 26. " SPIS858 ,SPI Status Bit 858" "Low,High" textline " " bitfld.long 0x00 25. " SPIS857 ,SPI Status Bit 857" "Low,High" bitfld.long 0x00 24. " SPIS856 ,SPI Status Bit 856" "Low,High" bitfld.long 0x00 23. " SPIS855 ,SPI Status Bit 855" "Low,High" textline " " bitfld.long 0x00 22. " SPIS854 ,SPI Status Bit 854" "Low,High" bitfld.long 0x00 21. " SPIS853 ,SPI Status Bit 853" "Low,High" bitfld.long 0x00 20. " SPIS852 ,SPI Status Bit 852" "Low,High" textline " " bitfld.long 0x00 19. " SPIS851 ,SPI Status Bit 851" "Low,High" bitfld.long 0x00 18. " SPIS850 ,SPI Status Bit 850" "Low,High" bitfld.long 0x00 17. " SPIS849 ,SPI Status Bit 849" "Low,High" textline " " bitfld.long 0x00 16. " SPIS848 ,SPI Status Bit 848" "Low,High" bitfld.long 0x00 15. " SPIS847 ,SPI Status Bit 847" "Low,High" bitfld.long 0x00 14. " SPIS846 ,SPI Status Bit 846" "Low,High" textline " " bitfld.long 0x00 13. " SPIS845 ,SPI Status Bit 845" "Low,High" bitfld.long 0x00 12. " SPIS844 ,SPI Status Bit 844" "Low,High" bitfld.long 0x00 11. " SPIS843 ,SPI Status Bit 843" "Low,High" textline " " bitfld.long 0x00 10. " SPIS842 ,SPI Status Bit 842" "Low,High" bitfld.long 0x00 9. " SPIS841 ,SPI Status Bit 841" "Low,High" bitfld.long 0x00 8. " SPIS840 ,SPI Status Bit 840" "Low,High" textline " " bitfld.long 0x00 7. " SPIS839 ,SPI Status Bit 839" "Low,High" bitfld.long 0x00 6. " SPIS838 ,SPI Status Bit 838" "Low,High" bitfld.long 0x00 5. " SPIS837 ,SPI Status Bit 837" "Low,High" textline " " bitfld.long 0x00 4. " SPIS836 ,SPI Status Bit 836" "Low,High" bitfld.long 0x00 3. " SPIS835 ,SPI Status Bit 835" "Low,High" bitfld.long 0x00 2. " SPIS834 ,SPI Status Bit 834" "Low,High" textline " " bitfld.long 0x00 1. " SPIS833 ,SPI Status Bit 833" "Low,High" bitfld.long 0x00 0. " SPIS832 ,SPI Status Bit 832" "Low,High" else hgroup.long 0xC0E8++0x03 hide.long 0x0 "GICD_SPISR25,Shared Peripheral Interrupt Status Register 25" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1B) rgroup.long 0xC0EC++0x03 line.long 0x0 "GICD_SPISR26,Shared Peripheral Interrupt Status Register 26" bitfld.long 0x00 31. " SPIS895 ,SPI Status Bit 895" "Low,High" bitfld.long 0x00 30. " SPIS894 ,SPI Status Bit 894" "Low,High" bitfld.long 0x00 29. " SPIS893 ,SPI Status Bit 893" "Low,High" textline " " bitfld.long 0x00 28. " SPIS892 ,SPI Status Bit 892" "Low,High" bitfld.long 0x00 27. " SPIS891 ,SPI Status Bit 891" "Low,High" bitfld.long 0x00 26. " SPIS890 ,SPI Status Bit 890" "Low,High" textline " " bitfld.long 0x00 25. " SPIS889 ,SPI Status Bit 889" "Low,High" bitfld.long 0x00 24. " SPIS888 ,SPI Status Bit 888" "Low,High" bitfld.long 0x00 23. " SPIS887 ,SPI Status Bit 887" "Low,High" textline " " bitfld.long 0x00 22. " SPIS886 ,SPI Status Bit 886" "Low,High" bitfld.long 0x00 21. " SPIS885 ,SPI Status Bit 885" "Low,High" bitfld.long 0x00 20. " SPIS884 ,SPI Status Bit 884" "Low,High" textline " " bitfld.long 0x00 19. " SPIS883 ,SPI Status Bit 883" "Low,High" bitfld.long 0x00 18. " SPIS882 ,SPI Status Bit 882" "Low,High" bitfld.long 0x00 17. " SPIS881 ,SPI Status Bit 881" "Low,High" textline " " bitfld.long 0x00 16. " SPIS880 ,SPI Status Bit 880" "Low,High" bitfld.long 0x00 15. " SPIS879 ,SPI Status Bit 879" "Low,High" bitfld.long 0x00 14. " SPIS878 ,SPI Status Bit 878" "Low,High" textline " " bitfld.long 0x00 13. " SPIS877 ,SPI Status Bit 877" "Low,High" bitfld.long 0x00 12. " SPIS876 ,SPI Status Bit 876" "Low,High" bitfld.long 0x00 11. " SPIS875 ,SPI Status Bit 875" "Low,High" textline " " bitfld.long 0x00 10. " SPIS874 ,SPI Status Bit 874" "Low,High" bitfld.long 0x00 9. " SPIS873 ,SPI Status Bit 873" "Low,High" bitfld.long 0x00 8. " SPIS872 ,SPI Status Bit 872" "Low,High" textline " " bitfld.long 0x00 7. " SPIS871 ,SPI Status Bit 871" "Low,High" bitfld.long 0x00 6. " SPIS870 ,SPI Status Bit 870" "Low,High" bitfld.long 0x00 5. " SPIS869 ,SPI Status Bit 869" "Low,High" textline " " bitfld.long 0x00 4. " SPIS868 ,SPI Status Bit 868" "Low,High" bitfld.long 0x00 3. " SPIS867 ,SPI Status Bit 867" "Low,High" bitfld.long 0x00 2. " SPIS866 ,SPI Status Bit 866" "Low,High" textline " " bitfld.long 0x00 1. " SPIS865 ,SPI Status Bit 865" "Low,High" bitfld.long 0x00 0. " SPIS864 ,SPI Status Bit 864" "Low,High" else hgroup.long 0xC0EC++0x03 hide.long 0x0 "GICD_SPISR26,Shared Peripheral Interrupt Status Register 26" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1C) rgroup.long 0xC0F0++0x03 line.long 0x0 "GICD_SPISR27,Shared Peripheral Interrupt Status Register 27" bitfld.long 0x00 31. " SPIS927 ,SPI Status Bit 927" "Low,High" bitfld.long 0x00 30. " SPIS926 ,SPI Status Bit 926" "Low,High" bitfld.long 0x00 29. " SPIS925 ,SPI Status Bit 925" "Low,High" textline " " bitfld.long 0x00 28. " SPIS924 ,SPI Status Bit 924" "Low,High" bitfld.long 0x00 27. " SPIS923 ,SPI Status Bit 923" "Low,High" bitfld.long 0x00 26. " SPIS922 ,SPI Status Bit 922" "Low,High" textline " " bitfld.long 0x00 25. " SPIS921 ,SPI Status Bit 921" "Low,High" bitfld.long 0x00 24. " SPIS920 ,SPI Status Bit 920" "Low,High" bitfld.long 0x00 23. " SPIS919 ,SPI Status Bit 919" "Low,High" textline " " bitfld.long 0x00 22. " SPIS918 ,SPI Status Bit 918" "Low,High" bitfld.long 0x00 21. " SPIS917 ,SPI Status Bit 917" "Low,High" bitfld.long 0x00 20. " SPIS916 ,SPI Status Bit 916" "Low,High" textline " " bitfld.long 0x00 19. " SPIS915 ,SPI Status Bit 915" "Low,High" bitfld.long 0x00 18. " SPIS914 ,SPI Status Bit 914" "Low,High" bitfld.long 0x00 17. " SPIS913 ,SPI Status Bit 913" "Low,High" textline " " bitfld.long 0x00 16. " SPIS912 ,SPI Status Bit 912" "Low,High" bitfld.long 0x00 15. " SPIS911 ,SPI Status Bit 911" "Low,High" bitfld.long 0x00 14. " SPIS910 ,SPI Status Bit 910" "Low,High" textline " " bitfld.long 0x00 13. " SPIS909 ,SPI Status Bit 909" "Low,High" bitfld.long 0x00 12. " SPIS908 ,SPI Status Bit 908" "Low,High" bitfld.long 0x00 11. " SPIS907 ,SPI Status Bit 907" "Low,High" textline " " bitfld.long 0x00 10. " SPIS906 ,SPI Status Bit 906" "Low,High" bitfld.long 0x00 9. " SPIS905 ,SPI Status Bit 905" "Low,High" bitfld.long 0x00 8. " SPIS904 ,SPI Status Bit 904" "Low,High" textline " " bitfld.long 0x00 7. " SPIS903 ,SPI Status Bit 903" "Low,High" bitfld.long 0x00 6. " SPIS902 ,SPI Status Bit 902" "Low,High" bitfld.long 0x00 5. " SPIS901 ,SPI Status Bit 901" "Low,High" textline " " bitfld.long 0x00 4. " SPIS900 ,SPI Status Bit 900" "Low,High" bitfld.long 0x00 3. " SPIS899 ,SPI Status Bit 899" "Low,High" bitfld.long 0x00 2. " SPIS898 ,SPI Status Bit 898" "Low,High" textline " " bitfld.long 0x00 1. " SPIS897 ,SPI Status Bit 897" "Low,High" bitfld.long 0x00 0. " SPIS896 ,SPI Status Bit 896" "Low,High" else hgroup.long 0xC0F0++0x03 hide.long 0x0 "GICD_SPISR27,Shared Peripheral Interrupt Status Register 27" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1D) rgroup.long 0xC0F4++0x03 line.long 0x0 "GICD_SPISR28,Shared Peripheral Interrupt Status Register 28" bitfld.long 0x00 31. " SPIS959 ,SPI Status Bit 959" "Low,High" bitfld.long 0x00 30. " SPIS958 ,SPI Status Bit 958" "Low,High" bitfld.long 0x00 29. " SPIS957 ,SPI Status Bit 957" "Low,High" textline " " bitfld.long 0x00 28. " SPIS956 ,SPI Status Bit 956" "Low,High" bitfld.long 0x00 27. " SPIS955 ,SPI Status Bit 955" "Low,High" bitfld.long 0x00 26. " SPIS954 ,SPI Status Bit 954" "Low,High" textline " " bitfld.long 0x00 25. " SPIS953 ,SPI Status Bit 953" "Low,High" bitfld.long 0x00 24. " SPIS952 ,SPI Status Bit 952" "Low,High" bitfld.long 0x00 23. " SPIS951 ,SPI Status Bit 951" "Low,High" textline " " bitfld.long 0x00 22. " SPIS950 ,SPI Status Bit 950" "Low,High" bitfld.long 0x00 21. " SPIS949 ,SPI Status Bit 949" "Low,High" bitfld.long 0x00 20. " SPIS948 ,SPI Status Bit 948" "Low,High" textline " " bitfld.long 0x00 19. " SPIS947 ,SPI Status Bit 947" "Low,High" bitfld.long 0x00 18. " SPIS946 ,SPI Status Bit 946" "Low,High" bitfld.long 0x00 17. " SPIS945 ,SPI Status Bit 945" "Low,High" textline " " bitfld.long 0x00 16. " SPIS944 ,SPI Status Bit 944" "Low,High" bitfld.long 0x00 15. " SPIS943 ,SPI Status Bit 943" "Low,High" bitfld.long 0x00 14. " SPIS942 ,SPI Status Bit 942" "Low,High" textline " " bitfld.long 0x00 13. " SPIS941 ,SPI Status Bit 941" "Low,High" bitfld.long 0x00 12. " SPIS940 ,SPI Status Bit 940" "Low,High" bitfld.long 0x00 11. " SPIS939 ,SPI Status Bit 939" "Low,High" textline " " bitfld.long 0x00 10. " SPIS938 ,SPI Status Bit 938" "Low,High" bitfld.long 0x00 9. " SPIS937 ,SPI Status Bit 937" "Low,High" bitfld.long 0x00 8. " SPIS936 ,SPI Status Bit 936" "Low,High" textline " " bitfld.long 0x00 7. " SPIS935 ,SPI Status Bit 935" "Low,High" bitfld.long 0x00 6. " SPIS934 ,SPI Status Bit 934" "Low,High" bitfld.long 0x00 5. " SPIS933 ,SPI Status Bit 933" "Low,High" textline " " bitfld.long 0x00 4. " SPIS932 ,SPI Status Bit 932" "Low,High" bitfld.long 0x00 3. " SPIS931 ,SPI Status Bit 931" "Low,High" bitfld.long 0x00 2. " SPIS930 ,SPI Status Bit 930" "Low,High" textline " " bitfld.long 0x00 1. " SPIS929 ,SPI Status Bit 929" "Low,High" bitfld.long 0x00 0. " SPIS928 ,SPI Status Bit 928" "Low,High" else hgroup.long 0xC0F4++0x03 hide.long 0x0 "GICD_SPISR28,Shared Peripheral Interrupt Status Register 28" endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x0000001F)>=0x1E) rgroup.long 0xC0F8++0x03 line.long 0x0 "GICD_SPISR29,Shared Peripheral Interrupt Status Register 29" bitfld.long 0x00 31. " SPIS991 ,SPI Status Bit 991" "Low,High" bitfld.long 0x00 30. " SPIS990 ,SPI Status Bit 990" "Low,High" bitfld.long 0x00 29. " SPIS989 ,SPI Status Bit 989" "Low,High" textline " " bitfld.long 0x00 28. " SPIS988 ,SPI Status Bit 988" "Low,High" bitfld.long 0x00 27. " SPIS987 ,SPI Status Bit 987" "Low,High" bitfld.long 0x00 26. " SPIS986 ,SPI Status Bit 986" "Low,High" textline " " bitfld.long 0x00 25. " SPIS985 ,SPI Status Bit 985" "Low,High" bitfld.long 0x00 24. " SPIS984 ,SPI Status Bit 984" "Low,High" bitfld.long 0x00 23. " SPIS983 ,SPI Status Bit 983" "Low,High" textline " " bitfld.long 0x00 22. " SPIS982 ,SPI Status Bit 982" "Low,High" bitfld.long 0x00 21. " SPIS981 ,SPI Status Bit 981" "Low,High" bitfld.long 0x00 20. " SPIS980 ,SPI Status Bit 980" "Low,High" textline " " bitfld.long 0x00 19. " SPIS979 ,SPI Status Bit 979" "Low,High" bitfld.long 0x00 18. " SPIS978 ,SPI Status Bit 978" "Low,High" bitfld.long 0x00 17. " SPIS977 ,SPI Status Bit 977" "Low,High" textline " " bitfld.long 0x00 16. " SPIS976 ,SPI Status Bit 976" "Low,High" bitfld.long 0x00 15. " SPIS975 ,SPI Status Bit 975" "Low,High" bitfld.long 0x00 14. " SPIS974 ,SPI Status Bit 974" "Low,High" textline " " bitfld.long 0x00 13. " SPIS973 ,SPI Status Bit 973" "Low,High" bitfld.long 0x00 12. " SPIS972 ,SPI Status Bit 972" "Low,High" bitfld.long 0x00 11. " SPIS971 ,SPI Status Bit 971" "Low,High" textline " " bitfld.long 0x00 10. " SPIS970 ,SPI Status Bit 970" "Low,High" bitfld.long 0x00 9. " SPIS969 ,SPI Status Bit 969" "Low,High" bitfld.long 0x00 8. " SPIS968 ,SPI Status Bit 968" "Low,High" textline " " bitfld.long 0x00 7. " SPIS967 ,SPI Status Bit 967" "Low,High" bitfld.long 0x00 6. " SPIS966 ,SPI Status Bit 966" "Low,High" bitfld.long 0x00 5. " SPIS965 ,SPI Status Bit 965" "Low,High" textline " " bitfld.long 0x00 4. " SPIS964 ,SPI Status Bit 964" "Low,High" bitfld.long 0x00 3. " SPIS963 ,SPI Status Bit 963" "Low,High" bitfld.long 0x00 2. " SPIS962 ,SPI Status Bit 962" "Low,High" textline " " bitfld.long 0x00 1. " SPIS961 ,SPI Status Bit 961" "Low,High" bitfld.long 0x00 0. " SPIS960 ,SPI Status Bit 960" "Low,High" else hgroup.long 0xC0F8++0x03 hide.long 0x0 "GICD_SPISR29,Shared Peripheral Interrupt Status Register 29" endif tree.end width 12. tree "Peripheral/Component ID Registers" rgroup.long 0xFFE0++0x03 line.long 0x00 "GICD_PIDR0,Peripheral ID0 Register" hexmask.long.byte 0x00 0.--7. 1. " PART_0 ,Part number[7:0]" rgroup.long 0xFFE4++0x03 line.long 0x00 "GICD_PIDR1,Peripheral ID1 Register" bitfld.long 0x00 4.--7. " DES_1 ,JEP106 identity code [3:0]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " PART_1 , Part number[11:8]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFE8++0x03 line.long 0x00 "GICD_PIDR2,Peripheral ID2 Register" bitfld.long 0x00 4.--7. " ARCHREV ,Identifies the version of the GIC architecture with which the GIC-500 complies" "Reserved,Reserved,Reserved,v3.0,?..." bitfld.long 0x00 3. " JEDEC ,Indicates that a JEDEC-assigned JEP106 identity code is used" "Not Used,Used" bitfld.long 0x00 0.--2. " DES_1 ,JEP106 identity code [6:4]" "0,1,2,3,4,5,6,7" rgroup.long 0xFFEC++0x03 line.long 0x00 "GICD_PIDR3,Peripheral ID3 Register" bitfld.long 0x00 4.--7. " REVAND ,Manufacturer defined revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " CMOD ,Indicates if the customer has modified the behavior of the component" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFD0++0x03 line.long 0x00 "GICD_PIDR4,Peripheral ID4 Register" bitfld.long 0x00 4.--7. " SIZE ,64 KB software visible page" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " DES_2 ,ARM implementation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hgroup.long 0xFFD4++0x03 hide.long 0x00 "GICD_PIDR5,Peripheral ID5 Register" hgroup.long 0xFFD8++0x03 hide.long 0x00 "GICD_PIDR6,Peripheral ID6 Register" hgroup.long 0xFFDC++0x03 hide.long 0x00 "GICD_PIDR7,Peripheral ID7 Register" rgroup.long 0xFFF0++0x03 line.long 0x00 "GICD_CIDR0,Component ID0 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFF4++0x03 line.long 0x00 "GICD_CIDR1,Component ID1 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFF8++0x03 line.long 0x00 "GICD_CIDR2,Component ID2 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFFC++0x03 line.long 0x00 "GICD_CIDR3,Component ID3 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" tree.end tree.end width 0x0B base (COMP.BASE("GICD",-1.)+0x20000) width 24. tree "Interrupt Translation Service" group.long 0x00++0x03 line.long 0x00 "GITS_CTLR,ITS Control Register" rbitfld.long 0x00 31. " QUIESCENT ,Indicates completion of all ITS operations" "Not quiescent,Quiescent" bitfld.long 0x00 0. " ENABLED ,Controls whether the ITS is enabled" "Disabled,Enabled" rgroup.long 0x04++0x03 line.long 0x00 "GITS_IIDR,ITS Implementer Identification Register" bitfld.long 0x00 24.--31. " PRODID ,Indicates the product ID" "GIC-500,?..." bitfld.long 0x00 16.--19. " VAR ,Major revison number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 12.--15. " REV ,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" if (((per.q((COMP.BASE("GICD",-1.)+0x20000)+0x0008))&0x1000000000)==0x1000000000)&&(((per.q((COMP.BASE("GICD",-1.)+0x20000)+0x0008))&0xFF000000)!=0x00) rgroup.quad 0x08++0x07 line.quad 0x00 "GITS_TYPER,ITS Type Register" bitfld.quad 0x00 37. " VMOVP ,Indicates the form of the VMOVP command" "All ITSs,One ITS" bitfld.quad 0x00 36. " CIL ,Collection ID Limit" "16-bit,GITS_TYPER.CIDBITS value" textline " " bitfld.quad 0x00 32.--35. " CIDBITS ,Number of Collection ID bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 24.--31. 1. " HCC ,Hardware Collection Count" textline " " bitfld.quad 0x00 19. " PTA ,Indicates the format of the target address" "GICR_TYPER.PROCESSOR_NUMBER value,Base physical address" bitfld.quad 0x00 18. " SEIS ,Indicates whether the virtual CPU interface supports generation of SEIs" "Not suppported,Supported" textline " " bitfld.quad 0x00 13.--17. " DEVBITS ,The number of DeviceID bits implemented minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 8.--12. " ID_BITS ,The number of EventID bits implemented minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" textline " " rbitfld.quad 0x00 4.--7. " ITT_ENTRY_SIZE ,The number of bytes per translation table entry minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 2. " CCT ,Cumulative Collection Tables" "0,1" elif (((per.q((COMP.BASE("GICD",-1.)+0x20000)+0x0008))&0x1000000000)==0x1000000000) rgroup.quad 0x08++0x07 line.quad 0x00 "GITS_TYPER,ITS Type Register" bitfld.quad 0x00 37. " VMOVP ,Indicates the form of the VMOVP command" "All ITSs,One ITS" bitfld.quad 0x00 36. " CIL ,Collection ID Limit" "16-bit,GITS_TYPER.CIDBITS value" textline " " bitfld.quad 0x00 32.--35. " CIDBITS ,Number of Collection ID bits minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 24.--31. 1. " HCC ,Hardware Collection Count" textline " " bitfld.quad 0x00 19. " PTA ,Indicates the format of the target address" "GICR_TYPER.PROCESSOR_NUMBER value,Base physical address" bitfld.quad 0x00 18. " SEIS ,Indicates whether the virtual CPU interface supports generation of SEIs" "Not suppported,Supported" textline " " bitfld.quad 0x00 13.--17. " DEVBITS ,The number of DeviceID bits implemented minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 8.--12. " ID_BITS ,The number of EventID bits implemented minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" textline " " rbitfld.quad 0x00 4.--7. " ITT_ENTRY_SIZE ,The number of bytes per translation table entry minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" elif (((per.q((COMP.BASE("GICD",-1.)+0x20000)+0x0008))&0xFF000000)!=0x00) rgroup.quad 0x08++0x07 line.quad 0x00 "GITS_TYPER,ITS Type Register" bitfld.quad 0x00 37. " VMOVP ,Indicates the form of the VMOVP command" "All ITSs,One ITS" bitfld.quad 0x00 36. " CIL ,Collection ID Limit" "16-bit,GITS_TYPER.CIDBITS value" textline " " hexmask.quad.byte 0x00 24.--31. 1. " HCC ,Hardware Collection Count" bitfld.quad 0x00 19. " PTA ,Indicates the format of the target address" "GICR_TYPER.PROCESSOR_NUMBER value,Base physical address" textline " " bitfld.quad 0x00 18. " SEIS ,Indicates whether the virtual CPU interface supports generation of SEIs" "Not suppported,Supported" bitfld.quad 0x00 13.--17. " DEVBITS ,The number of DeviceID bits implemented minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" textline " " bitfld.quad 0x00 8.--12. " ID_BITS ,The number of EventID bits implemented minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.quad 0x00 4.--7. " ITT_ENTRY_SIZE ,The number of bytes per translation table entry minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.quad 0x00 2. " CCT ,Cumulative Collection Tables" "0,1" else rgroup.quad 0x08++0x07 line.quad 0x00 "GITS_TYPER,ITS Type Register" bitfld.quad 0x00 37. " VMOVP ,Indicates the form of the VMOVP command" "All ITSs,One ITS" bitfld.quad 0x00 36. " CIL ,Collection ID Limit" "16-bit,GITS_TYPER.CIDBITS value" textline " " hexmask.quad.byte 0x00 24.--31. 1. " HCC ,Hardware Collection Count" bitfld.quad 0x00 19. " PTA ,Indicates the format of the target address" "GICR_TYPER.PROCESSOR_NUMBER value,Base physical address" textline " " bitfld.quad 0x00 18. " SEIS ,Indicates whether the virtual CPU interface supports generation of SEIs" "Not suppported,Supported" bitfld.quad 0x00 13.--17. " DEVBITS ,The number of DeviceID bits implemented minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" textline " " bitfld.quad 0x00 8.--12. " ID_BITS ,The number of EventID bits implemented minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.quad 0x00 4.--7. " ITT_ENTRY_SIZE ,The number of bytes per translation table entry minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif group.quad 0x80++0x07 line.quad 0x00 "GITS_CBASER,The command queue control register" bitfld.quad 0x00 63. " VALID ,Indicates whether software has allocated memory for the command queue" "Not allocated,Allocated" bitfld.quad 0x00 59.--61. " INNERCACHE ,Indicates the Inner Cacheability attributes of accesses to the command queue" "Device-nGnRnE,Normal inner non-cacheable,Normal inner RA WT cacheable,Normal inner RA WB cacheable,Normal inner WA WT cacheable,Normal inner WA WB cacheable,Normal inner RA WA WT cacheable,Normal inner RA WA WB cacheable" textline " " bitfld.quad 0x00 53.--55. " OUTERCACHE ,Indicates the Outer Cacheability attributes of accesses to the command queue" "Defined in INNERCACHE,Normal outer non-cacheable,Normal outer RA WT cacheable,Normal outer RA WB cacheable,Normal outer WA WT cacheable,Normal outer WA WB cacheable,Normal outer RA WA WT cacheable,Normal outer RA WA WB cacheable" hexmask.quad 0x00 12.--51. 0x10 " PHYSICAL_ADDRESS ,Bits [51:12] of the base physical address of the command queue" textline " " bitfld.quad 0x00 10.--11. " SHAREABILITY ,Indicates the Shareability attributes of accesses to the command queue" "Non-shareable,Inner Shareable,Outer Shareable,?..." hexmask.quad.byte 0x00 0.--7. 1. " SIZE ,The number of 4KB pages of physical memory allocated to the command queue minus one" group.quad 0x88++0x7 line.quad 0x00 "GITS_CWRITER,The command queue write pointer" hexmask.quad.word 0x00 5.--19. 0x20 " OFFSET ,Bits [19:5] of the offset from GITS_CBASER" bitfld.quad 0x00 0. " RETRY ,Restarts the processing of commands by the ITS if it stalled because of a command error" "No effect,Restarted" group.quad 0x90++0x07 line.quad 0x00 "GITS_CREADR,The command queue read pointer" hexmask.quad.word 0x00 5.--19. 0x20 " OFFSET ,Bits [19:5] of the offset from GITS_CBASER" bitfld.quad 0x00 0. " STALLED ,Reports whether the processing of commands is stalled because of a command error" "Not stalled,Stalled" if (((per.q((COMP.BASE("GICD",-1.)+0x20000)+0x0100))&0x700000000000000)==0x00) group.quad 0x100++0x07 line.quad 0x00 "GITS_BASER0,ITS table control register" bitfld.quad 0x00 63. " VALID ,Indicates whether software has allocated memory for the translation table" "Not allocated,Allocated" bitfld.quad 0x00 62. " INDIRECT ,This field indicates whether an implemented register specifies a single flat table or a two-level table where the first level contains a list of descriptors" "Single Level,Two Level" textline " " bitfld.quad 0x00 59.--61. " INNERCACHE ,Indicates the Inner Cacheability attributes of accesses to the table" "Device-nGnRnE,Normal inner non-cacheable,Normal inner RA WT cacheable,Normal inner RA WB cacheable,Normal inner WA WT cacheable,Normal inner WA WB cacheable,Normal inner RA WA WT cacheable,Normal inner RA WA WB cacheable" rbitfld.quad 0x00 56.--58. " TYPE ,Specifies the type of entity that requires entries in the corresponding translation table" "Unimplemented,Devices,Reserved,Reserved,Interrupt collections,?..." textline " " bitfld.quad 0x00 53.--55. " OUTERCACHE ,Indicates the Outer Cacheability attributes of accesses to the table" "Defined in INNERCACHE,Normal outer non-cacheable,Normal outer RA WT cacheable,Normal outer RA WB cacheable,Normal outer WA WT cacheable,Normal outer WA WB cacheable,Normal outer RA WA WT cacheable,Normal outer RA WA WB cacheable" rbitfld.quad 0x00 48.--52. " ENTRY_SIZE ,Specifies the number of bytes per translation table entry minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" textline " " hexmask.quad 0x00 12.--47. 1. " PHYSICAL_ADDRESS ,Physical Address" bitfld.quad 0x00 10.--11. " SHAREABILITY ,Indicates the Shareability attributes of accesses to the table" "Non-shareable,Inner Shareable,Outer Shareable,?..." textline " " bitfld.quad 0x00 8.--9. " PAGE_SIZE ,The size of page that the translation table uses" "4KB,16KB,64KB,?..." else group.quad 0x100++0x07 line.quad 0x00 "GITS_BASER0,ITS table control register" bitfld.quad 0x00 63. " VALID ,Indicates whether software has allocated memory for the translation table" "Not allocated,Allocated" bitfld.quad 0x00 62. " INDIRECT ,This field indicates whether an implemented register specifies a single flat table or a two-level table where the first level contains a list of descriptors" "Single Level,Two Level" textline " " bitfld.quad 0x00 59.--61. " INNERCACHE ,Indicates the Inner Cacheability attributes of accesses to the table" "Device-nGnRnE,Normal inner non-cacheable,Normal inner RA WT cacheable,Normal inner RA WB cacheable,Normal inner WA WT cacheable,Normal inner WA WB cacheable,Normal inner RA WA WT cacheable,Normal inner RA WA WB cacheable" rbitfld.quad 0x00 56.--58. " TYPE ,Specifies the type of entity that requires entries in the corresponding translation table" "Unimplemented,Devices,Reserved,Reserved,Interrupt collections,?..." textline " " bitfld.quad 0x00 53.--55. " OUTERCACHE ,Indicates the Outer Cacheability attributes of accesses to the table" "Defined in INNERCACHE,Normal outer non-cacheable,Normal outer RA WT cacheable,Normal outer RA WB cacheable,Normal outer WA WT cacheable,Normal outer WA WB cacheable,Normal outer RA WA WT cacheable,Normal outer RA WA WB cacheable" rbitfld.quad 0x00 48.--52. " ENTRY_SIZE ,Specifies the number of bytes per translation table entry minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" textline " " hexmask.quad 0x00 12.--47. 0x10 " PHYSICAL_ADDRESS ,Physical Address" bitfld.quad 0x00 10.--11. " SHAREABILITY ,Indicates the Shareability attributes of accesses to the table" "Non-shareable,Inner Shareable,Outer Shareable,?..." textline " " bitfld.quad 0x00 8.--9. " PAGE_SIZE ,The size of page that the translation table uses" "4KB,16KB,64KB,?..." hexmask.quad.byte 0x00 0.--7. 1. " SIZE ,The number of pages of physical memory allocated to the table minus one" endif textline " " wgroup.long 0xC000++0x03 line.long 0x00 "GITS_TRKCTLR,Tracking Control Register" bitfld.long 0x00 1. " LPI_TRACK ,Write 0b1 to capture information about the next interrupt that the ITS generated or failed to generate because of misprogramming" "No effect,Capture" bitfld.long 0x00 0. " CACHE_COUNT_RESET ,Write 0b1 to reset the cache hit and miss counters in GITS_TRKICR and GITS_TRKLCR" "No effect,Reset" if (((per.l((COMP.BASE("GICD",-1.)+0x20000)+0xC004))&0x1F)==0x01) rgroup.long 0xC004++0x03 line.long 0x00 "GITS_TRKR,Tracking Status Register" bitfld.long 0x00 6. " PID_OUT_OF_RANGE ,Indicates that the LPI PID is larger than that allowed by the IDbits field in the GICR_PROPBASER" "0,1" bitfld.long 0x00 5. " TARGET_OUT_OF_RANGE ,Indicates that target collection has not been successfully mapped using MAPC or that the target core does not have LPIs enabled in GICR_CTLR" "0,1" textline " " bitfld.long 0x00 4. " NO_TRANSLATION ,Indicates that no valid MAPI or MAPVI has successfully been performed for this combination of input ID and Device ID" "0,1" bitfld.long 0x00 3. " INPUT_ID_OUT_OF_RANGE ,Indicates that the input ID is larger than that allowed for that Device ID which is set during the MAPD command or it is larger than 65535" "0,1" textline " " bitfld.long 0x00 2. " DEVICE_ID_UNMAPPED ,Indicates that no valid MAPD has successfully been performed for this Device ID" "0,1" bitfld.long 0x00 1. " DEVICE_ID_OUT_OF_RANGE ,Indicates that the Device ID is larger than that allowed by the Size and Page Size in GITS_BASER0 or larger than the number of Device IDs configured" "0,1" textline " " bitfld.long 0x00 0. " LPI_TRACKED ,Indicates that the LPI tracking initiated by the LPI track bit in the GITS_TRKCTLR register is completed and the contents of the Debug Tracked registers are valid" "Not valid,Valid" elif (((per.l((COMP.BASE("GICD",-1.)+0x20000)+0xC004))&0xF)==0x01) rgroup.long 0xC004++0x03 line.long 0x00 "GITS_TRKR,Tracking Status Register" bitfld.long 0x00 4. " NO_TRANSLATION ,Indicates that no valid MAPI or MAPVI has successfully been performed for this combination of input ID and Device ID" "0,1" bitfld.long 0x00 3. " INPUT_ID_OUT_OF_RANGE ,Indicates that the input ID is larger than that allowed for that Device ID which is set during the MAPD command or it is larger than 65535" "0,1" textline " " bitfld.long 0x00 2. " DEVICE_ID_UNMAPPED ,Indicates that no valid MAPD has successfully been performed for this Device ID" "0,1" bitfld.long 0x00 1. " DEVICE_ID_OUT_OF_RANGE ,Indicates that the Device ID is larger than that allowed by the Size and Page Size in GITS_BASER0 or larger than the number of Device IDs configured" "0,1" textline " " bitfld.long 0x00 0. " LPI_TRACKED ,Indicates that the LPI tracking initiated by the LPI track bit in the GITS_TRKCTLR register is completed and the contents of the Debug Tracked registers are valid" "Not valid,Valid" elif (((per.l((COMP.BASE("GICD",-1.)+0x20000)+0xC004))&0x7)==0x01) rgroup.long 0xC004++0x03 line.long 0x00 "GITS_TRKR,Tracking Status Register" bitfld.long 0x00 3. " INPUT_ID_OUT_OF_RANGE ,Indicates that the input ID is larger than that allowed for that Device ID which is set during the MAPD command or it is larger than 65535" "0,1" bitfld.long 0x00 2. " DEVICE_ID_UNMAPPED ,Indicates that no valid MAPD has successfully been performed for this Device ID" "0,1" textline " " bitfld.long 0x00 1. " DEVICE_ID_OUT_OF_RANGE ,Indicates that the Device ID is larger than that allowed by the Size and Page Size in GITS_BASER0 or larger than the number of Device IDs configured" "0,1" bitfld.long 0x00 0. " LPI_TRACKED ,Indicates that the LPI tracking initiated by the LPI track bit in the GITS_TRKCTLR register is completed and the contents of the Debug Tracked registers are valid" "Not valid,Valid" elif (((per.l((COMP.BASE("GICD",-1.)+0x20000)+0xC004))&0x3)==0x01) rgroup.long 0xC004++0x03 line.long 0x00 "GITS_TRKR,Tracking Status Register" bitfld.long 0x00 2. " DEVICE_ID_UNMAPPED ,Indicates that no valid MAPD has successfully been performed for this Device ID" "0,1" bitfld.long 0x00 1. " DEVICE_ID_OUT_OF_RANGE ,Indicates that the Device ID is larger than that allowed by the Size and Page Size in GITS_BASER0 or larger than the number of Device IDs configured" "0,1" textline " " bitfld.long 0x00 0. " LPI_TRACKED ,Indicates that the LPI tracking initiated by the LPI track bit in the GITS_TRKCTLR register is completed and the contents of the Debug Tracked registers are valid" "Not valid,Valid" else rgroup.long 0xC004++0x03 line.long 0x00 "GITS_TRKR,Tracking Status Register" bitfld.long 0x00 1. " DEVICE_ID_OUT_OF_RANGE ,Indicates that the Device ID is larger than that allowed by the Size and Page Size in GITS_BASER0 or larger than the number of Device IDs configured" "0,1" bitfld.long 0x00 0. " LPI_TRACKED ,Indicates that the LPI tracking initiated by the LPI track bit in the GITS_TRKCTLR register is completed and the contents of the Debug Tracked registers are valid" "Not valid,Valid" endif if (((per.l((COMP.BASE("GICD",-1.)+0x20000)+0xC004))&0x01)==0x01) rgroup.long 0xC008++0x03 line.long 0x00 "GITS_TRKDIDR,Debug Tracked DID Register" hexmask.long.tbyte 0x00 0.--19. 1. " LPI_DID ,The Device ID for the interrupt that was tracked" else hgroup.long 0xC008++0x03 hide.long 0x00 "GITS_TRKDIDR,Debug Tracked DID Register" endif if (((per.l((COMP.BASE("GICD",-1.)+0x20000)+0xC004))&0x7F)==0x01) rgroup.long 0xC00C++0x03 line.long 0x00 "GITS_TRKPIDR,Debug Tracked PID Register" hexmask.long.word 0x00 0.--15. 1. " LPI_PID ,The ID after translation for an interrupt that was tracked and generated an LPI successfully" else hgroup.long 0xC00C++0x03 hide.long 0x00 "GITS_TRKPIDR,Debug Tracked PID Register" endif if (((per.l((COMP.BASE("GICD",-1.)+0x20000)+0xC004))&0x01)==0x01) rgroup.long 0xC010++0x03 line.long 0x00 "GITS_TRKVIDR,Debug Tracked ID Register" hexmask.long.word 0x00 0.--15. 1. " LPI_ID ,The ID before translation of the interrupt that was tracked" else hgroup.long 0xC010++0x03 hide.long 0x00 "GITS_TRKVIDR,Debug Tracked ID Register" endif if (((per.l((COMP.BASE("GICD",-1.)+0x20000)+0xC004))&0x7F)==0x01) rgroup.long 0xC014++0x03 line.long 0x00 "GITS_TRKTGTR,Debug Tracked Target Register" hexmask.long.byte 0x00 0.--6. 1. " LPI_TARGET_CORE ,The target core for an interrupt that was tracked and generated an LPI successfully" else hgroup.long 0xC014++0x03 hide.long 0x00 "GITS_TRKTGTR,Debug Tracked Target Register" endif rgroup.long 0xC018++0x03 line.long 0x00 "GITS_TRKICR,Debug ITE Cache Statistics" hexmask.long.word 0x00 16.--31. 1. " ITE_CACHE_HITS ,Number of hits in the ITE cache" hexmask.long.word 0x00 0.--15. 1. " ITE_CACHE_MISSES ,Number of misses in the ITE cache" rgroup.long 0xC01C++0x03 line.long 0x00 "GITS_TRKLCR,Debug LPI Cache Statistics" hexmask.long.word 0x00 16.--31. 1. " LPI_CACHE_HITS ,Number of hits in the LPI cache" hexmask.long.word 0x00 0.--15. 1. " LPI_CACHE_MISSES ,Number of misses in the LPI cache" rgroup.long 0xFFE0++0x03 line.long 0x00 "GITS_PIDR0,Peripheral ID0 Register" hexmask.long.byte 0x00 0.--7. 1. " PART_0 ,Part number[7:0]" rgroup.long 0xFFE4++0x03 line.long 0x00 "GITS_PIDR1,Peripheral ID1 Register" bitfld.long 0x00 4.--7. " DES_1 ,JEP106 identity code [3:0]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " PART_1 , Part number[11:8]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFE8++0x03 line.long 0x00 "GITS_PIDR2,Peripheral ID2 Register" bitfld.long 0x00 4.--7. " ARCHREV ,Identifies the version of the GIC architecture with which the GIC-500 complies" "Reserved,Reserved,Reserved,v3.0,?..." bitfld.long 0x00 3. " JEDEC ,Indicates that a JEDEC-assigned JEP106 identity code is used" "Low,High" textline " " bitfld.long 0x00 0.--2. " DES_1 ,JEP106 identity code [6:4]" "0,1,2,3,4,5,6,7" rgroup.long 0xFFEC++0x03 line.long 0x00 "GITS_PIDR3,Peripheral ID3 Register" bitfld.long 0x00 4.--7. " REVAND ,Manufacturer defined revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " CMOD ,Indicates if the customer has modified the behavior of the component" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFD0++0x03 line.long 0x00 "GITS_PIDR4,Peripheral ID4 Register" bitfld.long 0x00 4.--7. " SIZE ,64 KB software visible page" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " DES_2 ,ARM implementation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hgroup.long 0xFFD4++0x03 hide.long 0x00 "GITS_PIDR5,Peripheral ID5 Register" hgroup.long 0xFFD8++0x03 hide.long 0x00 "GITS_PIDR6,Peripheral ID6 Register" hgroup.long 0xFFDC++0x03 hide.long 0x00 "GITS_PIDR7,Peripheral ID7 Register" rgroup.long 0xFFF0++0x03 line.long 0x00 "GITS_CIDR0,Component ID0 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFF4++0x03 line.long 0x00 "GITS_CIDR1,Component ID1 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFF8++0x03 line.long 0x00 "GITS_CIDR2,Component ID2 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFFC++0x03 line.long 0x00 "GITS_CIDR3,Component ID3 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" textline " " base (COMP.BASE("GICD",-1.)+0x20000)+0x10000 if (((per.l((COMP.BASE("GICD",-1.)+0x20000)))&0x01)==0x01) wgroup.long 0x40++0x03 line.long 0x00 "GITS_TRANSLATER,ITS Translation Register" else hgroup.long 0x40++0x03 hide.long 0x00 "GITS_TRANSLATER,ITS Translation Register" endif tree.end width 0x0B base COMP.BASE("GICR",-1.) width 17. tree "Redistributor Interface" tree "Control Registers" if (((per.q(COMP.BASE("GICR",-1.)+0x08))&0x21)==0x21) group.long 0x0000++0x03 line.long 0x00 "GICR_CTLR,Redistributor Control Register" rbitfld.long 0x00 31. " UWP ,Upstream Write Pending. Indicates whether all upstream writes have been communicated to the Distributor" "Not pending,Pending" bitfld.long 0x00 26. " DPG1S ,Disable Processor selection for Group 1 Secure interrupts" "No,Yes" bitfld.long 0x00 25. " DPG1NS ,Disable Processor selection for Group 1 Non-secure interrupts" "No,Yes" textline " " bitfld.long 0x00 24. " DPG0 ,Disable Processor selection for Group 0 interrupts" "No,Yes" bitfld.long 0x00 3. " RWP ,Register Write Pending. Indicates whether a register write for the current Security state is in progress or not" "Not pending,Pending" bitfld.long 0x00 0. " ENABLE_LPIS ,Enables LPIs in implementations where affinity routing is enabled for Security state" "Disabled,Enabled" elif (((per.q(COMP.BASE("GICR",-1.)+0x08))&0x21)==0x20) group.long 0x0000++0x03 line.long 0x00 "GICR_CTLR,Redistributor Control Register" rbitfld.long 0x00 31. " UWP ,Upstream Write Pending. Indicates whether all upstream writes have been communicated to the Distributor" "Not pending,Pending" bitfld.long 0x00 26. " DPG1S ,Disable Processor selection for Group 1 Secure interrupts" "No,Yes" bitfld.long 0x00 25. " DPG1NS ,Disable Processor selection for Group 1 Non-secure interrupts" "No,Yes" textline " " bitfld.long 0x00 24. " DPG0 ,Disable Processor selection for Group 0 interrupts" "No,Yes" bitfld.long 0x00 3. " RWP ,Register Write Pending. Indicates whether a register write for the current Security state is in progress or not" "Not pending,Pending" elif (((per.q(COMP.BASE("GICR",-1.)+0x08))&0x21)==0x01) group.long 0x0000++0x03 line.long 0x00 "GICR_CTLR,Redistributor Control Register" rbitfld.long 0x00 31. " UWP ,Upstream Write Pending. Indicates whether all upstream writes have been communicated to the Distributor" "Not pending,Pending" bitfld.long 0x00 3. " RWP ,Register Write Pending. Indicates whether a register write for the current Security state is in progress or not" "Not pending,Pending" bitfld.long 0x00 0. " ENABLE_LPIS ,Enables LPIs in implementations where affinity routing is enabled for Security state" "Disabled,Enabled" else group.long 0x0000++0x03 line.long 0x00 "GICR_CTLR,Redistributor Control Register" rbitfld.long 0x00 31. " UWP ,Upstream Write Pending. Indicates whether all upstream writes have been communicated to the Distributor" "Not pending,Pending" bitfld.long 0x00 3. " RWP ,Register Write Pending. Indicates whether a register write for the current Security state is in progress or not" "Not pending,Pending" endif rgroup.long 0x0004++0x03 line.long 0x00 "GICR_IIDR,Distributor Implementer Identification Register" bitfld.long 0x00 24.--31. " PRODID ,Indicates the product ID" "GIC-500,?..." bitfld.long 0x00 16.--19. " VAR ,Major revison number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 12.--15. " REV ,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" rgroup.quad 0x0008++0x07 line.quad 0x00 "GICR_TYPER,Interrupt Controller Type Register" hexmask.quad.byte 0x00 56.--63. 1. " AFF3 ,Affinity level 3 value for the Redistributor" hexmask.quad.byte 0x00 48.--55. 1. " AFF2 ,Affinity level 2 value for the Redistributor" hexmask.quad.byte 0x00 40.--47. 1. " AFF1 ,Affinity level 1 value for the Redistributor" textline " " hexmask.quad.byte 0x00 32.--39. 1. " AFF0 ,Affinity level 0 value for the Redistributor" bitfld.quad 0x00 24.--25. " COMMONLPIAFF ,The affinity level at which Redistributors share a LPI Configuration table" "All levels,AFF3,AFF3/AFF2,AFF3/AFF2/AFF1" hexmask.quad.word 0x00 8.--23. 1. " PROCESSOR_NUMBER ,A unique identifier for the PE" textline " " bitfld.quad 0x00 5. " DPGS ,Sets support for GICR_CTLR.DPG* bits" "Not supported,Supported" bitfld.quad 0x00 4. " LAST ,Indicates whether this Redistributor is the highest-numbered Redistributor in a series of contiguous Redistributor pages" "Not highest,Highest" bitfld.quad 0x00 3. " DIRECTLPI ,Indicates whether this Redistributor supports direct injection of LPIs" "Not supported,Supported" textline " " bitfld.quad 0x00 0. " PLPIS ,Indicates whether the GIC implementation supports physical LPIs" "Not supported,Supported" if ((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x00)||((((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICR",-1.)+0x0014)))) group.long 0x0014++0x03 line.long 0x00 "GICR_WAKER,Power Management Control Register" bitfld.long 0x00 31. " QUIESCENT ,This bit shows that the GIC-500 is idle and can be powered down if required" "Not quiescent,Quiescent" bitfld.long 0x00 2. " CHILDRENASLEEP ,Indicates the bus between the CPU interface and this Redistributor is quiescent" "Not quiescent,Quiescent" bitfld.long 0x00 1. " PROCESSORASLEEP ,Indicates if this Redistributor must assert a WakeRequest if there is a pending interrupt targeted at the connected core" "No,Yes" textline " " bitfld.long 0x00 0. " SLEEP ,Indicates if GIC-500 ensures that all the caches are consistent with external memory and that it is safe to power off" "No,Yes" textline " " else hgroup.long 0x0014++0x03 hide.long 0x00 "GICR_WAKER,Power Management Control Register" endif group.quad 0x070++0x07 line.quad 0x00 "GICR_PROPBASER,Common LPI configuration table base register" bitfld.quad 0x00 56.--58. " OUTERCACHE ,Indicates the Outer Cacheability attributes of accesses to the LPI Configuration table" "Defined in INNERCACHE,Normal outer non-cacheable,Normal outer RA WT cacheable,Normal outer RA WB cacheable,Normal outer WA WT cacheable,Normal outer WA WB cacheable,Normal outer RA WA WT cacheable,Normal outer RA WA WB cacheable" hexmask.quad 0x00 12.--51. 0x10 " PHYSICAL_ADDRESS ,Bits [51:12] of the physical address containing the LPI Configuration table" textline " " bitfld.quad 0x00 10.--11. " SHAREABILITY ,Indicates the Shareability attributes of accesses to the LPI Configuration table" "Non-shareable,Inner Shareable,Outer Shareable,?..." bitfld.quad 0x00 7.--9. " INNERCACHE ,Indicates the Inner Cacheability attributes of accesses to the LPI Configuration table" "Device-nGnRnE,Normal inner non-cacheable,Normal inner RA WT cacheable,Normal inner RA WB cacheable,Normal inner WA WT cacheable,Normal inner WA WB cacheable,Normal inner RA WA WT cacheable,Normal inner RA WA WB cacheable" textline " " bitfld.quad 0x00 0.--4. " IDBITS ,The number of bits of LPI INTID supported minus one by the LPI Configuration table starting at Physical_Address" group.quad 0x78++0x07 line.quad 0x00 "GICR_PENDBASER,LPI pending table base register" bitfld.quad 0x00 62. " PTZ ,Pending Table Zero" "Not zero,Zero" bitfld.quad 0x00 56.--58. " OUTERCACHE ,Indicates the Outer Cacheability attributes of accesses to the LPI Pending table" "Defined in INNERCACHE,Normal outer non-cacheable,Normal outer RA WT cacheable,Normal outer RA WB cacheable,Normal outer WA WT cacheable,Normal outer WA WB cacheable,Normal outer RA WA WT cacheable,Normal outer RA WA WB cacheable" textline " " hexmask.quad 0x00 16.--51. 0x10 " PHYSICAL_ADDRESS ,Bits [51:16] of the physical address containing the LPI Pending table" bitfld.quad 0x00 10.--11. " SHAREABILITY ,Indicates the Shareability attributes of accesses to the LPI Pending table" "Non-shareable,Inner Shareable,Outer Shareable,?..." textline " " bitfld.quad 0x00 7.--9. " INNERCACHE ,Indicates the Inner Cacheability attributes of accesses to the LPI Pending table" "Device-nGnRnE,Normal inner non-cacheable,Normal inner RA WT cacheable,Normal inner RA WB cacheable,Normal inner WA WT cacheable,Normal inner WA WB cacheable,Normal inner RA WA WT cacheable,Normal inner RA WA WB cacheable" textline " " tree.end tree "SGI and PPI Registers" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICR",-1.)+0x10080)) group.long 0x10080++0x03 line.long 0x0 "GICR_IGROUPR0,Interrupt Group Register 0" bitfld.long 0x00 31. " GSB31 ,Group Status Bit 31" "Secure,Non-secure Group 1" bitfld.long 0x00 30. " GSB30 ,Group Status Bit 30" "Secure,Non-secure Group 1" bitfld.long 0x00 29. " GSB29 ,Group Status Bit 29" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 28. " GSB28 ,Group Status Bit 28" "Secure,Non-secure Group 1" bitfld.long 0x00 27. " GSB27 ,Group Status Bit 27" "Secure,Non-secure Group 1" bitfld.long 0x00 26. " GSB26 ,Group Status Bit 26" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 25. " GSB25 ,Group Status Bit 25" "Secure,Non-secure Group 1" bitfld.long 0x00 24. " GSB24 ,Group Status Bit 24" "Secure,Non-secure Group 1" bitfld.long 0x00 23. " GSB23 ,Group Status Bit 23" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 22. " GSB22 ,Group Status Bit 22" "Secure,Non-secure Group 1" bitfld.long 0x00 21. " GSB21 ,Group Status Bit 21" "Secure,Non-secure Group 1" bitfld.long 0x00 20. " GSB20 ,Group Status Bit 20" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 19. " GSB19 ,Group Status Bit 19" "Secure,Non-secure Group 1" bitfld.long 0x00 18. " GSB18 ,Group Status Bit 18" "Secure,Non-secure Group 1" bitfld.long 0x00 17. " GSB17 ,Group Status Bit 17" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 16. " GSB16 ,Group Status Bit 16" "Secure,Non-secure Group 1" bitfld.long 0x00 15. " GSB15 ,Group Status Bit 15" "Secure,Non-secure Group 1" bitfld.long 0x00 14. " GSB14 ,Group Status Bit 14" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 13. " GSB13 ,Group Status Bit 13" "Secure,Non-secure Group 1" bitfld.long 0x00 12. " GSB12 ,Group Status Bit 12" "Secure,Non-secure Group 1" bitfld.long 0x00 11. " GSB11 ,Group Status Bit 11" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 10. " GSB10 ,Group Status Bit 10" "Secure,Non-secure Group 1" bitfld.long 0x00 9. " GSB9 ,Group Status Bit 9" "Secure,Non-secure Group 1" bitfld.long 0x00 8. " GSB8 ,Group Status Bit 8" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 7. " GSB7 ,Group Status Bit 7" "Secure,Non-secure Group 1" bitfld.long 0x00 6. " GSB6 ,Group Status Bit 6" "Secure,Non-secure Group 1" bitfld.long 0x00 5. " GSB5 ,Group Status Bit 5" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 4. " GSB4 ,Group Status Bit 4" "Secure,Non-secure Group 1" bitfld.long 0x00 3. " GSB3 ,Group Status Bit 3" "Secure,Non-secure Group 1" bitfld.long 0x00 2. " GSB2 ,Group Status Bit 2" "Secure,Non-secure Group 1" textline " " bitfld.long 0x00 1. " GSB1 ,Group Status Bit 1" "Secure,Non-secure Group 1" bitfld.long 0x00 0. " GSB0 ,Group Status Bit 0" "Secure,Non-secure Group 1" elif (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x000) group.long 0x10080++0x03 line.long 0x0 "GICR_IGROUPR0,Interrupt Group Register 0" bitfld.long 0x00 31. " GSB31 ,Group Status Bit 31" "Group 0,Group 1" bitfld.long 0x00 30. " GSB30 ,Group Status Bit 30" "Group 0,Group 1" bitfld.long 0x00 29. " GSB29 ,Group Status Bit 29" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB28 ,Group Status Bit 28" "Group 0,Group 1" bitfld.long 0x00 27. " GSB27 ,Group Status Bit 27" "Group 0,Group 1" bitfld.long 0x00 26. " GSB26 ,Group Status Bit 26" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB25 ,Group Status Bit 25" "Group 0,Group 1" bitfld.long 0x00 24. " GSB24 ,Group Status Bit 24" "Group 0,Group 1" bitfld.long 0x00 23. " GSB23 ,Group Status Bit 23" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB22 ,Group Status Bit 22" "Group 0,Group 1" bitfld.long 0x00 21. " GSB21 ,Group Status Bit 21" "Group 0,Group 1" bitfld.long 0x00 20. " GSB20 ,Group Status Bit 20" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB19 ,Group Status Bit 19" "Group 0,Group 1" bitfld.long 0x00 18. " GSB18 ,Group Status Bit 18" "Group 0,Group 1" bitfld.long 0x00 17. " GSB17 ,Group Status Bit 17" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB16 ,Group Status Bit 16" "Group 0,Group 1" bitfld.long 0x00 15. " GSB15 ,Group Status Bit 15" "Group 0,Group 1" bitfld.long 0x00 14. " GSB14 ,Group Status Bit 14" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB13 ,Group Status Bit 13" "Group 0,Group 1" bitfld.long 0x00 12. " GSB12 ,Group Status Bit 12" "Group 0,Group 1" bitfld.long 0x00 11. " GSB11 ,Group Status Bit 11" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB10 ,Group Status Bit 10" "Group 0,Group 1" bitfld.long 0x00 9. " GSB9 ,Group Status Bit 9" "Group 0,Group 1" bitfld.long 0x00 8. " GSB8 ,Group Status Bit 8" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB7 ,Group Status Bit 7" "Group 0,Group 1" bitfld.long 0x00 6. " GSB6 ,Group Status Bit 6" "Group 0,Group 1" bitfld.long 0x00 5. " GSB5 ,Group Status Bit 5" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB4 ,Group Status Bit 4" "Group 0,Group 1" bitfld.long 0x00 3. " GSB3 ,Group Status Bit 3" "Group 0,Group 1" bitfld.long 0x00 2. " GSB2 ,Group Status Bit 2" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB1 ,Group Status Bit 1" "Group 0,Group 1" bitfld.long 0x00 0. " GSB0 ,Group Status Bit 0" "Group 0,Group 1" else hgroup.long 0x10080++0x03 hide.long 0x00 "GICR_IGROUPR0,Interrupt Group Register 0" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif textline " " width 24. group.long 0x10100++0x03 line.long 0x0 "GICR_SET/CLR_ENABLER0,Interrupt Set/Clear Enable Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRENB31 ,Set/Clear Enable Bit 31" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRENB30 ,Set/Clear Enable Bit 30" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRENB29 ,Set/Clear Enable Bit 29" "Disabled,Enabled" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRENB28 ,Set/Clear Enable Bit 28" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRENB27 ,Set/Clear Enable Bit 27" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRENB26 ,Set/Clear Enable Bit 26" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRENB25 ,Set/Clear Enable Bit 25" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRENB24 ,Set/Clear Enable Bit 24" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRENB23 ,Set/Clear Enable Bit 23" "Disabled,Enabled" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRENB22 ,Set/Clear Enable Bit 22" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRENB21 ,Set/Clear Enable Bit 21" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRENB20 ,Set/Clear Enable Bit 20" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRENB19 ,Set/Clear Enable Bit 19" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRENB18 ,Set/Clear Enable Bit 18" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRENB17 ,Set/Clear Enable Bit 17" "Disabled,Enabled" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRENB16 ,Set/Clear Enable Bit 16" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRENB15 ,Set/Clear Enable Bit 15" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRENB14 ,Set/Clear Enable Bit 14" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRENB13 ,Set/Clear Enable Bit 13" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRENB12 ,Set/Clear Enable Bit 12" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRENB11 ,Set/Clear Enable Bit 11" "Disabled,Enabled" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRENB10 ,Set/Clear Enable Bit 10" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRENB9 ,Set/Clear Enable Bit 9" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRENB8 ,Set/Clear Enable Bit 8" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRENB7 ,Set/Clear Enable Bit 7" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRENB6 ,Set/Clear Enable Bit 6" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRENB5 ,Set/Clear Enable Bit 5" "Disabled,Enabled" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRENB4 ,Set/Clear Enable Bit 4" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRENB3 ,Set/Clear Enable Bit 3" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRENB2 ,Set/Clear Enable Bit 2" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRENB1 ,Set/Clear Enable Bit 1" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRENB0 ,Set/Clear Enable Bit 0" "Disabled,Enabled" group.long 0x10200++0x03 line.long 0x0 "GICR_SET/CLR_PENDR0,Interrupt Set/Clear Pending Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRPEND31 ,Set/Clear Pending Bit 31" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRPEND30 ,Set/Clear Pending Bit 30" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRPEND29 ,Set/Clear Pending Bit 29" "Not pending,Pending" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRPEND28 ,Set/Clear Pending Bit 28" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRPEND27 ,Set/Clear Pending Bit 27" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRPEND26 ,Set/Clear Pending Bit 26" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRPEND25 ,Set/Clear Pending Bit 25" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRPEND24 ,Set/Clear Pending Bit 24" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRPEND23 ,Set/Clear Pending Bit 23" "Not pending,Pending" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRPEND22 ,Set/Clear Pending Bit 22" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRPEND21 ,Set/Clear Pending Bit 21" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRPEND20 ,Set/Clear Pending Bit 20" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRPEND19 ,Set/Clear Pending Bit 19" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRPEND18 ,Set/Clear Pending Bit 18" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRPEND17 ,Set/Clear Pending Bit 17" "Not pending,Pending" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRPEND16 ,Set/Clear Pending Bit 16" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRPEND15 ,Set/Clear Pending Bit 15" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRPEND14 ,Set/Clear Pending Bit 14" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRPEND13 ,Set/Clear Pending Bit 13" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRPEND12 ,Set/Clear Pending Bit 12" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRPEND11 ,Set/Clear Pending Bit 11" "Not pending,Pending" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRPEND10 ,Set/Clear Pending Bit 10" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRPEND9 ,Set/Clear Pending Bit 9" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRPEND8 ,Set/Clear Pending Bit 8" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRPEND7 ,Set/Clear Pending Bit 7" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRPEND6 ,Set/Clear Pending Bit 6" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRPEND5 ,Set/Clear Pending Bit 5" "Not pending,Pending" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRPEND4 ,Set/Clear Pending Bit 4" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRPEND3 ,Set/Clear Pending Bit 3" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRPEND2 ,Set/Clear Pending Bit 2" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRPEND1 ,Set/Clear Pending Bit 1" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRPEND0 ,Set/Clear Pending Bit 0" "Not pending,Pending" group.long 0x10300++0x03 line.long 0x0 "GICR_SET/CLR_ACTIVER0,Interrupt Set/Clear Active Register 0" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " SET/CLRACTIVE31 ,Set/Clear Active Bit 31" "Not active,Active" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " SET/CLRACTIVE30 ,Set/Clear Active Bit 30" "Not active,Active" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " SET/CLRACTIVE29 ,Set/Clear Active Bit 29" "Not active,Active" textline " " setclrfld.long 0x00 28. 0x00 28. 0x80 28. " SET/CLRACTIVE28 ,Set/Clear Active Bit 28" "Not active,Active" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " SET/CLRACTIVE27 ,Set/Clear Active Bit 27" "Not active,Active" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " SET/CLRACTIVE26 ,Set/Clear Active Bit 26" "Not active,Active" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " SET/CLRACTIVE25 ,Set/Clear Active Bit 25" "Not active,Active" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " SET/CLRACTIVE24 ,Set/Clear Active Bit 24" "Not active,Active" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " SET/CLRACTIVE23 ,Set/Clear Active Bit 23" "Not active,Active" textline " " setclrfld.long 0x00 22. 0x00 22. 0x80 22. " SET/CLRACTIVE22 ,Set/Clear Active Bit 22" "Not active,Active" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " SET/CLRACTIVE21 ,Set/Clear Active Bit 21" "Not active,Active" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " SET/CLRACTIVE20 ,Set/Clear Active Bit 20" "Not active,Active" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " SET/CLRACTIVE19 ,Set/Clear Active Bit 19" "Not active,Active" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " SET/CLRACTIVE18 ,Set/Clear Active Bit 18" "Not active,Active" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " SET/CLRACTIVE17 ,Set/Clear Active Bit 17" "Not active,Active" textline " " setclrfld.long 0x00 16. 0x00 16. 0x80 16. " SET/CLRACTIVE16 ,Set/Clear Active Bit 16" "Not active,Active" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " SET/CLRACTIVE15 ,Set/Clear Active Bit 15" "Not active,Active" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " SET/CLRACTIVE14 ,Set/Clear Active Bit 14" "Not active,Active" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " SET/CLRACTIVE13 ,Set/Clear Active Bit 13" "Not active,Active" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " SET/CLRACTIVE12 ,Set/Clear Active Bit 12" "Not active,Active" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " SET/CLRACTIVE11 ,Set/Clear Active Bit 11" "Not active,Active" textline " " setclrfld.long 0x00 10. 0x00 10. 0x80 10. " SET/CLRACTIVE10 ,Set/Clear Active Bit 10" "Not active,Active" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " SET/CLRACTIVE9 ,Set/Clear Active Bit 9" "Not active,Active" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " SET/CLRACTIVE8 ,Set/Clear Active Bit 8" "Not active,Active" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " SET/CLRACTIVE7 ,Set/Clear Active Bit 7" "Not active,Active" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " SET/CLRACTIVE6 ,Set/Clear Active Bit 6" "Not active,Active" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " SET/CLRACTIVE5 ,Set/Clear Active Bit 5" "Not active,Active" textline " " setclrfld.long 0x00 4. 0x00 4. 0x80 4. " SET/CLRACTIVE4 ,Set/Clear Active Bit 4" "Not active,Active" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " SET/CLRACTIVE3 ,Set/Clear Active Bit 3" "Not active,Active" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " SET/CLRACTIVE2 ,Set/Clear Active Bit 2" "Not active,Active" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " SET/CLRACTIVE1 ,Set/Clear Active Bit 1" "Not active,Active" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " SET/CLRACTIVE0 ,Set/Clear Active Bit 0" "Not active,Active" textline " " width 18. group.long 0x10400++0x03 line.long 0x00 "GICR_IPRIORITYR0,Interrupt Priority Register 0" hexmask.long.byte 0x00 24.--31. 1. " INTID3 ,Interrupt ID3 Priority/Priority Byte Offset 3 " hexmask.long.byte 0x00 16.--23. 1. " INTID2 ,Interrupt ID2 Priority/Priority Byte Offset 2 " hexmask.long.byte 0x00 8.--15. 1. " INTID1 ,Interrupt ID1 Priority/Priority Byte Offset 1 " hexmask.long.byte 0x00 0.--7. 1. " INTID0 ,Interrupt ID0 Priority/Priority Byte Offset 0 " group.long 0x10404++0x03 line.long 0x00 "GICR_IPRIORITYR1,Interrupt Priority Register 1" hexmask.long.byte 0x00 24.--31. 1. " INTID7 ,Interrupt ID7 Priority/Priority Byte Offset 7 " hexmask.long.byte 0x00 16.--23. 1. " INTID6 ,Interrupt ID6 Priority/Priority Byte Offset 6 " hexmask.long.byte 0x00 8.--15. 1. " INTID5 ,Interrupt ID5 Priority/Priority Byte Offset 5 " hexmask.long.byte 0x00 0.--7. 1. " INTID4 ,Interrupt ID4 Priority/Priority Byte Offset 4 " group.long 0x10408++0x03 line.long 0x00 "GICR_IPRIORITYR2,Interrupt Priority Register 2" hexmask.long.byte 0x00 24.--31. 1. " INTID11 ,Interrupt ID11 Priority/Priority Byte Offset 11 " hexmask.long.byte 0x00 16.--23. 1. " INTID10 ,Interrupt ID10 Priority/Priority Byte Offset 10 " hexmask.long.byte 0x00 8.--15. 1. " INTID9 ,Interrupt ID9 Priority/Priority Byte Offset 9 " hexmask.long.byte 0x00 0.--7. 1. " INTID8 ,Interrupt ID8 Priority/Priority Byte Offset 8 " group.long 0x1040C++0x03 line.long 0x00 "GICR_IPRIORITYR3,Interrupt Priority Register 3" hexmask.long.byte 0x00 24.--31. 1. " INTID15 ,Interrupt ID15 Priority/Priority Byte Offset 15 " hexmask.long.byte 0x00 16.--23. 1. " INTID14 ,Interrupt ID14 Priority/Priority Byte Offset 14 " hexmask.long.byte 0x00 8.--15. 1. " INTID13 ,Interrupt ID13 Priority/Priority Byte Offset 13 " hexmask.long.byte 0x00 0.--7. 1. " INTID12 ,Interrupt ID12 Priority/Priority Byte Offset 12 " group.long 0x10410++0x03 line.long 0x00 "GICR_IPRIORITYR4,Interrupt Priority Register 4" hexmask.long.byte 0x00 24.--31. 1. " INTID19 ,Interrupt ID19 Priority/Priority Byte Offset 19 " hexmask.long.byte 0x00 16.--23. 1. " INTID18 ,Interrupt ID18 Priority/Priority Byte Offset 18 " hexmask.long.byte 0x00 8.--15. 1. " INTID17 ,Interrupt ID17 Priority/Priority Byte Offset 17 " hexmask.long.byte 0x00 0.--7. 1. " INTID16 ,Interrupt ID16 Priority/Priority Byte Offset 16 " group.long 0x10414++0x03 line.long 0x00 "GICR_IPRIORITYR5,Interrupt Priority Register 5" hexmask.long.byte 0x00 24.--31. 1. " INTID23 ,Interrupt ID23 Priority/Priority Byte Offset 23 " hexmask.long.byte 0x00 16.--23. 1. " INTID22 ,Interrupt ID22 Priority/Priority Byte Offset 22 " hexmask.long.byte 0x00 8.--15. 1. " INTID21 ,Interrupt ID21 Priority/Priority Byte Offset 21 " hexmask.long.byte 0x00 0.--7. 1. " INTID20 ,Interrupt ID20 Priority/Priority Byte Offset 20 " group.long 0x10418++0x03 line.long 0x00 "GICR_IPRIORITYR6,Interrupt Priority Register 6" hexmask.long.byte 0x00 24.--31. 1. " INTID27 ,Interrupt ID27 Priority/Priority Byte Offset 27 " hexmask.long.byte 0x00 16.--23. 1. " INTID26 ,Interrupt ID26 Priority/Priority Byte Offset 26 " hexmask.long.byte 0x00 8.--15. 1. " INTID25 ,Interrupt ID25 Priority/Priority Byte Offset 25 " hexmask.long.byte 0x00 0.--7. 1. " INTID24 ,Interrupt ID24 Priority/Priority Byte Offset 24 " group.long 0x1041C++0x03 line.long 0x00 "GICR_IPRIORITYR7,Interrupt Priority Register 7" hexmask.long.byte 0x00 24.--31. 1. " INTID31 ,Interrupt ID31 Priority/Priority Byte Offset 31 " hexmask.long.byte 0x00 16.--23. 1. " INTID30 ,Interrupt ID30 Priority/Priority Byte Offset 30 " hexmask.long.byte 0x00 8.--15. 1. " INTID29 ,Interrupt ID29 Priority/Priority Byte Offset 29 " hexmask.long.byte 0x00 0.--7. 1. " INTID28 ,Interrupt ID28 Priority/Priority Byte Offset 28 " textline " " rgroup.long 0x10C00++0x03 line.long 0x00 "GICR_ICFGR0,Interrupt Configuration Register" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (SGI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (SGI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (SGI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (SGI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (SGI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (SGI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (SGI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (SGI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (SGI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (SGI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (SGI)" "Level,Edge" group.long 0x10C04++0x03 line.long 0x00 "GICR_ICFGR1,Interrupt Configuration Register" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15 (PPI)" "Level,Edge" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14 (PPI)" "Level,Edge" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12 (PPI)" "Level,Edge" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11 (PPI)" "Level,Edge" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9 (PPI)" "Level,Edge" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8 (PPI)" "Level,Edge" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6 (PPI)" "Level,Edge" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5 (PPI)" "Level,Edge" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4 (PPI)" "Level,Edge" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3 (PPI)" "Level,Edge" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2 (PPI)" "Level,Edge" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1 (PPI)" "Level,Edge" textline " " width 18. if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICR",-1.)+0x10D00)) group.long 0x10D00++0x03 line.long 0x0 "GICR_IGRPMODR0,Interrupt Group Modifier Register 0" bitfld.long 0x00 31. " GMB31 ,Group Modifier Bit 31" "0,1" bitfld.long 0x00 30. " GMB30 ,Group Modifier Bit 30" "0,1" bitfld.long 0x00 29. " GMB29 ,Group Modifier Bit 29" "0,1" textline " " bitfld.long 0x00 28. " GMB28 ,Group Modifier Bit 28" "0,1" bitfld.long 0x00 27. " GMB27 ,Group Modifier Bit 27" "0,1" bitfld.long 0x00 26. " GMB26 ,Group Modifier Bit 26" "0,1" textline " " bitfld.long 0x00 25. " GMB25 ,Group Modifier Bit 25" "0,1" bitfld.long 0x00 24. " GMB24 ,Group Modifier Bit 24" "0,1" bitfld.long 0x00 23. " GMB23 ,Group Modifier Bit 23" "0,1" textline " " bitfld.long 0x00 22. " GMB22 ,Group Modifier Bit 22" "0,1" bitfld.long 0x00 21. " GMB21 ,Group Modifier Bit 21" "0,1" bitfld.long 0x00 20. " GMB20 ,Group Modifier Bit 20" "0,1" textline " " bitfld.long 0x00 19. " GMB19 ,Group Modifier Bit 19" "0,1" bitfld.long 0x00 18. " GMB18 ,Group Modifier Bit 18" "0,1" bitfld.long 0x00 17. " GMB17 ,Group Modifier Bit 17" "0,1" textline " " bitfld.long 0x00 16. " GMB16 ,Group Modifier Bit 16" "0,1" bitfld.long 0x00 15. " GMB15 ,Group Modifier Bit 15" "0,1" bitfld.long 0x00 14. " GMB14 ,Group Modifier Bit 14" "0,1" textline " " bitfld.long 0x00 13. " GMB13 ,Group Modifier Bit 13" "0,1" bitfld.long 0x00 12. " GMB12 ,Group Modifier Bit 12" "0,1" bitfld.long 0x00 11. " GMB11 ,Group Modifier Bit 11" "0,1" textline " " bitfld.long 0x00 10. " GMB10 ,Group Modifier Bit 10" "0,1" bitfld.long 0x00 9. " GMB9 ,Group Modifier Bit 9" "0,1" bitfld.long 0x00 8. " GMB8 ,Group Modifier Bit 8" "0,1" textline " " bitfld.long 0x00 7. " GMB7 ,Group Modifier Bit 7" "0,1" bitfld.long 0x00 6. " GMB6 ,Group Modifier Bit 6" "0,1" bitfld.long 0x00 5. " GMB5 ,Group Modifier Bit 5" "0,1" textline " " bitfld.long 0x00 4. " GMB4 ,Group Modifier Bit 4" "0,1" bitfld.long 0x00 3. " GMB3 ,Group Modifier Bit 3" "0,1" bitfld.long 0x00 2. " GMB2 ,Group Modifier Bit 2" "0,1" textline " " bitfld.long 0x00 1. " GMB1 ,Group Modifier Bit 1" "0,1" bitfld.long 0x00 0. " GMB0 ,Group Modifier Bit 0" "0,1" textline " " else hgroup.long 0x10D00++0x03 hide.long 0x0 "GICR_IGRPMODR0,Interrupt Group Modifier Register 0" textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " textline " " endif if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICR",-1.)+0x10E00)) group.long 0x10E00++0x03 line.long 0x00 "GICR_NSACR,Non-secure Access Control Register" bitfld.long 0x00 30.--31. " NS_ACCESS15 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID15" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 28.--29. " NS_ACCESS14 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID14" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 26.--27. " NS_ACCESS13 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID13" "No access,G0S,G0S/G1S,?..." textline " " bitfld.long 0x00 24.--25. " NS_ACCESS12 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID12" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 22.--23. " NS_ACCESS11 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID11" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 20.--21. " NS_ACCESS10 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID10" "No access,G0S,G0S/G1S,?..." textline " " bitfld.long 0x00 18.--19. " NS_ACCESS9 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID9" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 16.--17. " NS_ACCESS8 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID8" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 14.--15. " NS_ACCESS7 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID7" "No access,G0S,G0S/G1S,?..." textline " " bitfld.long 0x00 12.--13. " NS_ACCESS6 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID6" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 10.--11. " NS_ACCESS5 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID5" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 8.--9. " NS_ACCESS4 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID4" "No access,G0S,G0S/G1S,?..." textline " " bitfld.long 0x00 6.--7. " NS_ACCESS3 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID3" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 4.--5. " NS_ACCESS2 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID2" "No access,G0S,G0S/G1S,?..." bitfld.long 0x00 2.--3. " NS_ACCESS1 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID1" "No access,G0S,G0S/G1S,?..." textline " " bitfld.long 0x00 0.--1. " NS_ACCESS0 ,Configures the level of Non-secure access permitted when the SGI is in Secure Group 0 or Secure Group 1 for interrupt ID0" "No access,G0S,G0S/G1S,?..." textline " " else hgroup.long 0x10E00++0x03 hide.long 0x00 "GICR_NSACR,Non-secure Access Control Register" textline " " textline " " textline " " textline " " textline " " endif rgroup.long 0x1C000++0x03 line.long 0x00 "GICR_MISCSTATUSR,Miscellaneous Status Register" bitfld.long 0x00 31. " CPU_AS ,CPU active state. This bit returns the actual status of the cpu_active signal for the core corresponding to the Redistributor whose register is being read" "Low,High" bitfld.long 0x00 2. " ENABLEGRP1_S ,EnableGrp1 Secure" "0,1" bitfld.long 0x00 1. " ENABLEGRP1_NS ,EnableGrp1 Non-secure" "0,1" textline " " bitfld.long 0x00 0. " ENABLEGRP0 ,EnableGrp0" "0,1" rgroup.long 0x1C080++0x03 line.long 0x00 "GICR_PPISR,Private Peripheral Interrupt Status Register" bitfld.long 0x00 31. " PPI31S ,Actual status of the PPI31 input signal" "Low,High" bitfld.long 0x00 30. " PPI30S ,Actual status of the PPI30 input signal" "Low,High" bitfld.long 0x00 29. " PPI29S ,Actual status of the PPI29 input signal" "Low,High" textline " " bitfld.long 0x00 28. " PPI28S ,Actual status of the PPI28 input signal" "Low,High" bitfld.long 0x00 27. " PPI27S ,Actual status of the PPI27 input signal" "Low,High" bitfld.long 0x00 26. " PPI26S ,Actual status of the PPI26 input signal" "Low,High" textline " " bitfld.long 0x00 25. " PPI25S ,Actual status of the PPI25 input signal" "Low,High" bitfld.long 0x00 24. " PPI24S ,Actual status of the PPI24 input signal" "Low,High" bitfld.long 0x00 23. " PPI23S ,Actual status of the PPI23 input signal" "Low,High" textline " " bitfld.long 0x00 22. " PPI22S ,Actual status of the PPI22 input signal" "Low,High" bitfld.long 0x00 21. " PPI21S ,Actual status of the PPI21 input signal" "Low,High" bitfld.long 0x00 20. " PPI20S ,Actual status of the PPI20 input signal" "Low,High" textline " " bitfld.long 0x00 19. " PPI19S ,Actual status of the PPI19 input signal" "Low,High" bitfld.long 0x00 18. " PPI18S ,Actual status of the PPI18 input signal" "Low,High" bitfld.long 0x00 17. " PPI17S ,Actual status of the PPI17 input signal" "Low,High" textline " " bitfld.long 0x00 16. " PPI16S ,Actual status of the PPI16 input signal" "Low,High" tree.end width 12. tree "Peripheral/Component ID Registers" rgroup.long 0xFFE0++0x03 line.long 0x00 "GICR_PIDR0,Peripheral ID0 Register" hexmask.long.byte 0x00 0.--7. 1. " PART_0 ,Part number[7:0]" rgroup.long 0xFFE4++0x03 line.long 0x00 "GICR_PIDR1,Peripheral ID1 Register" bitfld.long 0x00 4.--7. " DES_1 ,JEP106 identity code [3:0]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " PART_1 , Part number[11:8]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFE8++0x03 line.long 0x00 "GICR_PIDR2,Peripheral ID2 Register" bitfld.long 0x00 4.--7. " ARCHREV ,Identifies the version of the GIC architecture with which the GIC-500 complies" "Reserved,Reserved,Reserved,v3.0,?..." bitfld.long 0x00 3. " JEDEC ,Indicates that a JEDEC-assigned JEP106 identity code is used" "Low,High" bitfld.long 0x00 0.--2. " DES_1 ,JEP106 identity code [6:4]" "0,1,2,3,4,5,6,7" rgroup.long 0xFFEC++0x03 line.long 0x00 "GICR_PIDR3,Peripheral ID3 Register" bitfld.long 0x00 4.--7. " REVAND ,Manufacturer defined revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " CMOD ,Indicates if the customer has modified the behavior of the component" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xFFD0++0x03 line.long 0x00 "GICR_PIDR4,Peripheral ID4 Register" bitfld.long 0x00 4.--7. " SIZE ,64 KB software visible page" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " DES_2 ,ARM implementation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hgroup.long 0xFFD4++0x03 hide.long 0x00 "GICR_PIDR5,Peripheral ID5 Register" hgroup.long 0xFFD8++0x03 hide.long 0x00 "GICR_PIDR6,Peripheral ID6 Register" hgroup.long 0xFFDC++0x03 hide.long 0x00 "GICR_PIDR7,Peripheral ID7 Register" rgroup.long 0xFFF0++0x03 line.long 0x00 "GICR_CIDR0,Component ID0 Register" hexmask.long 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFF4++0x03 line.long 0x00 "GICR_CIDR1,Component ID1 Register" hexmask.long 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFF8++0x03 line.long 0x00 "GICR_CIDR2,Component ID2 Register" hexmask.long 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0xFFFC++0x03 line.long 0x00 "GICR_CIDR3,Component ID3 Register" hexmask.long 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" tree.end tree.end width 0x0B sif COMP.AVAILABLE("GICC") base COMP.BASE("GICC",-1.) width 14. tree "CPU Interface" if (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400)&&(PER.ADDRESS.isSECUREEX(COMP.BASE("GICC",-1.))) group.long 0x00++0x03 line.long 0x00 "GICC_CTLR,CPU Interface Control Register" bitfld.long 0x00 10. " EOIMODENS ,Controls the behavior of Non-secure accesses to GICC_EOIR/GICC_AEOIR/GICC_DIR. PD - Priority Drop / ID - Interrupt Deactivation" "EOIR+AEOIR=PD+ID,EOIR+AEOIR=PD/DIR=ID" bitfld.long 0x00 9. " EOIMODES ,Controls the behavior of Secure accesses to GICC_EOIR/GICC_AEOIR/GICC_DIR. PD - Priority Drop / ID - Interrupt Deactivation" "EOIR+AEOIR=PD+ID,EOIR+AEOIR=PD/DIR=ID" bitfld.long 0x00 8. " IRQBYPDISGRP1 ,Controls whether the bypass IRQ signal is signaled to the PE for Group 1" "Signaled,Not signaled" textline " " bitfld.long 0x00 7. " FIQBYPDISGRP1 ,Controls whether the bypass FIQ signal is signaled to the PE for Group 1" "Signaled,Not signaled" bitfld.long 0x00 6. " IRQBYPDISGRP0 ,Controls whether the bypass IRQ signal is signaled to the PE for Group 0" "Signaled,Not signaled" bitfld.long 0x00 5. " FIQBYPDISGRP0 ,Controls whether the bypass FIQ signal is signaled to the PE for Group 0" "Signaled,Not signaled" textline " " bitfld.long 0x00 4. " CBPR ,Controls whether GICC_BPR provides common control of preemption to Group 0 and Group 1 interrupts" "Group 0,Both" bitfld.long 0x00 3. " FIQEN ,Controls whether the CPU interface signals Group 0 interrupts to a target PE using the FIQ or IRQ signal" "IRQ,FIQ" bitfld.long 0x00 1. " ENABLEGRP1 ,Enables the signaling of Group 1 interrupts by the CPU interface to a target PE" "Disabled,Enabled" textline " " bitfld.long 0x00 0. " ENABLEGRP0 ,Enables the signaling of Group 0 interrupts by the CPU interface to a target PE" "Disabled,Enabled" elif (((per.l(COMP.BASE("GICD",-1.)+0x04))&0x400)==0x400) group.long 0x00++0x03 line.long 0x00 "GICC_CTLR,CPU Interface Control Register" bitfld.long 0x00 9. " EOIMODENS ,Controls the behavior of Non-secure accesses to GICC_EOIR/GICC_AEOIR/GICC_DIR. PD - Priority Drop / ID - Interrupt Deactivation" "EOIR+AEOIR=PD+ID,EOIR+AEOIR=PD/DIR=ID" bitfld.long 0x00 6. " IRQBYPDISGRP1 ,Controls whether the bypass IRQ signal is signaled to the PE for Group 1" "Signaled,Not signaled" bitfld.long 0x00 5. " FIQBYPDISGRP1 ,Controls whether the bypass FIQ signal is signaled to the PE for Group 1" "Signaled,Not signaled" textline " " bitfld.long 0x00 0. " ENABLEGRP1 ,Enables the signaling of Group 1 interrupts by the CPU interface to a target PE" "Disabled,Enabled" else group.long 0x00++0x03 line.long 0x00 "GICC_CTLR,CPU Interface Control Register" bitfld.long 0x00 9. " EOIMODE ,Controls the behavior of accesses to GICC_EOIR/GICC_AEOIR/GICC_DIR. PD - Priority Drop / ID - Interrupt Deactivation" "EOIR+AEOIR=PD+ID,EOIR+AEOIR=PD/DIR=ID" bitfld.long 0x00 8. " IRQBYPDISGRP1 ,Controls whether the bypass IRQ signal is signaled to the PE for Group 1" "Signaled,Not signaled" bitfld.long 0x00 7. " FIQBYPDISGRP1 ,Controls whether the bypass FIQ signal is signaled to the PE for Group 1" "Signaled,Not signaled" textline " " bitfld.long 0x00 6. " IRQBYPDISGRP0 ,Controls whether the bypass IRQ signal is signaled to the PE for Group 0" "Signaled,Not signaled" bitfld.long 0x00 5. " FIQBYPDISGRP0 ,Controls whether the bypass FIQ signal is signaled to the PE for Group 0" "Signaled,Not signaled" bitfld.long 0x00 4. " CBPR ,Controls whether GICC_BPR provides common control of preemption to Group 0 and Group 1 interrupts" "Group 0,Both" textline " " bitfld.long 0x00 3. " FIQEN ,Controls whether the CPU interface signals Group 0 interrupts to a target PE using the FIQ or IRQ signal" "IRQ,FIQ" bitfld.long 0x00 1. " ENABLEGRP1 ,Enables the signaling of Group 1 interrupts by the CPU interface to a target PE" "Disabled,Enabled" bitfld.long 0x00 0. " ENABLEGRP0 ,Enables the signaling of Group 0 interrupts by the CPU interface to a target PE" "Disabled,Enabled" endif textline " " group.long 0x04++0x03 line.long 0x00 "GICC_PMR,Interrupt Priority Mask Register" hexmask.long.byte 0x00 0.--7. 1. " PRIORITY ,Priority mask level for CPU interface" group.long 0x08++0x03 line.long 0x00 "GICC_BPR,Binary Point Register" bitfld.long 0x00 0.--2. " BP ,Binary point" "0,1,2,3,4,5,6,7" hgroup.long 0x0C++0x03 hide.long 0x00 "GICC_IAR,Interrupt Acknowledge Register" in wgroup.long 0x10++0x03 line.long 0x00 "GICC_EOIR,End Of Interrupt Register" hexmask.long.tbyte 0x00 0.--23. 1. " INTID ,Interrupt ID" rgroup.long 0x14++0x03 line.long 0x00 "GICC_RPR,Running Priority Register" hexmask.long.byte 0x00 0.--7. 1. " PRIORITY ,Priority value of highest priority interrupt" rgroup.long 0x18++0x03 line.long 0x00 "GICC_HPPIR,Highest Priority Pending Interrupt Register" hexmask.long.tbyte 0x00 0.--23. 1. " INTID ,Interrupt ID" group.long 0x1C++0x03 line.long 0x00 "GICC_ABPR,Aliased Binary Point Register" bitfld.long 0x00 0.--2. " BP ,Binary point" "0,1,2,3,4,5,6,7" hgroup.long 0x20++0x03 hide.long 0x00 "GICC_AIAR,Aliased Interrupt Acknowledge Register" in wgroup.long 0x24++0x03 line.long 0x00 "GICC_AEOIR,Aliased End of Interrupt Register" hexmask.long.tbyte 0x00 0.--23. 1. " INTID ,Interrupt ID" rgroup.long 0x28++0x03 line.long 0x00 "GICC_AHPPIR,Aliased Highest Priority Pending Interrupt Register" hexmask.long.tbyte 0x00 0.--23. 1. " INTID ,Interrupt ID" rgroup.long 0x2C++0x03 line.long 0x00 "GICC_STATUSR,CPU Interface Status Register" bitfld.long 0x00 4. " ASV ,Attempted security violation" "Not detected,Detected" bitfld.long 0x00 3. " WROD ,Write to an RO location" "Not detected,Detected" bitfld.long 0x00 2. " RWOD ,Read of a WO location" "Not detected,Detected" textline " " bitfld.long 0x00 1. " WRD ,Write to a reserved location" "Not detected,Detected" bitfld.long 0x00 0. " RRD ,Read of a reserved location" "Not detected,Detected" group.long 0xD0++0x03 line.long 0x00 "GICC_APR0,Active Priorities Register 0" group.long 0xD4++0x03 line.long 0x00 "GICC_APR1,Active Priorities Register 1" group.long 0xD8++0x03 line.long 0x00 "GICC_APR2,Active Priorities Register 2" group.long 0xDC++0x03 line.long 0x00 "GICC_APR3,Active Priorities Register 3" group.long 0xE0++0x03 line.long 0x00 "GICC_NSAPR0,Non-Secure Active Priorities Register 0" group.long 0xE4++0x03 line.long 0x00 "GICC_NSAPR1,Non-Secure Active Priorities Register 1" group.long 0xE8++0x03 line.long 0x00 "GICC_NSAPR2,Non-Secure Active Priorities Register 2" group.long 0xEC++0x03 line.long 0x00 "GICC_NSAPR3,Non-Secure Active Priorities Register 3" rgroup.long 0xFC++0x03 line.long 0x00 "GICC_IIDR,CPU Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PRODID ,Product ID" bitfld.long 0x00 16.--19. " ARCHVER ,The version of the GIC architecture that is implemented" ",,,GICv3,?..." bitfld.long 0x00 12.--15. " REV ,Revision number for the CPU interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" wgroup.long 0x1000++0x03 line.long 0x00 "GICC_DIR,Deactivate Interrupt Register" hexmask.long.tbyte 0x00 0.--23. 1. " INTID ,Interrupt ID" tree.end width 0x0b endif sif COMP.AVAILABLE("GICH") base COMP.BASE("GICH",-1.) width 13. tree "Virtual CPU Control Interface" group.long 0x00++0x03 line.long 0x00 "GICH_HCR,Hypervisor Control Register" bitfld.long 0x00 27.--31. " EOICOUNT ,Counts the number of EOIs received that do not have a corresponding entry in the List registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 7. " VGRP1DIE ,VM Group 1 Disabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 6. " VGRP1EIE ,VM Group 1 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 5. " VGRP0DIE ,VM Group 0 Disabled Interrupt Enable" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " VGRP0EIE ,VM Group 0 Enabled Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 3. " NPIE ,No Pending Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 2. " LRENPIE ,List Register Entry Not Present Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 1. " UIE ,Underflow Interrupt Enable" "Disabled,Enabled" textline " " bitfld.long 0x00 0. " EN ,Virtual CPU interface Enable" "Disabled,Enabled" rgroup.long 0x04++0x03 line.long 0x00 "GICH_VTR,Virtual Type Register" bitfld.long 0x00 29.--31. " PRIBITS ,Number of priority bits" "1,2,3,4,5,6,7,8" bitfld.long 0x00 26.--28. " PREBITS ,Number of pre-emption bits" "1,2,3,4,5,6,7,8" bitfld.long 0x00 23.--25. " IDBITS ,The number of virtual interrupt identifier bits supported" "16 bits,24 bits,?..." textline " " bitfld.long 0x00 22. " SEIS ,Indicates whether the virtual CPU interface supports generation of SEIs" "Not supported,Supported" bitfld.long 0x00 21. " A3V ,Affinity 3 valid" "Invalid,Valid" bitfld.long 0x00 0.--4. " LISTREGS ,List regs number" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" group.long 0x08++0x03 line.long 0x00 "GICH_VMCR,Virtual Machine Control Register" hexmask.long.byte 0x00 24.--31. 1. " VPMR ,Virtual priority mask" bitfld.long 0x00 21.--23. " VBPR0 ,Defines the point at which the priority value fields split into two parts the group priority field and the subpriority field (group 0)" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18.--20. " VBPR1 ,Defines the point at which the priority value fields split into two parts the group priority field and the subpriority field (group 1)" "0,1,2,3,4,5,6,7" bitfld.long 0x00 9. " VEOIM ,Virtual EOImode. DP - Drop the priority / ID - interrupt deactivate" "EOIR+AEOIR=PD+ID,EOIR+AEOIR=PD/DIR=ID" textline " " bitfld.long 0x00 4. " VCBPR ,Virtual Common Binary Point Register" "ABPR,BPR" bitfld.long 0x00 3. " VFIQEN ,Virtual FIQ enable" "Disabled,Enabled" bitfld.long 0x00 2. " VACKCTL ,Virtual AckCtl" "INTID=1022,INTID=corresponding" bitfld.long 0x00 1. " VENG1 ,Virtual interrupt enable for group 1" "Disabled,Enabled" textline " " bitfld.long 0x00 0. " VENG0 ,Virtual interrupt enable for group 0" "Disabled,Enabled" rgroup.long 0x10++0x03 line.long 0x00 "GICH_MISR,Maintenance Interrupt Status Register" bitfld.long 0x00 7. " VGRP1D ,vPE Group 1 Disabled maintenance interrupt assertion" "Not asserted,Asserted" bitfld.long 0x00 6. " VGRP1E ,vPE Group 1 Enabled maintenance interrupt assertion" "Not asserted,Asserted" bitfld.long 0x00 5. " VGRP0D ,vPE Group 0 Disabled maintenance interrupt assertion" "Not asserted,Asserted" bitfld.long 0x00 4. " VGRP0E ,vPE Group 0 Enabled maintenance interrupt assertion" "Not asserted,Asserted" textline " " bitfld.long 0x00 3. " NP ,No Pending maintenance interrupt assertion" "Not asserted,Asserted" bitfld.long 0x00 2. " LRENP ,List Register Entry Not Present maintenance interrupt assertion" "Not asserted,Asserted" bitfld.long 0x00 1. " U ,Underflow maintenance interrupt assertion" "Not asserted,Asserted" bitfld.long 0x00 0. " EOI ,End Of Interrupt maintenance interrupt assertion" "Not asserted,Asserted" rgroup.long 0x20++0x03 line.long 0x00 "GICH_EISR0,End of Interrupt Status Register" bitfld.long 0x00 15. " STATUS15 ,EOI maintenance interrupt status for List register 15" "No interrupt,Interrupt" bitfld.long 0x00 14. " STATUS14 ,EOI maintenance interrupt status for List register 14" "No interrupt,Interrupt" bitfld.long 0x00 13. " STATUS13 ,EOI maintenance interrupt status for List register 13" "No interrupt,Interrupt" textline " " bitfld.long 0x00 12. " STATUS12 ,EOI maintenance interrupt status for List register 12" "No interrupt,Interrupt" bitfld.long 0x00 11. " STATUS11 ,EOI maintenance interrupt status for List register 11" "No interrupt,Interrupt" bitfld.long 0x00 10. " STATUS10 ,EOI maintenance interrupt status for List register 10" "No interrupt,Interrupt" textline " " bitfld.long 0x00 9. " STATUS9 ,EOI maintenance interrupt status for List register 9" "No interrupt,Interrupt" bitfld.long 0x00 8. " STATUS8 ,EOI maintenance interrupt status for List register 8" "No interrupt,Interrupt" bitfld.long 0x00 7. " STATUS7 ,EOI maintenance interrupt status for List register 7" "No interrupt,Interrupt" textline " " bitfld.long 0x00 6. " STATUS6 ,EOI maintenance interrupt status for List register 6" "No interrupt,Interrupt" bitfld.long 0x00 5. " STATUS5 ,EOI maintenance interrupt status for List register 5" "No interrupt,Interrupt" bitfld.long 0x00 4. " STATUS4 ,EOI maintenance interrupt status for List register 4" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " STATUS3 ,EOI maintenance interrupt status for List register 3" "No interrupt,Interrupt" bitfld.long 0x00 2. " STATUS2 ,EOI maintenance interrupt status for List register 2" "No interrupt,Interrupt" bitfld.long 0x00 1. " STATUS1 ,EOI maintenance interrupt status for List register 1" "No interrupt,Interrupt" textline " " bitfld.long 0x00 0. " STATUS0 ,EOI maintenance interrupt status for List register 0" "No interrupt,Interrupt" rgroup.long 0x30++0x03 line.long 0x00 "GICH_ELRSR0,Empty List register Status Register" bitfld.long 0x00 15. " STATUS15 ,Status bit for List register 15" "No interrupt,Interrupt" bitfld.long 0x00 14. " STATUS14 ,Status bit for List register 14" "No interrupt,Interrupt" bitfld.long 0x00 13. " STATUS13 ,Status bit for List register 13" "No interrupt,Interrupt" textline " " bitfld.long 0x00 12. " STATUS12 ,Status bit for List register 12" "No interrupt,Interrupt" bitfld.long 0x00 11. " STATUS11 ,Status bit for List register 11" "No interrupt,Interrupt" bitfld.long 0x00 10. " STATUS10 ,Status bit for List register 10" "No interrupt,Interrupt" textline " " bitfld.long 0x00 9. " STATUS9 ,Status bit for List register 9" "No interrupt,Interrupt" bitfld.long 0x00 8. " STATUS8 ,Status bit for List register 8" "No interrupt,Interrupt" bitfld.long 0x00 7. " STATUS7 ,Status bit for List register 7" "No interrupt,Interrupt" textline " " bitfld.long 0x00 6. " STATUS6 ,Status bit for List register 6" "No interrupt,Interrupt" bitfld.long 0x00 5. " STATUS5 ,Status bit for List register 5" "No interrupt,Interrupt" bitfld.long 0x00 4. " STATUS4 ,Status bit for List register 4" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " STATUS3 ,Status bit for List register 3" "No interrupt,Interrupt" bitfld.long 0x00 2. " STATUS2 ,Status bit for List register 2" "No interrupt,Interrupt" bitfld.long 0x00 1. " STATUS1 ,Status bit for List register 1" "No interrupt,Interrupt" textline " " bitfld.long 0x00 0. " STATUS0 ,Status bit for List register 0" "No interrupt,Interrupt" textline " " group.long 0xF0++0x03 line.long 0x00 "GICH_APR0,Active Priorities Register 0" bitfld.long 0x00 31. " P31 ,Active Priority 31" "0,1" bitfld.long 0x00 30. " P30 ,Active Priority 30" "0,1" bitfld.long 0x00 29. " P29 ,Active Priority 29" "0,1" bitfld.long 0x00 28. " P28 ,Active Priority 28" "0,1" textline " " bitfld.long 0x00 27. " P27 ,Active Priority 27" "0,1" bitfld.long 0x00 26. " P26 ,Active Priority 26" "0,1" bitfld.long 0x00 25. " P25 ,Active Priority 25" "0,1" bitfld.long 0x00 24. " P24 ,Active Priority 24" "0,1" textline " " bitfld.long 0x00 23. " P23 ,Active Priority 23" "0,1" bitfld.long 0x00 22. " P22 ,Active Priority 22" "0,1" bitfld.long 0x00 21. " P21 ,Active Priority 21" "0,1" bitfld.long 0x00 20. " P20 ,Active Priority 20" "0,1" textline " " bitfld.long 0x00 19. " P19 ,Active Priority 19" "0,1" bitfld.long 0x00 18. " P18 ,Active Priority 18" "0,1" bitfld.long 0x00 17. " P17 ,Active Priority 17" "0,1" bitfld.long 0x00 16. " P16 ,Active Priority 16" "0,1" textline " " bitfld.long 0x00 15. " P15 ,Active Priority 15" "0,1" bitfld.long 0x00 14. " P14 ,Active Priority 14" "0,1" bitfld.long 0x00 13. " P13 ,Active Priority 13" "0,1" bitfld.long 0x00 12. " P12 ,Active Priority 12" "0,1" textline " " bitfld.long 0x00 11. " P11 ,Active Priority 11" "0,1" bitfld.long 0x00 10. " P10 ,Active Priority 10" "0,1" bitfld.long 0x00 9. " P9 ,Active Priority 9" "0,1" bitfld.long 0x00 8. " P8 ,Active Priority 8" "0,1" textline " " bitfld.long 0x00 7. " P7 ,Active Priority 7" "0,1" bitfld.long 0x00 6. " P6 ,Active Priority 6" "0,1" bitfld.long 0x00 5. " P5 ,Active Priority 5" "0,1" bitfld.long 0x00 4. " P4 ,Active Priority 4" "0,1" textline " " bitfld.long 0x00 3. " P3 ,Active Priority 3" "0,1" bitfld.long 0x00 2. " P2 ,Active Priority 2" "0,1" bitfld.long 0x00 1. " P1 ,Active Priority 1" "0,1" bitfld.long 0x00 0. " P0 ,Active Priority 0" "0,1" group.long 0xF4++0x03 line.long 0x00 "GICH_APR1,Active Priorities Register 1" bitfld.long 0x00 31. " P31 ,Active Priority 31" "0,1" bitfld.long 0x00 30. " P30 ,Active Priority 30" "0,1" bitfld.long 0x00 29. " P29 ,Active Priority 29" "0,1" bitfld.long 0x00 28. " P28 ,Active Priority 28" "0,1" textline " " bitfld.long 0x00 27. " P27 ,Active Priority 27" "0,1" bitfld.long 0x00 26. " P26 ,Active Priority 26" "0,1" bitfld.long 0x00 25. " P25 ,Active Priority 25" "0,1" bitfld.long 0x00 24. " P24 ,Active Priority 24" "0,1" textline " " bitfld.long 0x00 23. " P23 ,Active Priority 23" "0,1" bitfld.long 0x00 22. " P22 ,Active Priority 22" "0,1" bitfld.long 0x00 21. " P21 ,Active Priority 21" "0,1" bitfld.long 0x00 20. " P20 ,Active Priority 20" "0,1" textline " " bitfld.long 0x00 19. " P19 ,Active Priority 19" "0,1" bitfld.long 0x00 18. " P18 ,Active Priority 18" "0,1" bitfld.long 0x00 17. " P17 ,Active Priority 17" "0,1" bitfld.long 0x00 16. " P16 ,Active Priority 16" "0,1" textline " " bitfld.long 0x00 15. " P15 ,Active Priority 15" "0,1" bitfld.long 0x00 14. " P14 ,Active Priority 14" "0,1" bitfld.long 0x00 13. " P13 ,Active Priority 13" "0,1" bitfld.long 0x00 12. " P12 ,Active Priority 12" "0,1" textline " " bitfld.long 0x00 11. " P11 ,Active Priority 11" "0,1" bitfld.long 0x00 10. " P10 ,Active Priority 10" "0,1" bitfld.long 0x00 9. " P9 ,Active Priority 9" "0,1" bitfld.long 0x00 8. " P8 ,Active Priority 8" "0,1" textline " " bitfld.long 0x00 7. " P7 ,Active Priority 7" "0,1" bitfld.long 0x00 6. " P6 ,Active Priority 6" "0,1" bitfld.long 0x00 5. " P5 ,Active Priority 5" "0,1" bitfld.long 0x00 4. " P4 ,Active Priority 4" "0,1" textline " " bitfld.long 0x00 3. " P3 ,Active Priority 3" "0,1" bitfld.long 0x00 2. " P2 ,Active Priority 2" "0,1" bitfld.long 0x00 1. " P1 ,Active Priority 1" "0,1" bitfld.long 0x00 0. " P0 ,Active Priority 0" "0,1" group.long 0xF8++0x03 line.long 0x00 "GICH_APR2,Active Priorities Register 2" bitfld.long 0x00 31. " P31 ,Active Priority 31" "0,1" bitfld.long 0x00 30. " P30 ,Active Priority 30" "0,1" bitfld.long 0x00 29. " P29 ,Active Priority 29" "0,1" bitfld.long 0x00 28. " P28 ,Active Priority 28" "0,1" textline " " bitfld.long 0x00 27. " P27 ,Active Priority 27" "0,1" bitfld.long 0x00 26. " P26 ,Active Priority 26" "0,1" bitfld.long 0x00 25. " P25 ,Active Priority 25" "0,1" bitfld.long 0x00 24. " P24 ,Active Priority 24" "0,1" textline " " bitfld.long 0x00 23. " P23 ,Active Priority 23" "0,1" bitfld.long 0x00 22. " P22 ,Active Priority 22" "0,1" bitfld.long 0x00 21. " P21 ,Active Priority 21" "0,1" bitfld.long 0x00 20. " P20 ,Active Priority 20" "0,1" textline " " bitfld.long 0x00 19. " P19 ,Active Priority 19" "0,1" bitfld.long 0x00 18. " P18 ,Active Priority 18" "0,1" bitfld.long 0x00 17. " P17 ,Active Priority 17" "0,1" bitfld.long 0x00 16. " P16 ,Active Priority 16" "0,1" textline " " bitfld.long 0x00 15. " P15 ,Active Priority 15" "0,1" bitfld.long 0x00 14. " P14 ,Active Priority 14" "0,1" bitfld.long 0x00 13. " P13 ,Active Priority 13" "0,1" bitfld.long 0x00 12. " P12 ,Active Priority 12" "0,1" textline " " bitfld.long 0x00 11. " P11 ,Active Priority 11" "0,1" bitfld.long 0x00 10. " P10 ,Active Priority 10" "0,1" bitfld.long 0x00 9. " P9 ,Active Priority 9" "0,1" bitfld.long 0x00 8. " P8 ,Active Priority 8" "0,1" textline " " bitfld.long 0x00 7. " P7 ,Active Priority 7" "0,1" bitfld.long 0x00 6. " P6 ,Active Priority 6" "0,1" bitfld.long 0x00 5. " P5 ,Active Priority 5" "0,1" bitfld.long 0x00 4. " P4 ,Active Priority 4" "0,1" textline " " bitfld.long 0x00 3. " P3 ,Active Priority 3" "0,1" bitfld.long 0x00 2. " P2 ,Active Priority 2" "0,1" bitfld.long 0x00 1. " P1 ,Active Priority 1" "0,1" bitfld.long 0x00 0. " P0 ,Active Priority 0" "0,1" group.long 0xFC++0x03 line.long 0x00 "GICH_APR3,Active Priorities Register 3" bitfld.long 0x00 31. " P31 ,Active Priority 31" "0,1" bitfld.long 0x00 30. " P30 ,Active Priority 30" "0,1" bitfld.long 0x00 29. " P29 ,Active Priority 29" "0,1" bitfld.long 0x00 28. " P28 ,Active Priority 28" "0,1" textline " " bitfld.long 0x00 27. " P27 ,Active Priority 27" "0,1" bitfld.long 0x00 26. " P26 ,Active Priority 26" "0,1" bitfld.long 0x00 25. " P25 ,Active Priority 25" "0,1" bitfld.long 0x00 24. " P24 ,Active Priority 24" "0,1" textline " " bitfld.long 0x00 23. " P23 ,Active Priority 23" "0,1" bitfld.long 0x00 22. " P22 ,Active Priority 22" "0,1" bitfld.long 0x00 21. " P21 ,Active Priority 21" "0,1" bitfld.long 0x00 20. " P20 ,Active Priority 20" "0,1" textline " " bitfld.long 0x00 19. " P19 ,Active Priority 19" "0,1" bitfld.long 0x00 18. " P18 ,Active Priority 18" "0,1" bitfld.long 0x00 17. " P17 ,Active Priority 17" "0,1" bitfld.long 0x00 16. " P16 ,Active Priority 16" "0,1" textline " " bitfld.long 0x00 15. " P15 ,Active Priority 15" "0,1" bitfld.long 0x00 14. " P14 ,Active Priority 14" "0,1" bitfld.long 0x00 13. " P13 ,Active Priority 13" "0,1" bitfld.long 0x00 12. " P12 ,Active Priority 12" "0,1" textline " " bitfld.long 0x00 11. " P11 ,Active Priority 11" "0,1" bitfld.long 0x00 10. " P10 ,Active Priority 10" "0,1" bitfld.long 0x00 9. " P9 ,Active Priority 9" "0,1" bitfld.long 0x00 8. " P8 ,Active Priority 8" "0,1" textline " " bitfld.long 0x00 7. " P7 ,Active Priority 7" "0,1" bitfld.long 0x00 6. " P6 ,Active Priority 6" "0,1" bitfld.long 0x00 5. " P5 ,Active Priority 5" "0,1" bitfld.long 0x00 4. " P4 ,Active Priority 4" "0,1" textline " " bitfld.long 0x00 3. " P3 ,Active Priority 3" "0,1" bitfld.long 0x00 2. " P2 ,Active Priority 2" "0,1" bitfld.long 0x00 1. " P1 ,Active Priority 1" "0,1" bitfld.long 0x00 0. " P0 ,Active Priority 0" "0,1" textline " " group.long 0x100++0x03 line.long 0x00 "GICH_LR0,List Register 0" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x104++0x03 line.long 0x00 "GICH_LR1,List Register 1" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x108++0x03 line.long 0x00 "GICH_LR2,List Register 2" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x10C++0x03 line.long 0x00 "GICH_LR3,List Register 3" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x110++0x03 line.long 0x00 "GICH_LR4,List Register 4" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x114++0x03 line.long 0x00 "GICH_LR5,List Register 5" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x118++0x03 line.long 0x00 "GICH_LR6,List Register 6" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x11C++0x03 line.long 0x00 "GICH_LR7,List Register 7" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x120++0x03 line.long 0x00 "GICH_LR8,List Register 8" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x124++0x03 line.long 0x00 "GICH_LR9,List Register 9" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x128++0x03 line.long 0x00 "GICH_LR10,List Register 10" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x12C++0x03 line.long 0x00 "GICH_LR11,List Register 11" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x130++0x03 line.long 0x00 "GICH_LR12,List Register 12" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x134++0x03 line.long 0x00 "GICH_LR13,List Register 13" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" group.long 0x138++0x03 line.long 0x00 "GICH_LR14,List Register 14" bitfld.long 0x00 31. " HW ,Indicates whether this virtual interrupt is a hardware interrupt" "Software,Hardware" bitfld.long 0x00 30. " GROUP ,Indicates whether the interrupt is Group 0 or Group 1" "Group 0,Group 1" bitfld.long 0x00 28.--29. " STATE ,The state of the interrupt" "Inactive,Pending,Active,Active/Pending" bitfld.long 0x00 23.--27. " PRIORITY ,The priority of this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 10.--19. 1. " PINTID ,Physical interrupt ID" hexmask.long.word 0x00 0.--9. 1. " VINTID ,Virtual interrupt ID" tree.end width 0x0b endif sif COMP.AVAILABLE("GICV") base COMP.BASE("GICV",-1.) width 14. tree "Virtual CPU Interface" group.long 0x00++0x03 line.long 0x00 "GICV_CTLR,VM Control Register" bitfld.long 0x00 9. " EOIMODE ,Controls the behaviour of Non-secure accesses to GICC_EOIR/GICC_AEOIR/GICC_DIR. PD - Priority Drop / ID - Interrupt Deactivation" "EOIR+AEOIR=PD+ID,EOIR+AEOIR=PD/DIR=ID" bitfld.long 0x00 4. " CBPR ,Controls whether GICV_BPR affects both Group 0 and Group 1 interrupts" "Group 0,Both" bitfld.long 0x00 3. " FIQEN ,FIQ Enable" "Disabled,Enabled" bitfld.long 0x00 2. " ACKCTL ,Acknowledge control. Return ID of the corresponding interrupt" "1022,Corresponding" textline " " bitfld.long 0x00 1. " ENABLEGRP1 ,Enables the signalling of Group 1 interrupts by the CPU interface to the virtual machine" "Disabled,Enabled" bitfld.long 0x00 0. " ENABLEGRP0 ,Enables the signalling of Group 0 interrupts by the CPU interface to the virtual machine" "Disabled,Enabled" group.long 0x04++0x03 line.long 0x00 "GICV_PMR,VM Priority Mask Register" hexmask.long.byte 0x00 0.--7. 1. " PRIORITY ,Priority mask level for the virtual CPU interface" group.long 0x08++0x03 line.long 0x00 "GICV_BPR,VM Binary Point Register" bitfld.long 0x00 0.--2. " BP ,Binary point" "0,1,2,3,4,5,6,7" rgroup.long 0x0C++0x03 line.long 0x00 "GICV_IAR,VM Interrupt Acknowledge Register" hexmask.long 0x00 0.--24. 1. " INTID ,Interrupt ID" wgroup.long 0x10++0x03 line.long 0x00 "GICV_EOIR,VM End Of Interrupt Register" hexmask.long 0x00 0.--24. 1. " INTID ,Interrupt ID" rgroup.long 0x14++0x03 line.long 0x00 "GICV_RPR,VM Running Priority Register" hexmask.long.byte 0x00 0.--7. 1. " PRIORITY ,Priority value of highest priority interrupt" rgroup.long 0x18++0x03 line.long 0x00 "GICV_HPPIR,VM Highest Priority Pending Interrupt Register" hexmask.long 0x00 0.--24. 1. " INTID ,Interrupt ID" group.long 0x1C++0x03 line.long 0x00 "GICV_ABPR,VM Aliased Binary Point Register" bitfld.long 0x00 0.--2. " BP ,Binary point" "0,1,2,3,4,5,6,7" rgroup.long 0x20++0x03 line.long 0x00 "GICV_AIAR,VM Aliased Interrupt Acknowledge Register" hexmask.long 0x00 0.--24. 1. " INTID ,Interrupt ID" wgroup.long 0x24++0x03 line.long 0x00 "GICV_AEOIR,VM Aliased End of Interrupt Register" hexmask.long 0x00 0.--24. 1. " INTID ,Interrupt ID" rgroup.long 0x28++0x03 line.long 0x00 "GICV_AHPPIR,VM Aliased Highest Priority Pending Interrupt Register" hexmask.long 0x00 0.--24. 1. " INTID ,Interrupt ID" textline "" group.long 0xD0++0x03 line.long 0x00 "GICV_APR0,VM Active Priority Register 0" bitfld.long 0x00 31. " P31 ,Active Priority 31" "0,1" bitfld.long 0x00 30. " P30 ,Active Priority 30" "0,1" bitfld.long 0x00 29. " P29 ,Active Priority 29" "0,1" bitfld.long 0x00 28. " P28 ,Active Priority 28" "0,1" textline " " bitfld.long 0x00 27. " P27 ,Active Priority 27" "0,1" bitfld.long 0x00 26. " P26 ,Active Priority 26" "0,1" bitfld.long 0x00 25. " P25 ,Active Priority 25" "0,1" bitfld.long 0x00 24. " P24 ,Active Priority 24" "0,1" textline " " bitfld.long 0x00 23. " P23 ,Active Priority 23" "0,1" bitfld.long 0x00 22. " P22 ,Active Priority 22" "0,1" bitfld.long 0x00 21. " P21 ,Active Priority 21" "0,1" bitfld.long 0x00 20. " P20 ,Active Priority 20" "0,1" textline " " bitfld.long 0x00 19. " P19 ,Active Priority 19" "0,1" bitfld.long 0x00 18. " P18 ,Active Priority 18" "0,1" bitfld.long 0x00 17. " P17 ,Active Priority 17" "0,1" bitfld.long 0x00 16. " P16 ,Active Priority 16" "0,1" textline " " bitfld.long 0x00 15. " P15 ,Active Priority 15" "0,1" bitfld.long 0x00 14. " P14 ,Active Priority 14" "0,1" bitfld.long 0x00 13. " P13 ,Active Priority 13" "0,1" bitfld.long 0x00 12. " P12 ,Active Priority 12" "0,1" textline " " bitfld.long 0x00 11. " P11 ,Active Priority 11" "0,1" bitfld.long 0x00 10. " P10 ,Active Priority 10" "0,1" bitfld.long 0x00 9. " P9 ,Active Priority 9" "0,1" bitfld.long 0x00 8. " P8 ,Active Priority 8" "0,1" textline " " bitfld.long 0x00 7. " P7 ,Active Priority 7" "0,1" bitfld.long 0x00 6. " P6 ,Active Priority 6" "0,1" bitfld.long 0x00 5. " P5 ,Active Priority 5" "0,1" bitfld.long 0x00 4. " P4 ,Active Priority 4" "0,1" textline " " bitfld.long 0x00 3. " P3 ,Active Priority 3" "0,1" bitfld.long 0x00 2. " P2 ,Active Priority 2" "0,1" bitfld.long 0x00 1. " P1 ,Active Priority 1" "0,1" bitfld.long 0x00 0. " P0 ,Active Priority 0" "0,1" group.long 0xD4++0x03 line.long 0x00 "GICV_APR1,VM Active Priority Register 1" bitfld.long 0x00 31. " P31 ,Active Priority 31" "0,1" bitfld.long 0x00 30. " P30 ,Active Priority 30" "0,1" bitfld.long 0x00 29. " P29 ,Active Priority 29" "0,1" bitfld.long 0x00 28. " P28 ,Active Priority 28" "0,1" textline " " bitfld.long 0x00 27. " P27 ,Active Priority 27" "0,1" bitfld.long 0x00 26. " P26 ,Active Priority 26" "0,1" bitfld.long 0x00 25. " P25 ,Active Priority 25" "0,1" bitfld.long 0x00 24. " P24 ,Active Priority 24" "0,1" textline " " bitfld.long 0x00 23. " P23 ,Active Priority 23" "0,1" bitfld.long 0x00 22. " P22 ,Active Priority 22" "0,1" bitfld.long 0x00 21. " P21 ,Active Priority 21" "0,1" bitfld.long 0x00 20. " P20 ,Active Priority 20" "0,1" textline " " bitfld.long 0x00 19. " P19 ,Active Priority 19" "0,1" bitfld.long 0x00 18. " P18 ,Active Priority 18" "0,1" bitfld.long 0x00 17. " P17 ,Active Priority 17" "0,1" bitfld.long 0x00 16. " P16 ,Active Priority 16" "0,1" textline " " bitfld.long 0x00 15. " P15 ,Active Priority 15" "0,1" bitfld.long 0x00 14. " P14 ,Active Priority 14" "0,1" bitfld.long 0x00 13. " P13 ,Active Priority 13" "0,1" bitfld.long 0x00 12. " P12 ,Active Priority 12" "0,1" textline " " bitfld.long 0x00 11. " P11 ,Active Priority 11" "0,1" bitfld.long 0x00 10. " P10 ,Active Priority 10" "0,1" bitfld.long 0x00 9. " P9 ,Active Priority 9" "0,1" bitfld.long 0x00 8. " P8 ,Active Priority 8" "0,1" textline " " bitfld.long 0x00 7. " P7 ,Active Priority 7" "0,1" bitfld.long 0x00 6. " P6 ,Active Priority 6" "0,1" bitfld.long 0x00 5. " P5 ,Active Priority 5" "0,1" bitfld.long 0x00 4. " P4 ,Active Priority 4" "0,1" textline " " bitfld.long 0x00 3. " P3 ,Active Priority 3" "0,1" bitfld.long 0x00 2. " P2 ,Active Priority 2" "0,1" bitfld.long 0x00 1. " P1 ,Active Priority 1" "0,1" bitfld.long 0x00 0. " P0 ,Active Priority 0" "0,1" group.long 0xD8++0x03 line.long 0x00 "GICV_APR2,VM Active Priority Register 2" bitfld.long 0x00 31. " P31 ,Active Priority 31" "0,1" bitfld.long 0x00 30. " P30 ,Active Priority 30" "0,1" bitfld.long 0x00 29. " P29 ,Active Priority 29" "0,1" bitfld.long 0x00 28. " P28 ,Active Priority 28" "0,1" textline " " bitfld.long 0x00 27. " P27 ,Active Priority 27" "0,1" bitfld.long 0x00 26. " P26 ,Active Priority 26" "0,1" bitfld.long 0x00 25. " P25 ,Active Priority 25" "0,1" bitfld.long 0x00 24. " P24 ,Active Priority 24" "0,1" textline " " bitfld.long 0x00 23. " P23 ,Active Priority 23" "0,1" bitfld.long 0x00 22. " P22 ,Active Priority 22" "0,1" bitfld.long 0x00 21. " P21 ,Active Priority 21" "0,1" bitfld.long 0x00 20. " P20 ,Active Priority 20" "0,1" textline " " bitfld.long 0x00 19. " P19 ,Active Priority 19" "0,1" bitfld.long 0x00 18. " P18 ,Active Priority 18" "0,1" bitfld.long 0x00 17. " P17 ,Active Priority 17" "0,1" bitfld.long 0x00 16. " P16 ,Active Priority 16" "0,1" textline " " bitfld.long 0x00 15. " P15 ,Active Priority 15" "0,1" bitfld.long 0x00 14. " P14 ,Active Priority 14" "0,1" bitfld.long 0x00 13. " P13 ,Active Priority 13" "0,1" bitfld.long 0x00 12. " P12 ,Active Priority 12" "0,1" textline " " bitfld.long 0x00 11. " P11 ,Active Priority 11" "0,1" bitfld.long 0x00 10. " P10 ,Active Priority 10" "0,1" bitfld.long 0x00 9. " P9 ,Active Priority 9" "0,1" bitfld.long 0x00 8. " P8 ,Active Priority 8" "0,1" textline " " bitfld.long 0x00 7. " P7 ,Active Priority 7" "0,1" bitfld.long 0x00 6. " P6 ,Active Priority 6" "0,1" bitfld.long 0x00 5. " P5 ,Active Priority 5" "0,1" bitfld.long 0x00 4. " P4 ,Active Priority 4" "0,1" textline " " bitfld.long 0x00 3. " P3 ,Active Priority 3" "0,1" bitfld.long 0x00 2. " P2 ,Active Priority 2" "0,1" bitfld.long 0x00 1. " P1 ,Active Priority 1" "0,1" bitfld.long 0x00 0. " P0 ,Active Priority 0" "0,1" group.long 0xDC++0x03 line.long 0x00 "GICV_APR3,VM Active Priority Register 3" bitfld.long 0x00 31. " P31 ,Active Priority 31" "0,1" bitfld.long 0x00 30. " P30 ,Active Priority 30" "0,1" bitfld.long 0x00 29. " P29 ,Active Priority 29" "0,1" bitfld.long 0x00 28. " P28 ,Active Priority 28" "0,1" textline " " bitfld.long 0x00 27. " P27 ,Active Priority 27" "0,1" bitfld.long 0x00 26. " P26 ,Active Priority 26" "0,1" bitfld.long 0x00 25. " P25 ,Active Priority 25" "0,1" bitfld.long 0x00 24. " P24 ,Active Priority 24" "0,1" textline " " bitfld.long 0x00 23. " P23 ,Active Priority 23" "0,1" bitfld.long 0x00 22. " P22 ,Active Priority 22" "0,1" bitfld.long 0x00 21. " P21 ,Active Priority 21" "0,1" bitfld.long 0x00 20. " P20 ,Active Priority 20" "0,1" textline " " bitfld.long 0x00 19. " P19 ,Active Priority 19" "0,1" bitfld.long 0x00 18. " P18 ,Active Priority 18" "0,1" bitfld.long 0x00 17. " P17 ,Active Priority 17" "0,1" bitfld.long 0x00 16. " P16 ,Active Priority 16" "0,1" textline " " bitfld.long 0x00 15. " P15 ,Active Priority 15" "0,1" bitfld.long 0x00 14. " P14 ,Active Priority 14" "0,1" bitfld.long 0x00 13. " P13 ,Active Priority 13" "0,1" bitfld.long 0x00 12. " P12 ,Active Priority 12" "0,1" textline " " bitfld.long 0x00 11. " P11 ,Active Priority 11" "0,1" bitfld.long 0x00 10. " P10 ,Active Priority 10" "0,1" bitfld.long 0x00 9. " P9 ,Active Priority 9" "0,1" bitfld.long 0x00 8. " P8 ,Active Priority 8" "0,1" textline " " bitfld.long 0x00 7. " P7 ,Active Priority 7" "0,1" bitfld.long 0x00 6. " P6 ,Active Priority 6" "0,1" bitfld.long 0x00 5. " P5 ,Active Priority 5" "0,1" bitfld.long 0x00 4. " P4 ,Active Priority 4" "0,1" textline " " bitfld.long 0x00 3. " P3 ,Active Priority 3" "0,1" bitfld.long 0x00 2. " P2 ,Active Priority 2" "0,1" bitfld.long 0x00 1. " P1 ,Active Priority 1" "0,1" bitfld.long 0x00 0. " P0 ,Active Priority 0" "0,1" textline " " rgroup.long 0xFC++0x03 line.long 0x00 "GICV_IIDR,Virtual Machine CPU Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PRODID ,Product ID" bitfld.long 0x00 16.--19. " ARCHVER ,The version of the GIC architecture that is implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision number for the CPU interface" ",,,GICv3,?..." hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" wgroup.long 0x1000++0x03 line.long 0x00 "GICV_DIR,VM Deactivate Interrupt Register" hexmask.long 0x00 0.--24. 1. " INTID ,Interrupt ID" tree.end width 0x0b endif width 0x0B tree.end tree.end tree.open "RST/CLK (Reset Clocking and Initialization)" tree "Reset" base ad:0x01E60000 width 17. group.long 0x04++0x03 line.long 0x00 "RSTCRSP,Service Processor Reset Control Register" bitfld.long 0x00 1. " RESET_REQ ,Hardware reset request" "Not requested,Requested" group.long 0x10++0x03 line.long 0x00 "RSTRQMR1,Reset Request Mask Register" bitfld.long 0x00 23. " MC_MSK ,MC processor error reset request mask" "Not masked,Masked" bitfld.long 0x00 20. " SRDS_RST_MSK ,SerDes reset request event mask" "Not masked,Masked" bitfld.long 0x00 17. " MBEE_MSK ,Multi-bit ECC error reset request mask" "Not masked,Masked" bitfld.long 0x00 16. " SDC_MSK ,Security debug controller error reset request mask" "Not masked,Masked" newline bitfld.long 0x00 14. " SFP_MSK ,Security fuse processor error during POR fuse process reset mask" "Not masked,Masked" bitfld.long 0x00 13. " SP_MSK ,Service processor error reset mask" "Not masked,Masked" bitfld.long 0x00 1. " PERIF1_MSK ,MCC core 2 debug reset request mask" "Not masked,Masked" bitfld.long 0x00 0. " PERIF0_MSK ,MCC core 1 debug reset request mask" "Not masked,Masked" if (((per.l(ad:0x01E60000+0x04))&0x01)==0x01) group.long 0x18++0x03 line.long 0x00 "RSTRQSR1,Reset Request Status Register" bitfld.long 0x00 23. " MC_RR ,MC processor reset request" "Not requested,Requested" rbitfld.long 0x00 22. " TZONE_WDT_RR ,TrustZone WDOG reset request" "Not requested,Requested" rbitfld.long 0x00 21. " SP_WDT_RR ,Service processor WDOG reset request" "Not requested,Requested" rbitfld.long 0x00 20. " SRDS_RST_RR ,SerDes reset request" "Not requested,Requested" newline rbitfld.long 0x00 18. " PBSTE_RR ,POR BIST error reset request" "Not requested,Requested" rbitfld.long 0x00 17. " MBEE_RR ,Multi-bit ECC reset request" "Not requested,Requested" rbitfld.long 0x00 16. " SDC_RR ,Security debug controller reset request" "Not requested,Requested" newline rbitfld.long 0x00 15. " SECM_RR ,Security monitor error during POR fuse process caused reset request" "Not requested,Requested" rbitfld.long 0x00 14. " SFP_RR ,Security fuse processor error during POR fuse process caused reset request" "Not requested,Requested" rbitfld.long 0x00 13. " SP_RR ,Service processor reset request" "Not requested,Requested" rbitfld.long 0x00 11. " SW_RR ,Software settable reset request" "Not requested,Requested" newline rbitfld.long 0x00 1. " PERIF1_RR ,MCC core 2 debug reset request" "Not requested,Requested" rbitfld.long 0x00 0. " PERIF0_RR ,MCC core 1 debug reset request" "Not requested,Requested" else group.long 0x18++0x03 line.long 0x00 "RSTRQSR1,Reset Request Status Register" bitfld.long 0x00 23. " MC_RR ,MC processor reset request" "Not requested,Requested" rbitfld.long 0x00 22. " TZONE_WDT_RR ,TrustZone WDOG reset request" "Not requested,Requested" rbitfld.long 0x00 21. " SP_WDT_RR ,Service processor WDOG reset request" "Not requested,Requested" rbitfld.long 0x00 20. " SRDS_RST_RR ,SerDes reset request" "Not requested,Requested" newline rbitfld.long 0x00 18. " PBSTE_RR ,POR BIST error reset request" "Not requested,Requested" rbitfld.long 0x00 17. " MBEE_RR ,Multi-bit ECC reset request" "Not requested,Requested" rbitfld.long 0x00 16. " SDC_RR ,Security debug controller reset request" "Not requested,Requested" newline rbitfld.long 0x00 15. " SECM_RR ,Security monitor error during POR fuse process caused reset request" "Not requested,Requested" rbitfld.long 0x00 14. " SFP_RR ,Security fuse processor error during POR fuse process caused reset request" "Not requested,Requested" eventfld.long 0x00 13. " SP_RR ,Service processor reset request" "Not requested,Requested" rbitfld.long 0x00 11. " SW_RR ,Software settable reset request" "Not requested,Requested" newline rbitfld.long 0x00 1. " PERIF1_RR ,MCC core 2 debug reset request" "Not requested,Requested" rbitfld.long 0x00 0. " PERIF0_RR ,MCC core 1 debug reset request" "Not requested,Requested" endif group.long 0x60++0x03 line.long 0x00 "BRRL,Boot Release Register" bitfld.long 0x00 15. " CR[15] ,Core 15 release for booting" "Not released,Released" bitfld.long 0x00 14. " [14] ,Core 14 release for booting" "Not released,Released" bitfld.long 0x00 13. " [13] ,Core 13 release for booting" "Not released,Released" bitfld.long 0x00 12. " [12] ,Core 12 release for booting" "Not released,Released" newline bitfld.long 0x00 11. " [11] ,Core 11 release for booting" "Not released,Released" bitfld.long 0x00 10. " [10] ,Core 10 release for booting" "Not released,Released" bitfld.long 0x00 9. " [9] ,Core 9 release for booting" "Not released,Released" bitfld.long 0x00 8. " [8] ,Core 8 release for booting" "Not released,Released" newline bitfld.long 0x00 7. " [7] ,Core 7 release for booting" "Not released,Released" bitfld.long 0x00 6. " [6] ,Core 6 release for booting" "Not released,Released" bitfld.long 0x00 5. " [5] ,Core 5 release for booting" "Not released,Released" bitfld.long 0x00 4. " [4] ,Core 4 release for booting" "Not released,Released" newline bitfld.long 0x00 3. " [3] ,Core 3 release for booting" "Not released,Released" bitfld.long 0x00 2. " [2] ,Core 2 release for booting" "Not released,Released" bitfld.long 0x00 1. " [1] ,Core 1 release for booting" "Not released,Released" bitfld.long 0x00 0. " [0] ,Core 0 release for booting" "Not released,Released" rgroup.long 0x90++0x03 line.long 0x00 "BRCORENBR,Core Enable Boot Release Status Register" bitfld.long 0x00 0.--4. " CORE_NBR ,Core number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,?..." rgroup.long 0x100++0x03 line.long 0x00 "RCW_REQR,RCW Request Register" bitfld.long 0x00 0. " RQ ,Request to service processor for RCW loading (set by the RST logic)" "Not requested,Requested" group.long 0x104++0x03 line.long 0x00 "RCW_COMPLETIONR,RCW Completion Register" hexmask.long.byte 0x00 16.--23. 1. " ERR_CODE ,RCW error code" bitfld.long 0x00 0. " DONE ,RCW done bit" "Not done,Done" rgroup.long 0x110++0x03 line.long 0x00 "PBI_REQR,PBI Request Register" bitfld.long 0x00 0. " RQ ,Request to service processor for PBI phase (set by the RST logic)" "Not requested,Requested" group.long 0x114++0x03 line.long 0x00 "PBI_COMPLETIONR,PBI Completion Register" hexmask.long.byte 0x00 16.--23. 1. " ERR_CODE ,PBI error code" bitfld.long 0x00 0. " DONE ,PBI done bit" "Not done,Done" group.long 0x400++0x03 line.long 0x00 "CRSTSR0,Core Reset Status 0 Register" bitfld.long 0x00 8. " RST_CORE ,Core 0 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x404++0x03 line.long 0x00 "CRSTSR1,Core Reset Status 1 Register" bitfld.long 0x00 8. " RST_CORE ,Core 1 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x408++0x03 line.long 0x00 "CRSTSR2,Core Reset Status 2 Register" bitfld.long 0x00 8. " RST_CORE ,Core 2 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x40C++0x03 line.long 0x00 "CRSTSR3,Core Reset Status 3 Register" bitfld.long 0x00 8. " RST_CORE ,Core 3 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x410++0x03 line.long 0x00 "CRSTSR4,Core Reset Status 4 Register" bitfld.long 0x00 8. " RST_CORE ,Core 4 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x414++0x03 line.long 0x00 "CRSTSR5,Core Reset Status 5 Register" bitfld.long 0x00 8. " RST_CORE ,Core 5 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x418++0x03 line.long 0x00 "CRSTSR6,Core Reset Status 6 Register" bitfld.long 0x00 8. " RST_CORE ,Core 6 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x41C++0x03 line.long 0x00 "CRSTSR7,Core Reset Status 7 Register" bitfld.long 0x00 8. " RST_CORE ,Core 7 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x420++0x03 line.long 0x00 "CRSTSR8,Core Reset Status 8 Register" bitfld.long 0x00 8. " RST_CORE ,Core 8 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x424++0x03 line.long 0x00 "CRSTSR9,Core Reset Status 9 Register" bitfld.long 0x00 8. " RST_CORE ,Core 9 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x428++0x03 line.long 0x00 "CRSTSR10,Core Reset Status 10 Register" bitfld.long 0x00 8. " RST_CORE ,Core 10 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x42C++0x03 line.long 0x00 "CRSTSR11,Core Reset Status 11 Register" bitfld.long 0x00 8. " RST_CORE ,Core 11 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x430++0x03 line.long 0x00 "CRSTSR12,Core Reset Status 12 Register" bitfld.long 0x00 8. " RST_CORE ,Core 12 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x434++0x03 line.long 0x00 "CRSTSR13,Core Reset Status 13 Register" bitfld.long 0x00 8. " RST_CORE ,Core 13 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x438++0x03 line.long 0x00 "CRSTSR14,Core Reset Status 14 Register" bitfld.long 0x00 8. " RST_CORE ,Core 14 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0x43C++0x03 line.long 0x00 "CRSTSR15,Core Reset Status 15 Register" bitfld.long 0x00 8. " RST_CORE ,Core 15 was reset in response to internal core request" "No reset,Reset" eventfld.long 0x00 3. " WDRFR ,Warm device reset with fuse restore" "No reset,Reset" rbitfld.long 0x00 2. " READY ,Core ready pin" "Not ready,Ready" eventfld.long 0x00 1. " RST_HRST ,Core was reset due to an HRESET" "No reset,Reset" newline eventfld.long 0x00 0. " RST_PORST ,Core was reset due to a PORESET" "No reset,Reset" group.long 0xA00++0x03 line.long 0x00 "QMBM_WARMRST,QMBM Warm Reset Control Register" bitfld.long 0x00 0. " BMQM_WARMRST ,BMan warm reset" "No reset,Reset" rgroup.long 0xBF8++0x07 line.long 0x00 "IP_REV1,IP Block Revision Register 1" hexmask.long.word 0x00 16.--31. 1. " IP_ID ,Block ID" hexmask.long.byte 0x00 8.--15. 1. " IP_MJ ,Major revision" hexmask.long.byte 0x00 0.--7. 1. " IP_MN ,Minor revision" line.long 0x04 "IP_REV2,IP Block Revision Register 2" hexmask.long.byte 0x04 16.--23. 1. " IP_INT ,Block ID" hexmask.long.byte 0x04 0.--7. 1. " IP_CFG ,IP block configuration ID" width 0x0B tree.end tree "CGU Platform" base ad:0x01360000 width 8. group.long 0x80++0x03 line.long 0x00 "PLLGSR,PLL General Status Register" bitfld.long 0x00 31. " KILL ,PLL disable" "No,Yes" rbitfld.long 0x00 21.--24. " REF_FREQ_SEL ,Sys_int clock frequency" ",,,100MHz,?..." rbitfld.long 0x00 19.--20. " CLK_SEL ,Selects the mux source for clk_out" "VCO-DIV 2,,POST-DIV 2,POST-DIV 2" rbitfld.long 0x00 1.--6. " CFG ,PLL multiplier configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" width 0x0B tree.end tree "CGU Core Cluster Unit A" base ad:0x01300000 width 10. group.long 0x10++0x03 line.long 0x00 "HWAC1CSR,Cluster HWA Control/Status 1 Register" bitfld.long 0x00 27.--30. " HWACLKSEL ,HWACLKSEL" "Sync mode,Cluster A/B PLL1 divide-by-1,Cluster A/B PLL1 divide-by-2,Cluster A/B PLL1 divide-by-3,Cluster A/B PLL1 divide-by-4,Platform clock,Cluster A/B PLL2 divide-by-2,Cluster A/B PLL2 divide-by-3,?..." group.long 0x80++0x03 line.long 0x00 "PLLC1GSR,Cluster PLL General Status 1 Register" bitfld.long 0x00 31. " KILL ,PLL disable" "No,Yes" rbitfld.long 0x00 21.--24. " REF_FREQ_SEL ,Sys_int clock frequency" ",,,100MHz,?..." rbitfld.long 0x00 19.--20. " CLK_SEL ,Clk_out mux source" "VCO-DIV 2,,POST-DIV 2,POST-DIV 2" rbitfld.long 0x00 1.--6. " CFG ,PLL multiplier configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xA0++0x03 line.long 0x00 "PLLC2GSR,Cluster PLL General Status 2 Register" bitfld.long 0x00 31. " KILL ,PLL disable" "No,Yes" rbitfld.long 0x00 21.--24. " REF_FREQ_SEL ,Sys_int clock frequency" ",,,100MHz,?..." rbitfld.long 0x00 19.--20. " CLK_SEL ,Clk_out mux source" "VCO-DIV 2,,POST-DIV 2,POST-DIV 2" rbitfld.long 0x00 1.--6. " CFG ,PLL multiplier configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" width 0x0B tree.end tree "CGU Core Cluster Unit B" base ad:0x01310000 width 10. group.long 0x10++0x03 line.long 0x00 "HWAC1CSR,Cluster HWA Control/Status 1 Register" bitfld.long 0x00 27.--30. " HWACLKSEL ,HWACLKSEL" "Sync mode,Cluster A/B PLL1 divide-by-1,Cluster A/B PLL1 divide-by-2,Cluster A/B PLL1 divide-by-3,Cluster A/B PLL1 divide-by-4,Platform clock,Cluster A/B PLL2 divide-by-2,Cluster A/B PLL2 divide-by-3,?..." group.long 0x80++0x03 line.long 0x00 "PLLC1GSR,Cluster PLL General Status 1 Register" bitfld.long 0x00 31. " KILL ,PLL disable" "No,Yes" rbitfld.long 0x00 21.--24. " REF_FREQ_SEL ,Sys_int clock frequency" ",,,100MHz,?..." rbitfld.long 0x00 19.--20. " CLK_SEL ,Clk_out mux source" "VCO-DIV 2,,POST-DIV 2,POST-DIV 2" rbitfld.long 0x00 1.--6. " CFG ,PLL multiplier configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xA0++0x03 line.long 0x00 "PLLC2GSR,Cluster PLL General Status 2 Register" bitfld.long 0x00 31. " KILL ,PLL disable" "No,Yes" rbitfld.long 0x00 21.--24. " REF_FREQ_SEL ,Sys_int clock frequency" ",,,100MHz,?..." rbitfld.long 0x00 19.--20. " CLK_SEL ,Clk_out mux source" "VCO-DIV 2,,POST-DIV 2,POST-DIV 2" rbitfld.long 0x00 1.--6. " CFG ,PLL multiplier configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" width 0x0B tree.end tree "Global Utilities" base ad:0x01370000 width 10. group.long 0x0++0x03 line.long 0x00 "CLKC1CSR,Core Cluster 1 Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock domain clock source" "PLL1 output,PLL1/2,PLL1/4,,PLL2 output,PLL2/2,PLL2/4,?..." group.long 0x20++0x03 line.long 0x00 "CLKC2CSR,Core Cluster 2 Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock domain clock source" "PLL1 output,PLL1/2,PLL1/4,,PLL2 output,PLL2/2,PLL2/4,?..." group.long 0x40++0x03 line.long 0x00 "CLKC3CSR,Core Cluster 3 Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock domain clock source" "PLL1 output,PLL1/2,PLL1/4,,PLL2 output,PLL2/2,PLL2/4,?..." group.long 0x60++0x03 line.long 0x00 "CLKC4CSR,Core Cluster 4 Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock domain clock source" "PLL1 output,PLL1/2,PLL1/4,,PLL2 output,PLL2/2,PLL2/4,?..." group.long 0x80++0x03 line.long 0x00 "CLKC5CSR,Core Cluster 5 Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock domain clock source" "PLL1 output,PLL1/2,PLL1/4,,PLL2 output,PLL2/2,PLL2/4,?..." group.long 0xA0++0x03 line.long 0x00 "CLKC6CSR,Core Cluster 6 Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock domain clock source" "PLL1 output,PLL1/2,PLL1/4,,PLL2 output,PLL2/2,PLL2/4,?..." group.long 0xC0++0x03 line.long 0x00 "CLKC7CSR,Core Cluster 7 Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock domain clock source" "PLL1 output,PLL1/2,PLL1/4,,PLL2 output,PLL2/2,PLL2/4,?..." group.long 0xE0++0x03 line.long 0x00 "CLKC8CSR,Core Cluster 8 Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock domain clock source" "PLL1 output,PLL1/2,PLL1/4,,PLL2 output,PLL2/2,PLL2/4,?..." width 0x0B tree.end tree "CGU DDR Unit 1" base ad:0x01380000 width 9. group.long 0x00++0x03 line.long 0x00 "CLKDCSR,DDR Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock divider select" "/1,/2,/3,/4,?..." group.long 0x80++0x03 line.long 0x00 "PLLDGSR,DDR PLL General Status Register" bitfld.long 0x00 31. " KILL ,PLL disable" "No,Yes" rbitfld.long 0x00 24.--25. " REFCLK_SEL ,PLL reference clock source" "DDR_CLK,?..." rbitfld.long 0x00 21.--22. " CLK_SEL ,Clk_out mux source" "VCO-DIV 2,,POST-DIV 2,POST-DIV 2" rbitfld.long 0x00 17.--20. " REF_FREQ_SEL ,Sys_init clock frequency" ",,,100MHz,?..." rbitfld.long 0x00 1.--6. " CFG ,PLL multiplier configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" width 0x0B tree.end sif cpuis("LX21?0A") tree "CGU DDR Unit 2" base ad:0x01390000 width 9. group.long 0x00++0x03 line.long 0x00 "CLKDCSR,DDR Clock Control/Status Register" bitfld.long 0x00 27.--30. " CLKSEL ,Clock divider select" "/1,/2,/3,/4,?..." group.long 0x80++0x03 line.long 0x00 "PLLDGSR,DDR PLL General Status Register" bitfld.long 0x00 31. " KILL ,PLL disable" "No,Yes" rbitfld.long 0x00 24.--25. " REFCLK_SEL ,PLL reference clock source" "DDR_CLK,?..." rbitfld.long 0x00 21.--22. " CLK_SEL ,Clk_out mux source" "VCO-DIV 2,,POST-DIV 2,POST-DIV 2" rbitfld.long 0x00 17.--20. " REF_FREQ_SEL ,Sys_init clock frequency" ",,,100MHz,?..." rbitfld.long 0x00 1.--6. " CFG ,PLL multiplier configuration" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" width 0x0B tree.end endif tree "Secure Registers" base ad:0x01E88000 width 9. group.long 0x180++0x03 line.long 0x00 "RSTCNTL,Reset Control Register" bitfld.long 0x00 1. " RST_REQ_MSK ,Reset request mask" "Not masked,Masked" bitfld.long 0x00 0. " SE_RST_REQ ,Hardware reset request" "Not requested,Requested" width 0x0B tree.end tree.end tree "IS (Interrupts Sampling)" base ad:0x01F70000 width 8. rgroup.long 0x10++0x03 line.long 0x00 "IRQPSR,External Interrupt Pins Status Register" bitfld.long 0x00 31. " IRQSTAT[0] ,External interrupt status 0" "Not occurred,Occurred" bitfld.long 0x00 30. " [1] ,External interrupt status 1" "Not occurred,Occurred" bitfld.long 0x00 29. " [2] ,External interrupt status 2" "Not occurred,Occurred" bitfld.long 0x00 28. " [3] ,External interrupt status 3" "Not occurred,Occurred" newline bitfld.long 0x00 27. " [4] ,External interrupt status 4" "Not occurred,Occurred" bitfld.long 0x00 26. " [5] ,External interrupt status 5" "Not occurred,Occurred" bitfld.long 0x00 25. " [6] ,External interrupt status 6" "Not occurred,Occurred" bitfld.long 0x00 24. " [7] ,External interrupt status 7" "Not occurred,Occurred" newline bitfld.long 0x00 23. " [8] ,External interrupt status 8" "Not occurred,Occurred" bitfld.long 0x00 22. " [9] ,External interrupt status 9" "Not occurred,Occurred" bitfld.long 0x00 21. " [10] ,External interrupt status 10" "Not occurred,Occurred" bitfld.long 0x00 20. " [11] ,External interrupt status 11" "Not occurred,Occurred" group.long 0x14++0x03 line.long 0x00 "IRQCR,External Interrupt Control Register" bitfld.long 0x00 31. " IRQINV[0] ,External interrupt inversion 0" "Not inverted,Inverted" bitfld.long 0x00 30. " [1] ,External interrupt inversion 1" "Not inverted,Inverted" bitfld.long 0x00 29. " [2] ,External interrupt inversion 2" "Not inverted,Inverted" bitfld.long 0x00 28. " [3] ,External interrupt inversion 3" "Not inverted,Inverted" newline bitfld.long 0x00 27. " [4] ,External interrupt inversion 4" "Not inverted,Inverted" bitfld.long 0x00 26. " [5] ,External interrupt inversion 5" "Not inverted,Inverted" bitfld.long 0x00 25. " [6] ,External interrupt inversion 6" "Not inverted,Inverted" bitfld.long 0x00 24. " [7] ,External interrupt inversion 7" "Not inverted,Inverted" newline bitfld.long 0x00 23. " [8] ,External interrupt inversion 8" "Not inverted,Inverted" bitfld.long 0x00 22. " [9] ,External interrupt inversion 9" "Not inverted,Inverted" bitfld.long 0x00 21. " [10] ,External interrupt inversion 10" "Not inverted,Inverted" bitfld.long 0x00 20. " [11] ,External interrupt inversion 11" "Not inverted,Inverted" newline rgroup.long 0x60++0x03 line.long 0x00 "SWDTSR,SoC Watchdog Reset Timer Status Register" bitfld.long 0x00 31. " SWDTSTAT0 ,SoC watchdog timer" "Not occurred,Occurred" width 0x0B tree.end tree.open "GGRT (Global Generic Reference Timer)" tree "CNT Control Base" base ad:0x023E0000 width 13. group.long 0x00++0x03 line.long 0x00 "CNTCR,Counter Control Register" rhexmask.long.tbyte 0x00 8.--31. 1. " FCREQ ,Frequency table entry select" bitfld.long 0x00 1. " HDBG ,Debug module behavior" "Halt ignored,Halt" bitfld.long 0x00 0. " EN ,Memory mapped global generic reference timer enable" "Disabled,Enabled" rgroup.long 0x04++0x03 line.long 0x00 "CNTSR,Counter Status Register" hexmask.long.tbyte 0x00 8.--31. 1. " FCACK ,Frequency change request acknowledgment" bitfld.long 0x00 1. " DBGH ,Global generic reference timer halt" "Not halted,Halted" group.long 0x08++0x0B line.long 0x00 "CNTCV_L,Counter Count Value Register" line.long 0x04 "CNTCV_H,Counter Count Value Register" hexmask.long.tbyte 0x04 0.--23. 1. " CNTCV_55_32 ,Higher 24-bits of the 56-bit counter value" line.long 0x08 "CNTFID0,Counter Frequency Table" rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_1,Counter Identification Register 1" width 0x0B tree.end tree "CNT Read Base" base ad:0x023F0000 width 13. rgroup.long 0x00++0x07 line.long 0x00 "CNTCV_L,Counter Count Value ReadBase Low Register" line.long 0x04 "CNTCV_H,Counter Count Value ReadBase High Register" rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_2,Counter Identification Register 2" width 0x0B tree.end tree "CNT CTL Base" base ad:0x02890000 width 13. group.long 0x00++0x07 line.long 0x00 "CNTFRQ,Counter Frequency Register" line.long 0x04 "CNTNSAR,Counter Non-Secure Access Register" bitfld.long 0x04 3. " NS[3] ,CntBase3 non-secure access" "Not allowed,Allowed" bitfld.long 0x04 2. " [2] ,CntBase2 non-secure access" "Not allowed,Allowed" bitfld.long 0x04 1. " [1] ,CntBase1 non-secure access" "Not allowed,Allowed" bitfld.long 0x04 0. " [0] ,CntBase0 non-secure access" "Not allowed,Allowed" rgroup.long 0x08++0x03 line.long 0x00 "CNTTIDR,Counter Timer Feature Register" bitfld.long 0x00 14. " F3_EL0_EN ,CntBase3 EL0 view implementation" "Not implemented,Implemented" bitfld.long 0x00 13. " F3_VTR_EN ,CntBase3 virtual timer registers implementation" "Not implemented,Implemented" bitfld.long 0x00 12. " F3_EN ,CntBase3 implementation" "Not implemented,Implemented" bitfld.long 0x00 10. " F2_EL0_EN ,CntBase2 EL0 view implementation" "Not implemented,Implemented" newline bitfld.long 0x00 9. " F2_VTR_EN ,CntBase2 virtual timer registers implementation" "Not implemented,Implemented" bitfld.long 0x00 8. " F2_EN ,CntBase2 implementation" "Not implemented,Implemented" bitfld.long 0x00 6. " F1_EL0_EN ,CntBase1 EL0 view implementation" "Not implemented,Implemented" bitfld.long 0x00 5. " F1_VTR_EN ,CntBase1 virtual timer registers implementation" "Not implemented,Implemented" newline bitfld.long 0x00 4. " F1_EN ,CntBase1 implementation" "Not implemented,Implemented" bitfld.long 0x00 2. " F0_EL0_EN ,CntBase0 EL0 view implementation" "Not implemented,Implemented" bitfld.long 0x00 1. " F0_VTR_EN ,CntBase0 virtual timer registers implementation" "Not implemented,Implemented" bitfld.long 0x00 0. " F0_EN ,CntBase0 implementation" "Not implemented,Implemented" group.long 0x40++0x03 line.long 0x00 "CNTACR0,Counter Access Controls 0" bitfld.long 0x00 5. " RWPT ,Frame 0 CNTP_CVAL/CNTP_TVAL/CNTP_CTL registers access" "Not allowed,Allowed" bitfld.long 0x00 4. " RWVT ,Frame 0 CNTV_CVAL/CNTV_TVAL/CNTV_CTL registers access" "Not allowed,Allowed" bitfld.long 0x00 3. " RVOFF ,Frame 0 CNTVOFF register access" "Not allowed,Allowed" bitfld.long 0x00 2. " RFRQ ,Frame 0 CNTFRQ register access" "Not allowed,Allowed" newline bitfld.long 0x00 1. " RVCT ,Frame 0 CNTVCT register access" "Not allowed,Allowed" bitfld.long 0x00 0. " RPCT ,Frame 0 CNTPCT register access" "Not allowed,Allowed" group.long 0x44++0x03 line.long 0x00 "CNTACR1,Counter Access Controls 1" bitfld.long 0x00 5. " RWPT ,Frame 1 CNTP_CVAL/CNTP_TVAL/CNTP_CTL registers access" "Not allowed,Allowed" bitfld.long 0x00 4. " RWVT ,Frame 1 CNTV_CVAL/CNTV_TVAL/CNTV_CTL registers access" "Not allowed,Allowed" bitfld.long 0x00 3. " RVOFF ,Frame 1 CNTVOFF register access" "Not allowed,Allowed" bitfld.long 0x00 2. " RFRQ ,Frame 1 CNTFRQ register access" "Not allowed,Allowed" newline bitfld.long 0x00 1. " RVCT ,Frame 1 CNTVCT register access" "Not allowed,Allowed" bitfld.long 0x00 0. " RPCT ,Frame 1 CNTPCT register access" "Not allowed,Allowed" group.long 0x48++0x03 line.long 0x00 "CNTACR2,Counter Access Controls 2" bitfld.long 0x00 5. " RWPT ,Frame 2 CNTP_CVAL/CNTP_TVAL/CNTP_CTL registers access" "Not allowed,Allowed" bitfld.long 0x00 4. " RWVT ,Frame 2 CNTV_CVAL/CNTV_TVAL/CNTV_CTL registers access" "Not allowed,Allowed" bitfld.long 0x00 3. " RVOFF ,Frame 2 CNTVOFF register access" "Not allowed,Allowed" bitfld.long 0x00 2. " RFRQ ,Frame 2 CNTFRQ register access" "Not allowed,Allowed" newline bitfld.long 0x00 1. " RVCT ,Frame 2 CNTVCT register access" "Not allowed,Allowed" bitfld.long 0x00 0. " RPCT ,Frame 2 CNTPCT register access" "Not allowed,Allowed" group.long 0x4C++0x03 line.long 0x00 "CNTACR3,Counter Access Controls 3" bitfld.long 0x00 5. " RWPT ,Frame 3 CNTP_CVAL/CNTP_TVAL/CNTP_CTL registers access" "Not allowed,Allowed" bitfld.long 0x00 4. " RWVT ,Frame 3 CNTV_CVAL/CNTV_TVAL/CNTV_CTL registers access" "Not allowed,Allowed" bitfld.long 0x00 3. " RVOFF ,Frame 3 CNTVOFF register access" "Not allowed,Allowed" bitfld.long 0x00 2. " RFRQ ,Frame 3 CNTFRQ register access" "Not allowed,Allowed" newline bitfld.long 0x00 1. " RVCT ,Frame 3 CNTVCT register access" "Not allowed,Allowed" bitfld.long 0x00 0. " RPCT ,Frame 3 CNTPCT register access" "Not allowed,Allowed" group.long 0x80++0x07 line.long 0x00 "CNVOFF_L_0,Virtual Offset Lower 0" line.long 0x04 "CNVOFF_H_0,Virtual Offset Higher 0" group.long 0x88++0x07 line.long 0x00 "CNVOFF_L_1,Virtual Offset Lower 1" line.long 0x04 "CNVOFF_H_1,Virtual Offset Higher 1" group.long 0x90++0x07 line.long 0x00 "CNVOFF_L_2,Virtual Offset Lower 2" line.long 0x04 "CNVOFF_H_2,Virtual Offset Higher 2" group.long 0x98++0x07 line.long 0x00 "CNVOFF_L_3,Virtual Offset Lower 3" line.long 0x04 "CNVOFF_H_3,Virtual Offset Higher 3" rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_4,Counter Identification Register 4" width 0x0B tree.end tree "CNT Base Group" tree "CNT Base 0" base ad:0x028A0000 width 13. if (((per.l(ad:0x02890008))&0x01<<(0*4))==0x01<<(0*4)) if (((per.l(ad:0x02890040))&0x01)==0x01) rgroup.long 0x00++0x07 line.long 0x00 "CNTPCT_L,Physical Count Low Register" line.long 0x04 "CNTPCT_H,Physical Count High Register" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" endif if (((per.l(ad:0x02890040))&0x02)==0x02) rgroup.long 0x08++0x07 line.long 0x00 "CNTVCT_L,Virtual Count Low Register" line.long 0x04 "CNTVCT_H,Virtual Count High Register" else hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" endif if (((per.l(ad:0x02890040))&0x04)==0x04) rgroup.long 0x10++0x03 line.long 0x00 "CNTFRQ,Count Frequency Register" else hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" endif group.long 0x14++0x03 line.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" bitfld.long 0x00 9. " EL0PTEN ,CNTP_CVAL/CNTP_TVAL/CNTP_CTL access in CNTEL0Base0 frame" "Not permitted,Permitted" bitfld.long 0x00 8. " EL0VTEN ,CNTV_CVAL/CNTV_TVAL/CNTV_CTL access in CNTEL0Base0 frame" "Not permitted,Permitted" bitfld.long 0x00 1. " EL0VCTEN ,CNTVCT/CNTFRQQ access in CNTEL0Base0 frame" "Not permitted,Permitted" bitfld.long 0x00 0. " EL0PCTEN ,CNTPCT/CNTFRQQ access in CNTEL0Base0 frame" "Not permitted,Permitted" if ((((per.l(ad:0x02890008))&0x01<<(0*4+1))==0x01<<(0*4+1))&&(((per.l(ad:0x02890040))&0x08)==0x08)) rgroup.long 0x18++0x07 line.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" line.long 0x04 "CNTVOFF_H,Virtual Offset High Register" else hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" endif if (((per.l(ad:0x02890040))&0x20)==0x20) group.long 0x20++0x07 line.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" line.long 0x04 "CNTP_CVAL_H,Physical Timer Compare Value High Register" else hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" endif if (((per.l(ad:0x02890040))&0x20)==0x20) group.long 0x28++0x07 line.long 0x00 "CNTP_TVAL,Physical TimerValue Register" line.long 0x04 "CNTP_CTL,Physical Timer Control Register" rbitfld.long 0x04 2. " ISTATUS ,Physical timer condition status" "Not asserted,Asserted" bitfld.long 0x04 1. " IMASK ,Physical timer interrupt mask" "Not masked,Masked" bitfld.long 0x04 0. " ENABLE ,Physical timer enable" "Disabled,Enabled" else hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" endif group.long 0x30++0x07 line.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" line.long 0x04 "CNTV_CVAL_H,Virtual Timer Compare Value High Register" if (((per.l(ad:0x02890040))&0x10)==0x10) group.long 0x38++0x03 line.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" else hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" endif if ((((per.l(ad:0x02890008))&0x01<<(0*4+1))==0x01<<(0*4+1))&&(((per.l(ad:0x02890040))&0x10)==0x10)) group.long 0x3C++0x03 line.long 0x00 "CNTV_CTL,Virtual Timer Control Register" rbitfld.long 0x00 2. " ISTATUS ,Virtual timer condition status" "Not asserted,Asserted" bitfld.long 0x00 1. " IMASK ,Virtual timer interrupt mask" "Not masked,Masked" bitfld.long 0x00 0. " ENABLE ,Virtual timer enable" "Disabled,Enabled" else hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" endif rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_5,Counter Identification Register 5" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" hgroup.long 0x14++0x03 hide.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" hgroup.long 0x30++0x03 hide.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" hgroup.long 0x34++0x03 hide.long 0x00 "CNTV_CVAL_H,Virtual Timer Compare Value Higher Register" hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" hgroup.long 0xFD0++0x03 hide.long 0x00 "COUNTERID_5,Counter Identification Register 5" endif width 0x0B tree.end tree "CNT Base 1" base ad:0x028B0000 width 13. if (((per.l(ad:0x02890008))&0x01<<(1*4))==0x01<<(1*4)) if (((per.l(ad:0x02890044))&0x01)==0x01) rgroup.long 0x00++0x07 line.long 0x00 "CNTPCT_L,Physical Count Low Register" line.long 0x04 "CNTPCT_H,Physical Count High Register" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" endif if (((per.l(ad:0x02890044))&0x02)==0x02) rgroup.long 0x08++0x07 line.long 0x00 "CNTVCT_L,Virtual Count Low Register" line.long 0x04 "CNTVCT_H,Virtual Count High Register" else hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" endif if (((per.l(ad:0x02890044))&0x04)==0x04) rgroup.long 0x10++0x03 line.long 0x00 "CNTFRQ,Count Frequency Register" else hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" endif group.long 0x14++0x03 line.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" bitfld.long 0x00 9. " EL0PTEN ,CNTP_CVAL/CNTP_TVAL/CNTP_CTL access in CNTEL0Base1 frame" "Not permitted,Permitted" bitfld.long 0x00 8. " EL0VTEN ,CNTV_CVAL/CNTV_TVAL/CNTV_CTL access in CNTEL0Base1 frame" "Not permitted,Permitted" bitfld.long 0x00 1. " EL0VCTEN ,CNTVCT/CNTFRQQ access in CNTEL0Base1 frame" "Not permitted,Permitted" bitfld.long 0x00 0. " EL0PCTEN ,CNTPCT/CNTFRQQ access in CNTEL0Base1 frame" "Not permitted,Permitted" if ((((per.l(ad:0x02890008))&0x01<<(1*4+1))==0x01<<(1*4+1))&&(((per.l(ad:0x02890044))&0x08)==0x08)) rgroup.long 0x18++0x07 line.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" line.long 0x04 "CNTVOFF_H,Virtual Offset High Register" else hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" endif if (((per.l(ad:0x02890044))&0x20)==0x20) group.long 0x20++0x07 line.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" line.long 0x04 "CNTP_CVAL_H,Physical Timer Compare Value High Register" else hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" endif if (((per.l(ad:0x02890044))&0x20)==0x20) group.long 0x28++0x07 line.long 0x00 "CNTP_TVAL,Physical TimerValue Register" line.long 0x04 "CNTP_CTL,Physical Timer Control Register" rbitfld.long 0x04 2. " ISTATUS ,Physical timer condition status" "Not asserted,Asserted" bitfld.long 0x04 1. " IMASK ,Physical timer interrupt mask" "Not masked,Masked" bitfld.long 0x04 0. " ENABLE ,Physical timer enable" "Disabled,Enabled" else hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" endif group.long 0x30++0x07 line.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" line.long 0x04 "CNTV_CVAL_H,Virtual Timer Compare Value High Register" if (((per.l(ad:0x02890044))&0x10)==0x10) group.long 0x38++0x03 line.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" else hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" endif if ((((per.l(ad:0x02890008))&0x01<<(1*4+1))==0x01<<(1*4+1))&&(((per.l(ad:0x02890044))&0x10)==0x10)) group.long 0x3C++0x03 line.long 0x00 "CNTV_CTL,Virtual Timer Control Register" rbitfld.long 0x00 2. " ISTATUS ,Virtual timer condition status" "Not asserted,Asserted" bitfld.long 0x00 1. " IMASK ,Virtual timer interrupt mask" "Not masked,Masked" bitfld.long 0x00 0. " ENABLE ,Virtual timer enable" "Disabled,Enabled" else hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" endif rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_5,Counter Identification Register 5" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" hgroup.long 0x14++0x03 hide.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" hgroup.long 0x30++0x03 hide.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" hgroup.long 0x34++0x03 hide.long 0x00 "CNTV_CVAL_H,Virtual Timer Compare Value Higher Register" hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" hgroup.long 0xFD0++0x03 hide.long 0x00 "COUNTERID_5,Counter Identification Register 5" endif width 0x0B tree.end tree "CNT Base 2" base ad:0x028C0000 width 13. if (((per.l(ad:0x02890008))&0x01<<(2*4))==0x01<<(2*4)) if (((per.l(ad:0x02890048))&0x01)==0x01) rgroup.long 0x00++0x07 line.long 0x00 "CNTPCT_L,Physical Count Low Register" line.long 0x04 "CNTPCT_H,Physical Count High Register" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" endif if (((per.l(ad:0x02890048))&0x02)==0x02) rgroup.long 0x08++0x07 line.long 0x00 "CNTVCT_L,Virtual Count Low Register" line.long 0x04 "CNTVCT_H,Virtual Count High Register" else hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" endif if (((per.l(ad:0x02890048))&0x04)==0x04) rgroup.long 0x10++0x03 line.long 0x00 "CNTFRQ,Count Frequency Register" else hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" endif group.long 0x14++0x03 line.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" bitfld.long 0x00 9. " EL0PTEN ,CNTP_CVAL/CNTP_TVAL/CNTP_CTL access in CNTEL0Base2 frame" "Not permitted,Permitted" bitfld.long 0x00 8. " EL0VTEN ,CNTV_CVAL/CNTV_TVAL/CNTV_CTL access in CNTEL0Base2 frame" "Not permitted,Permitted" bitfld.long 0x00 1. " EL0VCTEN ,CNTVCT/CNTFRQQ access in CNTEL0Base2 frame" "Not permitted,Permitted" bitfld.long 0x00 0. " EL0PCTEN ,CNTPCT/CNTFRQQ access in CNTEL0Base2 frame" "Not permitted,Permitted" if ((((per.l(ad:0x02890008))&0x01<<(2*4+1))==0x01<<(2*4+1))&&(((per.l(ad:0x02890048))&0x08)==0x08)) rgroup.long 0x18++0x07 line.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" line.long 0x04 "CNTVOFF_H,Virtual Offset High Register" else hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" endif if (((per.l(ad:0x02890048))&0x20)==0x20) group.long 0x20++0x07 line.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" line.long 0x04 "CNTP_CVAL_H,Physical Timer Compare Value High Register" else hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" endif if (((per.l(ad:0x02890048))&0x20)==0x20) group.long 0x28++0x07 line.long 0x00 "CNTP_TVAL,Physical TimerValue Register" line.long 0x04 "CNTP_CTL,Physical Timer Control Register" rbitfld.long 0x04 2. " ISTATUS ,Physical timer condition status" "Not asserted,Asserted" bitfld.long 0x04 1. " IMASK ,Physical timer interrupt mask" "Not masked,Masked" bitfld.long 0x04 0. " ENABLE ,Physical timer enable" "Disabled,Enabled" else hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" endif group.long 0x30++0x07 line.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" line.long 0x04 "CNTV_CVAL_H,Virtual Timer Compare Value High Register" if (((per.l(ad:0x02890048))&0x10)==0x10) group.long 0x38++0x03 line.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" else hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" endif if ((((per.l(ad:0x02890008))&0x01<<(2*4+1))==0x01<<(2*4+1))&&(((per.l(ad:0x02890048))&0x10)==0x10)) group.long 0x3C++0x03 line.long 0x00 "CNTV_CTL,Virtual Timer Control Register" rbitfld.long 0x00 2. " ISTATUS ,Virtual timer condition status" "Not asserted,Asserted" bitfld.long 0x00 1. " IMASK ,Virtual timer interrupt mask" "Not masked,Masked" bitfld.long 0x00 0. " ENABLE ,Virtual timer enable" "Disabled,Enabled" else hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" endif rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_5,Counter Identification Register 5" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" hgroup.long 0x14++0x03 hide.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" hgroup.long 0x30++0x03 hide.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" hgroup.long 0x34++0x03 hide.long 0x00 "CNTV_CVAL_H,Virtual Timer Compare Value Higher Register" hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" hgroup.long 0xFD0++0x03 hide.long 0x00 "COUNTERID_5,Counter Identification Register 5" endif width 0x0B tree.end tree "CNT Base 3" base ad:0x028D0000 width 13. if (((per.l(ad:0x02890008))&0x01<<(3*4))==0x01<<(3*4)) if (((per.l(ad:0x0289004C))&0x01)==0x01) rgroup.long 0x00++0x07 line.long 0x00 "CNTPCT_L,Physical Count Low Register" line.long 0x04 "CNTPCT_H,Physical Count High Register" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" endif if (((per.l(ad:0x0289004C))&0x02)==0x02) rgroup.long 0x08++0x07 line.long 0x00 "CNTVCT_L,Virtual Count Low Register" line.long 0x04 "CNTVCT_H,Virtual Count High Register" else hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" endif if (((per.l(ad:0x0289004C))&0x04)==0x04) rgroup.long 0x10++0x03 line.long 0x00 "CNTFRQ,Count Frequency Register" else hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" endif group.long 0x14++0x03 line.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" bitfld.long 0x00 9. " EL0PTEN ,CNTP_CVAL/CNTP_TVAL/CNTP_CTL access in CNTEL0Base3 frame" "Not permitted,Permitted" bitfld.long 0x00 8. " EL0VTEN ,CNTV_CVAL/CNTV_TVAL/CNTV_CTL access in CNTEL0Base3 frame" "Not permitted,Permitted" bitfld.long 0x00 1. " EL0VCTEN ,CNTVCT/CNTFRQQ access in CNTEL0Base3 frame" "Not permitted,Permitted" bitfld.long 0x00 0. " EL0PCTEN ,CNTPCT/CNTFRQQ access in CNTEL0Base3 frame" "Not permitted,Permitted" if ((((per.l(ad:0x02890008))&0x01<<(3*4+1))==0x01<<(3*4+1))&&(((per.l(ad:0x0289004C))&0x08)==0x08)) rgroup.long 0x18++0x07 line.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" line.long 0x04 "CNTVOFF_H,Virtual Offset High Register" else hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" endif if (((per.l(ad:0x0289004C))&0x20)==0x20) group.long 0x20++0x07 line.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" line.long 0x04 "CNTP_CVAL_H,Physical Timer Compare Value High Register" else hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" endif if (((per.l(ad:0x0289004C))&0x20)==0x20) group.long 0x28++0x07 line.long 0x00 "CNTP_TVAL,Physical TimerValue Register" line.long 0x04 "CNTP_CTL,Physical Timer Control Register" rbitfld.long 0x04 2. " ISTATUS ,Physical timer condition status" "Not asserted,Asserted" bitfld.long 0x04 1. " IMASK ,Physical timer interrupt mask" "Not masked,Masked" bitfld.long 0x04 0. " ENABLE ,Physical timer enable" "Disabled,Enabled" else hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" endif group.long 0x30++0x07 line.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" line.long 0x04 "CNTV_CVAL_H,Virtual Timer Compare Value High Register" if (((per.l(ad:0x0289004C))&0x10)==0x10) group.long 0x38++0x03 line.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" else hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" endif if ((((per.l(ad:0x02890008))&0x01<<(3*4+1))==0x01<<(3*4+1))&&(((per.l(ad:0x0289004C))&0x10)==0x10)) group.long 0x3C++0x03 line.long 0x00 "CNTV_CTL,Virtual Timer Control Register" rbitfld.long 0x00 2. " ISTATUS ,Virtual timer condition status" "Not asserted,Asserted" bitfld.long 0x00 1. " IMASK ,Virtual timer interrupt mask" "Not masked,Masked" bitfld.long 0x00 0. " ENABLE ,Virtual timer enable" "Disabled,Enabled" else hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" endif rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_5,Counter Identification Register 5" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" hgroup.long 0x14++0x03 hide.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" hgroup.long 0x30++0x03 hide.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" hgroup.long 0x34++0x03 hide.long 0x00 "CNTV_CVAL_H,Virtual Timer Compare Value Higher Register" hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" hgroup.long 0xFD0++0x03 hide.long 0x00 "COUNTERID_5,Counter Identification Register 5" endif width 0x0B tree.end tree "CNT EL0 Base 0" base ad:0x028E0000 width 13. if (((per.l(ad:0x02890008))&0x04)==0x04) if ((((per.l(ad:0x028A0000+0x14))&0x01)==0x01)&&(((per.l(ad:0x02890008))&0x01)==0x01)) rgroup.long 0x00++0x07 line.long 0x00 "CNTPCT_L,Physical Count Low Register" line.long 0x04 "CNTPCT_H,Physical Count High Register" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" endif if ((((per.l(ad:0x028A0000+0x14))&0x02)==0x02)&&(((per.l(ad:0x02890008))&0x01)==0x01)) rgroup.long 0x08++0x07 line.long 0x00 "CNTVCT_L,Virtual Count Low Register" line.long 0x04 "CNTVCT_H,Virtual Count High Register" else hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" endif if ((((per.l(ad:0x028A0000+0x14))&0x02)==0x02)&&(((per.l(ad:0x028A0000+0x14))&0x01)==0x01)) rgroup.long 0x10++0x03 line.long 0x00 "CNTFRQ,Count Frequency Register" else hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" endif hgroup.long 0x14++0x03 hide.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" if ((((per.l(ad:0x028A0000+0x14))&0x200)==0x200)&&(((per.l(ad:0x02890008))&0x01)==0x01)) group.long 0x20++0x0F line.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" line.long 0x04 "CNTP_CVAL_H,Physical Timer Compare Value High Register" line.long 0x08 "CNTP_TVAL,Physical TimerValue Register" line.long 0x0C "CNTP_CTL,Physical Timer Control Register" rbitfld.long 0x0C 2. " ISTATUS ,Physical timer condition status" "Not asserted,Asserted" bitfld.long 0x0C 1. " IMASK ,Physical timer interrupt mask" "Not masked,Masked" bitfld.long 0x0C 0. " ENABLE ,Physical timer enable" "Disabled,Enabled" else hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" endif if ((((per.l(ad:0x028A0000+0x14))&0x100)==0x100)&&(((per.l(ad:0x02890008))&0x01)==0x01)) group.long 0x30++0x0F line.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" line.long 0x04 "CNTV_CVAL_H,Virtual Timer Compare Value High Register" line.long 0x08 "CNTV_TVAL,Virtual TimerValue Register" line.long 0x0C "CNTV_CTL,Virtual Timer Control Register" rbitfld.long 0x0C 2. " ISTATUS ,Virtual timer condition status" "Not asserted,Asserted" bitfld.long 0x0C 1. " IMASK ,Virtual timer interrupt mask" "Not masked,Masked" bitfld.long 0x0C 0. " ENABLE ,Virtual timer enable" "Disabled,Enabled" else hgroup.long 0x30++0x03 hide.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" hgroup.long 0x34++0x03 hide.long 0x00 "CNTV_CVAL_H,Virtual Timer Compare Value Higher Register" hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" endif rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_5,Counter Identification Register 5" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" hgroup.long 0x14++0x03 hide.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" hgroup.long 0x30++0x03 hide.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" hgroup.long 0x34++0x03 hide.long 0x00 "CNTV_CVAL_H,Virtual Timer Compare Value Higher Register" hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" hgroup.long 0xFD0++0x03 hide.long 0x00 "COUNTERID_5,Counter Identification Register 5" endif width 0x0B tree.end tree "CNT EL0 Base 2" base ad:0x028F0000 width 13. if (((per.l(ad:0x02890008))&0x400)==0x400) if ((((per.l(ad:0x028C0000+0x14))&0x01)==0x01)&&(((per.l(ad:0x02890008))&0x100)==0x100)) rgroup.long 0x00++0x07 line.long 0x00 "CNTPCT_L,Physical Count Low Register" line.long 0x04 "CNTPCT_H,Physical Count High Register" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" endif if ((((per.l(ad:0x028C0000+0x14))&0x02)==0x02)&&(((per.l(ad:0x02890008))&0x100)==0x100)) rgroup.long 0x08++0x07 line.long 0x00 "CNTVCT_L,Virtual Count Low Register" line.long 0x04 "CNTVCT_H,Virtual Count High Register" else hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" endif if ((((per.l(ad:0x028C0000+0x14))&0x02)==0x02)&&(((per.l(ad:0x028C0000+0x14))&0x01)==0x01)) rgroup.long 0x10++0x03 line.long 0x00 "CNTFRQ,Count Frequency Register" else hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" endif hgroup.long 0x14++0x03 hide.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" if ((((per.l(ad:0x028C0000+0x14))&0x200)==0x200)&&(((per.l(ad:0x02890008))&0x100)==0x100)) group.long 0x20++0x0F line.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" line.long 0x04 "CNTP_CVAL_H,Physical Timer Compare Value High Register" line.long 0x08 "CNTP_TVAL,Physical TimerValue Register" line.long 0x0C "CNTP_CTL,Physical Timer Control Register" rbitfld.long 0x0C 2. " ISTATUS ,Physical timer condition status" "Not asserted,Asserted" bitfld.long 0x0C 1. " IMASK ,Physical timer interrupt mask" "Not masked,Masked" bitfld.long 0x0C 0. " ENABLE ,Physical timer enable" "Disabled,Enabled" else hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" endif if ((((per.l(ad:0x028C0000+0x14))&0x100)==0x100)&&(((per.l(ad:0x02890008))&0x100)==0x100)) group.long 0x30++0x0F line.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" line.long 0x04 "CNTV_CVAL_H,Virtual Timer Compare Value High Register" line.long 0x08 "CNTV_TVAL,Virtual TimerValue Register" line.long 0x0C "CNTV_CTL,Virtual Timer Control Register" rbitfld.long 0x0C 2. " ISTATUS ,Virtual timer condition status" "Not asserted,Asserted" bitfld.long 0x0C 1. " IMASK ,Virtual timer interrupt mask" "Not masked,Masked" bitfld.long 0x0C 0. " ENABLE ,Virtual timer enable" "Disabled,Enabled" else hgroup.long 0x30++0x03 hide.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" hgroup.long 0x34++0x03 hide.long 0x00 "CNTV_CVAL_H,Virtual Timer Compare Value Higher Register" hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" endif rgroup.long 0xFD0++0x03 line.long 0x00 "COUNTERID_5,Counter Identification Register 5" else hgroup.long 0x00++0x03 hide.long 0x00 "CNTPCT_L,Physical Count Low Register" hgroup.long 0x04++0x03 hide.long 0x00 "CNTPCT_H,Physical Count High Register" hgroup.long 0x08++0x03 hide.long 0x00 "CNTVCT_L,Virtual Count Low Register" hgroup.long 0x0C++0x03 hide.long 0x00 "CNTVCT_H,Virtual Count High Register" hgroup.long 0x10++0x03 hide.long 0x00 "CNTFRQ,Count Frequency Register" hgroup.long 0x14++0x03 hide.long 0x00 "CNTEL0ACR,Counter EL0 View Access Control Register" hgroup.long 0x18++0x03 hide.long 0x00 "CNTVOFF_L,Virtual Offset Low Register" hgroup.long 0x1C++0x03 hide.long 0x00 "CNTVOFF_H,Virtual Offset High Register" hgroup.long 0x20++0x03 hide.long 0x00 "CNTP_CVAL_L,Physical Timer Compare Value Lower Register" hgroup.long 0x24++0x03 hide.long 0x00 "CNTP_CVAL_H,Physical Timer Compare Value High Register" hgroup.long 0x28++0x03 hide.long 0x00 "CNTP_TVAL,Physical TimerValue Register" hgroup.long 0x2C++0x03 hide.long 0x00 "CNTP_CTL,Physical Timer Control Register" hgroup.long 0x30++0x03 hide.long 0x00 "CNTV_CVAL_L,Virtual Timer Compare Value Lower Register" hgroup.long 0x34++0x03 hide.long 0x00 "CNTV_CVAL_H,Virtual Timer Compare Value Higher Register" hgroup.long 0x38++0x03 hide.long 0x00 "CNTV_TVAL,Virtual TimerValue Register" hgroup.long 0x3C++0x03 hide.long 0x00 "CNTV_CTL,Virtual Timer Control Register" hgroup.long 0xFD0++0x03 hide.long 0x00 "COUNTERID_5,Counter Identification Register 5" endif width 0x0B tree.end tree.end tree.end tree "DC (Device Configuration)" base ad:0x01E00000 width 15. rgroup.long 0x00++0x03 line.long 0x00 "PORSR1,POR Status Register 1" bitfld.long 0x00 23.--26. " RCW_SRC ,Reset configuration word source" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14. " ENG_USE2 ,DDR PLL reference clock select" "DDR_CLK,DIF_SYSCLK" bitfld.long 0x00 7. " SOC ,SoC use" "Not set,Set" rgroup.long 0x20++0x03 line.long 0x00 "GPPORCR1,General-Purpose POR Configuration Register 1" hexmask.long.byte 0x00 0.--7. 1. " POR_CFG_VEC ,General-purpose POR configuration vector" rgroup.long 0x60++0x03 line.long 0x00 "FUSESR,Fuse Status Register" bitfld.long 0x00 7.--11. " DA_ALT_V ,Secondary voltage field for VDD" "0.825V,,0.775V,,,,,,,,,,,,,,0.8V,,0.825V,,0.85V,?..." bitfld.long 0x00 2.--6. " DA_V ,VDD voltage" "0.825V,,0.775V,,,,,,,,,,,,,,0.8V,,0.825V,,0.85V,?..." group.long 0x70++0x17 line.long 0x00 "DEVDISR1,Device Disable Register 1" bitfld.long 0x00 25. " DCE ,DCE disable" "No,Yes" bitfld.long 0x00 22. " SEC ,SEC disable" "No,Yes" bitfld.long 0x00 19. " SATA4 ,SATA4/SAS4/FC4 disable" "No,Yes" bitfld.long 0x00 18. " SATA3 ,SATA3/SAS3/FC3 disable" "No,Yes" newline bitfld.long 0x00 17. " SATA2 ,SATA2/SAS2/FC2 disable" "No,Yes" bitfld.long 0x00 16. " SATA1 ,SATA1/SAS1/FC1 disable" "No,Yes" newline sif cpuis("LX2??0A") bitfld.long 0x00 13. " USB2 ,USB2 disable" "No,Yes" newline endif bitfld.long 0x00 12. " USB1 ,USB1 disable" "No,Yes" bitfld.long 0x00 10. " ESDHC2 ,ESDHC2 disable" "No,Yes" bitfld.long 0x00 8. " QDMA ,QDMA disable" "No,Yes" bitfld.long 0x00 2. " ESDHC1 ,ESDHC1 disable" "No,Yes" line.long 0x04 "DEVDISR2,Device Disable Register 2" bitfld.long 0x04 17. " MAC_18 ,MAC_18 disable" "No,Yes" bitfld.long 0x04 16. " MAC_17 ,MAC_17 disable" "No,Yes" bitfld.long 0x04 15. " MAC_16 ,MAC_16 disable" "No,Yes" bitfld.long 0x04 14. " MAC_15 ,MAC_15 disable" "No,Yes" newline bitfld.long 0x04 13. " MAC_14 ,MAC_14 disable" "No,Yes" bitfld.long 0x04 12. " MAC_13 ,MAC_13 disable" "No,Yes" bitfld.long 0x04 11. " MAC_12 ,MAC_12 disable" "No,Yes" bitfld.long 0x04 10. " MAC_11 ,MAC_11 disable" "No,Yes" newline sif cpuis("LX2??0A") bitfld.long 0x04 9. " MAC_10 ,MAC_10 disable" "No,Yes" bitfld.long 0x04 8. " MAC_9 ,MAC_9 disable" "No,Yes" bitfld.long 0x04 7. " MAC_8 ,MAC_8 disable" "No,Yes" bitfld.long 0x04 6. " MAC_7 ,MAC_7 disable" "No,Yes" newline endif bitfld.long 0x04 5. " MAC_6 ,MAC_6 disable" "No,Yes" bitfld.long 0x04 4. " MAC_5 ,MAC_5 disable" "No,Yes" bitfld.long 0x04 3. " MAC_4 ,MAC_4 disable" "No,Yes" bitfld.long 0x04 2. " MAC_3 ,MAC_3 disable" "No,Yes" newline bitfld.long 0x04 1. " MAC_2 ,MAC_2 disable" "No,Yes" bitfld.long 0x04 0. " MAC_1 ,MAC_1 disable" "No,Yes" line.long 0x08 "DEVDISR3,Device Disable Register 3" bitfld.long 0x08 13. " MC ,MC disable" "No,Yes" bitfld.long 0x08 12. " QBMAN ,QBMAN disable" "No,Yes" newline sif cpuis("LX2??0A") bitfld.long 0x08 5. " PEX6 ,PEX6 disable" "No,Yes" bitfld.long 0x08 4. " PEX5 ,PEX5 disable" "No,Yes" newline endif bitfld.long 0x08 3. " PEX4 ,PEX4 disable" "No,Yes" bitfld.long 0x08 2. " PEX3 ,PEX3 disable" "No,Yes" newline sif cpuis("LX2??0A") bitfld.long 0x08 1. " PEX2 ,PEX2 disable" "No,Yes" newline endif bitfld.long 0x08 0. " PEX1 ,PEX1 disable" "No,Yes" line.long 0x0C "DEVDISR4,Device Disable Register 4" bitfld.long 0x0C 11. " DSPI3 ,DSPI3 disable" "No,Yes" bitfld.long 0x0C 10. " I2C7_I2C8 ,INC7 and I2C8 disable" "No,Yes" bitfld.long 0x0C 9. " I2C4_5_6 ,I2C4/I2C5/I2C6 disable" "No,Yes" bitfld.long 0x0C 8. " I2C3 ,I2C3 disable" "No,Yes" newline bitfld.long 0x0C 5. " DSPI_DSPI2 ,DSPI and DSPI2 disable" "No,Yes" bitfld.long 0x0C 4. " FLEXSPI ,FlexSPI disable" "No,Yes" bitfld.long 0x0C 3. " UART3_4 ,UART3 and UART4 disable" "No,Yes" bitfld.long 0x0C 2. " UART1_2 ,UART1 and UART2 disable" "No,Yes" newline bitfld.long 0x0C 1. " I2C2 ,I2C2 disable" "No,Yes" bitfld.long 0x0C 0. " I2C1 ,I2C1 module disable" "No,Yes" line.long 0x10 "DEVDISR5,Device Disable Register 5" bitfld.long 0x10 23. " SWP_SRAM ,SWP_SRAM disable" "No,Yes" bitfld.long 0x10 20. " PEBM ,PEBM disable" "No,Yes" bitfld.long 0x10 17. " CAN1 ,CAN1 disable" "No,Yes" bitfld.long 0x10 14. " FLEXTIMERS1_2 ,FlexTimers1_2 disable" "No,Yes" newline bitfld.long 0x10 13. " TMU ,TMU disable" "No,Yes" bitfld.long 0x10 12. " OCRAM ,OCRAM disable" "No,Yes" bitfld.long 0x10 10. " DBG ,DBG disable" "No,Yes" bitfld.long 0x10 9. " GPIO ,GPIO disable" "No,Yes" newline sif cpuis("LX2??0A") bitfld.long 0x10 1. " DDR2 ,DDR2 disable" "No,Yes" newline endif bitfld.long 0x10 0. " DDR1 ,DDR1 disable" "No,Yes" line.long 0x14 "DEVDISR6,Device Disable Register 6" bitfld.long 0x14 0. " WRIOP1 ,WRIOP1 disable" "No,Yes" group.long 0x94++0x03 line.long 0x00 "COREDISR,Core Disable Register" bitfld.long 0x00 15. " CD[15] ,Core 15 disable" "No,Yes" bitfld.long 0x00 14. " [14] ,Core 14 disable" "No,Yes" bitfld.long 0x00 13. " [13] ,Core 13 disable" "No,Yes" bitfld.long 0x00 12. " [12] ,Core 12 disable" "No,Yes" newline bitfld.long 0x00 11. " [11] ,Core 11 disable" "No,Yes" bitfld.long 0x00 10. " [10] ,Core 10 disable" "No,Yes" bitfld.long 0x00 9. " [9] ,Core 9 disable" "No,Yes" bitfld.long 0x00 8. " [8] ,Core 8 disable" "No,Yes" newline bitfld.long 0x00 7. " [7] ,Core 7 disable" "No,Yes" bitfld.long 0x00 6. " [6] ,Core 6 disable" "No,Yes" bitfld.long 0x00 5. " [5] ,Core 5 disable" "No,Yes" bitfld.long 0x00 4. " [4] ,Core 4 disable" "No,Yes" newline bitfld.long 0x00 3. " [3] ,Core 3 disable" "No,Yes" bitfld.long 0x00 2. " [2] ,Core 2 disable" "No,Yes" bitfld.long 0x00 1. " [1] ,Core 1 disable" "No,Yes" bitfld.long 0x00 0. " [0] ,Core 0 disable" "No,Yes" rgroup.long 0xA4++0x03 line.long 0x00 "SVR,System Version Register" hexmask.long.byte 0x00 28.--31. 1. " MFR_ID ,Manufacturer ID" hexmask.long.byte 0x00 24.--27. 1. " FAMILY ,Family" hexmask.long.byte 0x00 16.--21. 1. " SOC_DEV_ID ,SoC Device ID" newline bitfld.long 0x00 13. " VAR_PER[13] ,Various personalities - Cluster 7 and 8 disable" "No,Yes" bitfld.long 0x00 12. " [12] ,Various personalities - CAN_FD disable" "No,Yes" newline sif cpuis("LX2??0A") bitfld.long 0x00 11. " [11] ,Various personalities - Package select" "40x40,23x23" newline else bitfld.long 0x00 11. " [11] ,Various personalities - Package select" ",23x23" bitfld.long 0x00 10. " [10] ,Various personalities - CFG_SVR[1]" "0,1" newline endif bitfld.long 0x00 9. " [9] ,Various personalities - Core 1 of each cluster disable" "No,Yes" bitfld.long 0x00 8. " [8] ,Various personalities - Security features disable" "No,Yes" hexmask.long.byte 0x00 4.--7. 1. " MAJOR_REV ,Major revision number" hexmask.long.byte 0x00 0.--3. 1. " MINOR_REV ,Minor revision number" newline rgroup.long 0x100++0x07 line.long 0x00 "RCWSR1,Reset Configuration Word Status 1 Register" bitfld.long 0x00 26.--31. " CGA_PLL1_RAT ,Ratio between the system clock and the CGAn PLL output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 24.--25. " CGA_PLL1_CFG ,Cluster group A PLL 1 configuration" "0,1,2,3" newline bitfld.long 0x00 18.--23. " MEM2_PLL_RAT ,Ratio between the DDR reference clock and the DDR data rate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--17. " MEM2_PLL_CFG ,Memory controller 2 complex PLL configuration" "0,1,2,3" newline bitfld.long 0x00 10.--15. " MEM_PLL_RAT ,Ratio between the DDR reference clock and the DDR data rate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--9. " MEM_PLL_CFG ,Memory controller complex PLL configuration" "0,1,2,3" newline bitfld.long 0x00 2.--6. " SYS_PLL_RAT ,Ratio between the system clock and the SoC's coherency domain" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--1. " SYS_PLL_CFG ,System PLL configuration" "0,1,2,3" line.long 0x04 "RCWSR2,Reset Control Word Status 2" bitfld.long 0x04 26.--31. " CGB_PLL2_RAT ,Ratio between the system clock and the CGA PLL 2 output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. " CGB_PLL2_CFG ,Cluster group B PLL 2 configuration" "0,1,2,3" newline bitfld.long 0x04 18.--23. " CGB_PLL1_RAT ,Ratio between the system clock and the CGB PLL 1 output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 16.--17. " CGB_PLL1_CFG ,Cluster group B PLL 1 configuration" "0,1,2,3" newline bitfld.long 0x04 2.--7. " CGA_PLL2_RAT ,Configures the ratio between the system clock and the CGA PLL 2 output" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 0.--1. " CGA_PLL2_CFG ,Cluster group A PLL 2 configuration" "0,1,2,3" if (((per.l(ad:0x04000000+0x110))&0x30)==0x00) rgroup.long 0x110++0x03 line.long 0x00 "RCWSR5,Reset Control Word Status 5 Register" bitfld.long 0x00 28.--31. " C4_PLL_SEL ,Cluster 4 PLL select" "CGA_PLL1 /1,CGA_PLL1 /2,CGA_PLL1 /4,,CGA_PLL2 /1,CGA_PLL2 /2,CGA_PLL2 /4,?..." bitfld.long 0x00 24.--27. " C3_PLL_SEL ,Cluster 3 PLL select" "CGA_PLL1 /1,CGA_PLL1 /2,CGA_PLL1 /4,,CGA_PLL2 /1,CGA_PLL2 /2,CGA_PLL2 /4,?..." newline bitfld.long 0x00 20.--23. " C2_PLL_SEL ,Cluster 2 PLL select" "CGA_PLL1 /1,CGA_PLL1 /2,CGA_PLL1 /4,,CGA_PLL2 /1,CGA_PLL2 /2,CGA_PLL2 /4,?..." bitfld.long 0x00 16.--19. " C1_PLL_SEL ,Cluster 1 PLL select" "CGA_PLL1 /1,CGA_PLL1 /2,CGA_PLL1 /4,,CGA_PLL2 /1,CGA_PLL2 /2,CGA_PLL2 /4,?..." newline bitfld.long 0x00 8. " CGB_PLL2_SPD ,Cluster group B PLL 2 speed select" "0,1" bitfld.long 0x00 7. " CGB_PLL1_SPD ,Cluster group B PLL 1 speed select" "0,1" newline bitfld.long 0x00 5. " CGA_PLL2_SPD ,Cluster group A PLL 2 select" "0,1" bitfld.long 0x00 4. " CGA_PLL1_SPD ,Cluster group A PLL 1 speed select" "0,1" newline bitfld.long 0x00 2. " MEM2_PLL_SPD ,Memory controller 2 Complex PLL speed select" "0,1" bitfld.long 0x00 1. " MEM_PLL_SPD ,Memory controller Complex PLL speed select" "0,1" newline bitfld.long 0x00 0. " SYS_PLL_SPD ,System PLL speed select" "0,1" elif (((per.l(ad:0x04000000+0x110))&0x30)==0x10) rgroup.long 0x110++0x03 line.long 0x00 "RCWSR5,Reset Control Word Status 5 Register" bitfld.long 0x00 28.--31. " C4_PLL_SEL ,Cluster 4 PLL select" "CGA_PLL1 /1,,CGA_PLL1 /2,,CGA_PLL2 /1,CGA_PLL2 /2,CGA_PLL2 /4,?..." bitfld.long 0x00 24.--27. " C3_PLL_SEL ,Cluster 3 PLL select" "CGA_PLL1 /1,,CGA_PLL1 /2,,CGA_PLL2 /1,CGA_PLL2 /2,CGA_PLL2 /4,?..." newline bitfld.long 0x00 20.--23. " C2_PLL_SEL ,Cluster 2 PLL select" "CGA_PLL1 /1,,CGA_PLL1 /2,,CGA_PLL2 /1,CGA_PLL2 /2,CGA_PLL2 /4,?..." bitfld.long 0x00 16.--19. " C1_PLL_SEL ,Cluster 1 PLL select" "CGA_PLL1 /1,,CGA_PLL1 /2,,CGA_PLL2 /1,CGA_PLL2 /2,CGA_PLL2 /4,?..." newline bitfld.long 0x00 8. " CGB_PLL2_SPD ,Cluster group B PLL 2 speed select" "0,1" bitfld.long 0x00 7. " CGB_PLL1_SPD ,Cluster group B PLL 1 speed select" "0,1" newline bitfld.long 0x00 5. " CGA_PLL2_SPD ,Cluster group A PLL 2 select" "0,1" bitfld.long 0x00 4. " CGA_PLL1_SPD ,Cluster group A PLL 1 speed select" "0,1" newline bitfld.long 0x00 2. " MEM2_PLL_SPD ,Memory controller 2 Complex PLL speed select" "0,1" bitfld.long 0x00 1. " MEM_PLL_SPD ,Memory controller Complex PLL speed select" "0,1" newline bitfld.long 0x00 0. " SYS_PLL_SPD ,System PLL speed select" "0,1" elif (((per.l(ad:0x04000000+0x110))&0x30)==0x20) rgroup.long 0x110++0x03 line.long 0x00 "RCWSR5,Reset Control Word Status 5 Register" bitfld.long 0x00 28.--31. " C4_PLL_SEL ,Cluster 4 PLL select" "CGA_PLL1 /1,CGA_PLL1 /2,CGA_PLL1 /4,,CGA_PLL2 /1,,CGA_PLL2 /2,?..." bitfld.long 0x00 24.--27. " C3_PLL_SEL ,Cluster 3 PLL select" "CGA_PLL1 /1,CGA_PLL1 /2,CGA_PLL1 /4,,CGA_PLL2 /1,,CGA_PLL2 /2,?..." newline bitfld.long 0x00 20.--23. " C2_PLL_SEL ,Cluster 2 PLL select" "CGA_PLL1 /1,CGA_PLL1 /2,CGA_PLL1 /4,,CGA_PLL2 /1,,CGA_PLL2 /2,?..." bitfld.long 0x00 16.--19. " C1_PLL_SEL ,Cluster 1 PLL select" "CGA_PLL1 /1,CGA_PLL1 /2,CGA_PLL1 /4,,CGA_PLL2 /1,,CGA_PLL2 /2,?..." newline bitfld.long 0x00 8. " CGB_PLL2_SPD ,Cluster group B PLL 2 speed select" "0,1" bitfld.long 0x00 7. " CGB_PLL1_SPD ,Cluster group B PLL 1 speed select" "0,1" newline bitfld.long 0x00 5. " CGA_PLL2_SPD ,Cluster group A PLL 2 select" "0,1" bitfld.long 0x00 4. " CGA_PLL1_SPD ,Cluster group A PLL 1 speed select" "0,1" newline bitfld.long 0x00 2. " MEM2_PLL_SPD ,Memory controller 2 Complex PLL speed select" "0,1" bitfld.long 0x00 1. " MEM_PLL_SPD ,Memory controller Complex PLL speed select" "0,1" newline bitfld.long 0x00 0. " SYS_PLL_SPD ,System PLL speed select" "0,1" else rgroup.long 0x110++0x03 line.long 0x00 "RCWSR5,Reset Control Word Status 5 Register" bitfld.long 0x00 28.--31. " C4_PLL_SEL ,Cluster 4 PLL select" "CGA_PLL1 /1,,CGA_PLL1 /2,,CGA_PLL2 /1,,CGA_PLL2 /2,?..." bitfld.long 0x00 24.--27. " C3_PLL_SEL ,Cluster 3 PLL select" "CGA_PLL1 /1,,CGA_PLL1 /2,,CGA_PLL2 /1,,CGA_PLL2 /2,?..." newline bitfld.long 0x00 20.--23. " C2_PLL_SEL ,Cluster 2 PLL select" "CGA_PLL1 /1,,CGA_PLL1 /2,,CGA_PLL2 /1,,CGA_PLL2 /2,?..." bitfld.long 0x00 16.--19. " C1_PLL_SEL ,Cluster 1 PLL select" "CGA_PLL1 /1,,CGA_PLL1 /2,,CGA_PLL2 /1,,CGA_PLL2 /2,?..." newline bitfld.long 0x00 8. " CGB_PLL2_SPD ,Cluster group B PLL 2 speed select" "0,1" bitfld.long 0x00 7. " CGB_PLL1_SPD ,Cluster group B PLL 1 speed select" "0,1" newline bitfld.long 0x00 5. " CGA_PLL2_SPD ,Cluster group A PLL 2 select" "0,1" bitfld.long 0x00 4. " CGA_PLL1_SPD ,Cluster group A PLL 1 speed select" "0,1" newline bitfld.long 0x00 2. " MEM2_PLL_SPD ,Memory controller 2 Complex PLL speed select" "0,1" bitfld.long 0x00 1. " MEM_PLL_SPD ,Memory controller Complex PLL speed select" "0,1" newline bitfld.long 0x00 0. " SYS_PLL_SPD ,System PLL speed select" "0,1" endif if (((per.l(ad:0x04000000+0x110))&0x180)==0x00) rgroup.long 0x114++0x03 line.long 0x00 "RCWSR6,Reset Control Word Status 6 Register" bitfld.long 0x00 25.--27. " HWA_CGB_M1_CLK_SEL ,Hardware accelerator block cluster group B mux 1 clock select" ",PLL1 /1,PLL1 /2,PLL1 /3,PLL1 /4,,PLL2 /2,PLL2 /3" bitfld.long 0x00 12.--15. " C8_PLL_SEL ,Cluster 8 PLL select" "CGB_PLL1 /1,CGB_PLL1 /2,CGB_PLL1 /4,,CGB_PLL2 /1,CGB_PLL2 /2,CGB_PLL2 /4,?..." newline bitfld.long 0x00 8.--11. " C7_PLL_SEL ,Cluster 7 PLL select" "CGB_PLL1 /1,CGB_PLL1 /2,CGB_PLL1 /4,,CGB_PLL2 /1,CGB_PLL2 /2,CGB_PLL2 /4,?..." bitfld.long 0x00 4.--7. " C6_PLL_SEL ,Cluster 6 PLL select" "CGB_PLL1 /1,CGB_PLL1 /2,CGB_PLL1 /4,,CGB_PLL2 /1,CGB_PLL2 /2,CGB_PLL2 /4,?..." newline bitfld.long 0x00 0.--3. " C5_PLL_SEL ,Cluster 5 PLL select" "CGB_PLL1 /1,CGB_PLL1 /2,CGB_PLL1 /4,,CGB_PLL2 /1,CGB_PLL2 /2,CGB_PLL2 /4,?..." elif (((per.l(ad:0x04000000+0x110))&0x180)==0x80) rgroup.long 0x114++0x03 line.long 0x00 "RCWSR6,Reset Control Word Status 6 Register" bitfld.long 0x00 25.--27. " HWA_CGB_M1_CLK_SEL ,Hardware accelerator block cluster group B mux 1 clock select" ",PLL1 /1,PLL1 /2,PLL1 /3,PLL1 /4,,PLL2 /2,PLL2 /3" bitfld.long 0x00 12.--15. " C8_PLL_SEL ,Cluster 8 PLL select" "CGB_PLL1 /1,,CGB_PLL1 /2,,CGB_PLL2 /1,CGB_PLL2 /2,CGB_PLL2 /4,?..." newline bitfld.long 0x00 8.--11. " C7_PLL_SEL ,Cluster 7 PLL select" "CGB_PLL1 /1,,CGB_PLL1 /2,,CGB_PLL2 /1,CGB_PLL2 /2,CGB_PLL2 /4,?..." bitfld.long 0x00 4.--7. " C6_PLL_SEL ,Cluster 6 PLL select" "CGB_PLL1 /1,,CGB_PLL1 /2,,CGB_PLL2 /1,CGB_PLL2 /2,CGB_PLL2 /4,?..." newline bitfld.long 0x00 0.--3. " C5_PLL_SEL ,Cluster 5 PLL select" "CGB_PLL1 /1,,CGB_PLL1 /2,,CGB_PLL2 /1,CGB_PLL2 /2,CGB_PLL2 /4,?..." elif (((per.l(ad:0x04000000+0x110))&0x180)==0x00) rgroup.long 0x114++0x03 line.long 0x00 "RCWSR6,Reset Control Word Status 6 Register" bitfld.long 0x00 25.--27. " HWA_CGB_M1_CLK_SEL ,Hardware accelerator block cluster group B mux 1 clock select" ",PLL1 /1,PLL1 /2,PLL1 /3,PLL1 /4,,PLL2 /2,PLL2 /3" bitfld.long 0x00 12.--15. " C8_PLL_SEL ,Cluster 8 PLL select" "CGB_PLL1 /1,CGB_PLL1 /2,CGB_PLL1 /4,,CGB_PLL2 /1,,CGB_PLL2 /2,?..." newline bitfld.long 0x00 8.--11. " C7_PLL_SEL ,Cluster 7 PLL select" "CGB_PLL1 /1,CGB_PLL1 /2,CGB_PLL1 /4,,CGB_PLL2 /1,,CGB_PLL2 /2,?..." bitfld.long 0x00 4.--7. " C6_PLL_SEL ,Cluster 6 PLL select" "CGB_PLL1 /1,CGB_PLL1 /2,CGB_PLL1 /4,,CGB_PLL2 /1,,CGB_PLL2 /2,?..." newline bitfld.long 0x00 0.--3. " C5_PLL_SEL ,Cluster 5 PLL select" "CGB_PLL1 /1,CGB_PLL1 /2,CGB_PLL1 /4,,CGB_PLL2 /1,,CGB_PLL2 /2,?..." else rgroup.long 0x114++0x03 line.long 0x00 "RCWSR6,Reset Control Word Status 6 Register" bitfld.long 0x00 25.--27. " HWA_CGB_M1_CLK_SEL ,Hardware accelerator block cluster group B mux 1 clock select" ",PLL1 /1,PLL1 /2,PLL1 /3,PLL1 /4,,PLL2 /2,PLL2 /3" bitfld.long 0x00 12.--15. " C8_PLL_SEL ,Cluster 8 PLL select" "CGB_PLL1 /1,,CGB_PLL1 /2,,CGB_PLL2 /1,,CGB_PLL2 /2,?..." newline bitfld.long 0x00 8.--11. " C7_PLL_SEL ,Cluster 7 PLL select" "CGB_PLL1 /1,,CGB_PLL1 /2,,CGB_PLL2 /1,,CGB_PLL2 /2,?..." bitfld.long 0x00 4.--7. " C6_PLL_SEL ,Cluster 6 PLL select" "CGB_PLL1 /1,,CGB_PLL1 /2,,CGB_PLL2 /1,,CGB_PLL2 /2,?..." newline bitfld.long 0x00 0.--3. " C5_PLL_SEL ,Cluster 5 PLL select" "CGB_PLL1 /1,,CGB_PLL1 /2,,CGB_PLL2 /1,,CGB_PLL2 /2,?..." endif sif !cpuis("LX2??0A") rgroup.long 0x118++0x03 line.long 0x00 "RCWSR7,Reset Control Word Status 7 Register" bitfld.long 0x00 19.--20. " DDR_REFCLK_SEL ,Selects the reference clock for the DDR PLL" "0,1,2,3" endif rgroup.long 0x11C++0x0B line.long 0x00 "RCWSR8,Reset Control Word Status 8 Register" bitfld.long 0x00 5.--6. " REQD_CUST_CONFIG_230_229 ,Required customer configuration" "0,1,2,3" line.long 0x04 "RCWSR9,Reset Control Word Status 9 Register" hexmask.long.word 0x04 20.--31. 1. " PBI_LENGTH ,Size in bytes of the PBI image up until the final requires STOP or CRC and STOP command" bitfld.long 0x04 12.--13. " FLASH_CFG1 ,Flash configuration 1" "0,1,2,3" newline bitfld.long 0x04 10. " SB_EN ,Secure boot enable" "Disabled,Enabled" bitfld.long 0x04 9. " BOOT_HO ,Used to specify which cores remain in boot hold off on exiting reset" "0,1" newline bitfld.long 0x04 4.--8. " BOOT_LOC ,Indicates the default boot interface from which the core fetches instructions" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x08 "RCWSR10,Reset Control Word Status 10 Register" bitfld.long 0x08 23. " GPIO_LED_EN ,Enables GPIO output assertion on secure boot failure" "Disabled,Enabled" hexmask.long.byte 0x08 16.--22. 1. " GPIO_LED_NUM ,Selects the GPIO output pin to indicate a secure boot failure when the feature is enabled" newline hexmask.long.word 0x08 4.--13. 1. " SYSCLK_FREQ ,System clock frequency" bitfld.long 0x08 0. " SDBGEN ,Secure debug enable" "Disabled,Enabled" rgroup.long 0x12C++0x0B line.long 0x00 "RCWSR12,Reset Control Word Status 12 Register" bitfld.long 0x00 27.--29. " SDHC1_DIR_PMUX ,SDHC1[CMD/DAT0/DAT123]DIR direction pin configuration" "SDHC1,GPIO_1[14:12],,SPI3_PCS[3:1],SDHC1_DAT[7:5],?..." bitfld.long 0x00 24.--26. " SDHC1_BASE_PMUX ,SDHC1[CMD/DATA[3:0]/CLK/VSEL] base pin configuration" "SDHC1,GPIO_1[21:15],SPI1[SOUT/PCS(2:0)/SCK/PCS3],SDHC1[CMD/DAT(3:0)/CLK/VSEL],SDHC1[CDM/DAT(3:0)/CLK/DAT4],?..." newline bitfld.long 0x00 21.--23. " XSPI1_A_BASE_PMUX ,XSPI1_A[DQS/SCK/SC0_B/C1_B] base pin configuration" "XSPI1_A,GPIO_2[23:20],?..." bitfld.long 0x00 18.--20. " XSPI1_A_DATA_30_PMUX ,XSPI1_A_DATA[3:0] pin configuration" "XSPI1_A,GPIO_2[27:24],?..." newline bitfld.long 0x00 15.--17. " XSPI1_A_DATA_74_PMUX ,XSPI1_A_DATA[7:4] pin configuration" "XSPI1_A,GPIO_2[31:28],?..." bitfld.long 0x00 12.--14. " IIC6_PMUX ,IIC6 pin configuration" "IIC6,GPIO_1[23:22],SDHC2_CLK_SYNC[OUT/IN],?..." newline bitfld.long 0x00 9.--11. " IIC5_PMUX ,IIC5 pin configuration" "IIC5,GPIO[25:24],SDHC1_CLK_SYNC[OUT/IN],SPI3_SOUT/SPI3_SIN,?..." bitfld.long 0x00 6.--8. " IIC4_PMUX ,IIC4 pin configuration" "IIC4,GPIO_1[27:26],CAN2,,,,EVT[8/7]B,?..." newline bitfld.long 0x00 3.--5. " IIC3_PMUX ,IIC3 pin configuration" "IIC3,GPIO_1[29:28],CAN1,,,,EVT[6/5]B,?..." newline bitfld.long 0x00 0.--2. " IIC2_PMUX ,IIC2 pin configuration" "IIC2,GPIO_1[31:30],FlexTimer,,,,SDHC1[CD_B/WP],?..." line.long 0x04 "RCWSR13,Reset Control Word Status 13 Register" bitfld.long 0x04 27.--29. " EVT43_PMUX ,EVT_B[4:3] pin configuration" "EVT,GPIO_3[16:15],FlexTimer,?..." bitfld.long 0x04 24.--26. " IRQ03_00_PMUX ,IRQ[3:0] pin configuration" "IRQ,GPIO_3[3:0],FlexTimer,?..." newline bitfld.long 0x04 21.--23. " IRQ07_04_PMUX ,IRQ[7:4] pin configuration" "IRQ,GPIO_3[7:4],FlexTimer,?..." bitfld.long 0x04 18.--20. " IRQ11_08_PMUX ,IRQ[11:8] pin configuration" "IRQ,GPIO_3[11:8],?..." newline bitfld.long 0x04 15.--17. " UART2_RTSCTS_PMUX ,UART2 RTS and CTS pin configuration" "UART2,GPIO_1[5:4],UART4,?..." bitfld.long 0x04 12.--14. " UART2_SOUTSIN_PMUX ,UART2 SOUT and SIN pin configuration" "UART2,GPIO_1[7:6],?..." newline bitfld.long 0x04 9.--11. " UART1_RTSCTS_PMUX ,UART1 RTS and CTS pin configuration" "UART1,GPIO_1[9:8],UART3,?..." bitfld.long 0x04 6.--8. " UART1_SOUTISN_PMUX ,UART1 SOUT and SIN pin configuration" "UART1,GPIO_1[11:10],?..." newline bitfld.long 0x04 3.--5. " SDHC2_BASE_PMUX ,SDHC2 base pin configuration" "SDHC2,GPIO_2[19/14:9],SPI2,,,,XSPI1_B,?..." bitfld.long 0x04 0.--2. " SDHC2_DAT74_PMUX ,SDHC2_DAT[7:4] pin configuration" "SDHC2,GPIO_2[18:15],I2C7/I2C8,,,,XSPI1_B,?..." line.long 0x08 "RCWSR14,Reset Control Word Status 14 Register" bitfld.long 0x08 10. " IIC1_PMUX ,IIC1 pin configuration" "IIC1,GPIO_1[3:2]" bitfld.long 0x08 9. " RESET_REQ_PMUX ,RESET_REQ_B pin configuration" "RESET_REQ_B,GPIO_2[8]" newline bitfld.long 0x08 6.--8. " ASLEEP_MUX ,ASLEEP pin configuration" "ASLEEP,GPIO_2[6],EVT9_B,?..." bitfld.long 0x08 3.--5. " CLK_OUT_PMUX ,CLK_OUT pin configuration" "CLK_OUT,GPIO_2[7],FlexTimer_FTM1_CH1,?..." newline bitfld.long 0x08 0.--2. " EVT20_PMUX ,EVT_B[2:0] pin configuration" "EVT,GPIO_3[14:12],FlexTimer_FTM[2_CH2/1_CH2/2_CH1],?..." rgroup.long 0x13C++0x03 line.long 0x00 "RCWSR16,Reset Control Word Status 16 Register" sif cpuis("LX2??0A") bitfld.long 0x00 10. " HOST_AGT_PEX6 ,Host/agent PEX6" "Host mode,Agent mode" bitfld.long 0x00 9. " HOST_AGT_PEX5 ,Host/agent PEX5" "Host mode,Agent mode" newline endif bitfld.long 0x00 8. " HOST_AGT_PEX4 ,Host/agent PEX4" "Host mode,Agent mode" bitfld.long 0x00 7. " HOST_AGT_PEX3 ,Host/agent PEX3" "Host mode,Agent mode" newline sif cpuis("LX2??0A") bitfld.long 0x00 6. " HOST_AGT_PEX2 ,Host/agent PEX2" "Host mode,Agent mode" newline endif bitfld.long 0x00 5. " HOST_AGT_PEX1 ,Host/agent PEX1" "Host mode,Agent mode" rgroup.long 0x160++0x03 line.long 0x00 "RCWSR25,Reset Control Word Status 25 Register" rgroup.long 0x168++0x03 line.long 0x00 "RCWSR27,Reset Control Word Status 27 Register" bitfld.long 0x00 6.--7. " SDHC1_DS_PMUX ,SDHC_DS configuration" "SDHC1_DS,GPIO_4[29],SPI3_SCK,?..." bitfld.long 0x00 5. " GTX_CLK_PMUX ,125 MHz EC GTX CLK configuration" "EC_GTX_CLK125,GPIO_4[29]" newline bitfld.long 0x00 4. " USB_EXT_PMUX ,USB1/2[DRVVBUS/PWRFAULT] pin configuration" "USB1/USB2,GPIO_4[28:25]" bitfld.long 0x00 2.--3. " EC2_PMUX ,EC2 pin configuration" "WRIOP MAC 18 RGMII,GPIO_4[23:12],TSEC_1588,?..." newline bitfld.long 0x00 0.--1. " EC1_PMUX ,EC1 pin configuration" "WRIOP MAC 17 RGMII,GPIO_4[11:0],?..." rgroup.long 0x170++0x07 line.long 0x00 "RCWSR29,Reset Control Word Status 29 Register" bitfld.long 0x00 31. " SRDS_REFCLKF_DIS_31 ,SerDes-1 reference clock disable for PLLF" "No,Yes" newline sif cpuis("LX2??0A") bitfld.long 0x00 26.--30. " SRDS_PRTCL_S3 ,SerDes protocol select - SerDes 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline endif bitfld.long 0x00 21.--25. " SRDS_PRTCL_S2 ,SerDes protocol select - SerDes 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. " SRDS_PRTCL_S1 ,SerDes protocol select - SerDes 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline sif cpuis("LX2??0A") bitfld.long 0x00 5. " SRDS_PLL_PD_PLL6 ,SerDes PLL 6 power down" "Powered up,Powered down" bitfld.long 0x00 4. " SRDS_PLL_PD_PLL5 ,SerDes PLL 5 power down" "Powered up,Powered down" newline endif bitfld.long 0x00 3. " SRDS_PLL_PD_PLL4 ,SerDes PLL 4 power down" "Powered up,Powered down" bitfld.long 0x00 2. " SRDS_PLL_PD_PLL3 ,SerDes PLL 3 power down" "Powered up,Powered down" newline bitfld.long 0x00 1. " SRDS_PLL_PD_PLL2 ,SerDes PLL 2 power down" "Powered up,Powered down" bitfld.long 0x00 0. " SRDS_PLL_PD_PLL1 ,SerDes PLL 1 power down" "Powered up,Powered down" line.long 0x04 "RCWSR30,Reset Control Word Status 30 Register" sif cpuis("LX2??0A") bitfld.long 0x04 20.--21. " SRDS_DIV_PEX_S3 ,SerDes frequency divider - SerDes 3" "16G,8G,5G,2.5G" newline endif bitfld.long 0x04 18.--19. " SRDS_DIV_PEX_S2 ,SerDes frequency divider - SerDes 2" "16G,8G,5G,2.5G" bitfld.long 0x04 16.--17. " SRDS_DIV_PEX_S1 ,SerDes frequency divider - SerDes 1" "16G,8G,5G,2.5G" newline bitfld.long 0x04 14. " SRDS_INTRA_REF_CLK_S3 ,SerDes intra reference clock - SerDes 3" "Not implemented,Implemented" bitfld.long 0x04 13. " SRDS_INTRA_REF_CLK_S2 ,SerDes intra reference clock - SerDes 2" "Not implemented,Implemented" newline bitfld.long 0x04 12. " SRDS_INTRA_REF_CLK_S1 ,SerDes intra reference clock - SerDes 1" "Not implemented,Implemented" newline sif cpuis("LX2??0A") bitfld.long 0x04 9. " SRDS_PLL_REF_CLK_SEL_S3[1] ,SerDes PLL reference clock select - SerDes3 PLLS (1.25|2.5|3|5|6|8GHz/3.125|6.25GHz/10.3125GHz protocols)" "100MHz/125MHz/156.25MHz,125MHz/156.25MHz/-" bitfld.long 0x04 8. " SRDS_PLL_REF_CLK_SEL_S3[0] ,SerDes PLL reference clock select - SerDes3 PLLF (1.25|2.5|3|5|6|8GHz/3.125|6.25GHz/10.3125GHz protocols)" "100MHz/125MHz/156.25MHz,125MHz/156.25MHz/-" newline endif bitfld.long 0x04 7. " SRDS_PLL_REF_CLK_SEL_S2[1] ,SerDes PLL reference clock select - SerDes2 PLLS (1.25|2.5|3|5|6|8GHz/3.125|6.25GHz/10.3125GHz protocols)" "100MHz/125MHz/156.25MHz,125MHz/156.25MHz/-" bitfld.long 0x04 6. " SRDS_PLL_REF_CLK_SEL_S2[0] ,SerDes PLL reference clock select - SerDes2 PLLF (1.25|2.5|3|5|6|8GHz/3.125|6.25GHz/10.3125GHz protocols)" "100MHz/125MHz/156.25MHz,125MHz/156.25MHz/-" newline bitfld.long 0x04 5. " SRDS_PLL_REF_CLK_SEL_S1[1] ,SerDes PLL reference clock select - SerDes1 PLLS (1.25|2.5|3|5|6|8GHz/3.125|6.25GHz/10.3125GHz protocols)" "100MHz/125MHz/156.25MHz,125MHz/156.25MHz/-" bitfld.long 0x04 4. " SRDS_PLL_REF_CLK_SEL_S1[0] ,SerDes PLL reference clock select - SerDes1 PLLF (1.25|2.5|3|5|6|8GHz/3.125|6.25GHz/10.3125GHz protocols)" "100MHz/125MHz/156.25MHz,125MHz/156.25MHz/-" newline sif cpuis("LX2??0A") bitfld.long 0x04 1. " SRDS_REFCLKF_DIS_S3 ,SerDes-3 reference clock disable for PLLF" "No,Yes" newline endif bitfld.long 0x04 0. " SRDS_REFCLKF_DIS_S2 ,SerDes-2 reference clock disable for PLLF" "No,Yes" newline sif cpuis("LX2??0A") group.long 0x200++0x03 line.long 0x00 "SCRATCHRW1,Scratch Read / Write Register 1" group.long 0x204++0x03 line.long 0x00 "SCRATCHRW2,Scratch Read / Write Register 2" group.long 0x208++0x03 line.long 0x00 "SCRATCHRW3,Scratch Read / Write Register 3" group.long 0x20C++0x03 line.long 0x00 "SCRATCHRW4,Scratch Read / Write Register 4" group.long 0x210++0x03 line.long 0x00 "SCRATCHRW5,Scratch Read / Write Register 5" group.long 0x214++0x03 line.long 0x00 "SCRATCHRW6,Scratch Read / Write Register 6" group.long 0x218++0x03 line.long 0x00 "SCRATCHRW7,Scratch Read / Write Register 7" group.long 0x21C++0x03 line.long 0x00 "SCRATCHRW8,Scratch Read / Write Register 8" group.long 0x220++0x03 line.long 0x00 "SCRATCHRW9,Scratch Read / Write Register 9" group.long 0x224++0x03 line.long 0x00 "SCRATCHRW10,Scratch Read / Write Register 10" group.long 0x228++0x03 line.long 0x00 "SCRATCHRW11,Scratch Read / Write Register 11" group.long 0x22C++0x03 line.long 0x00 "SCRATCHRW12,Scratch Read / Write Register 12" group.long 0x230++0x03 line.long 0x00 "SCRATCHRW13,Scratch Read / Write Register 13" group.long 0x234++0x03 line.long 0x00 "SCRATCHRW14,Scratch Read / Write Register 14" group.long 0x238++0x03 line.long 0x00 "SCRATCHRW15,Scratch Read / Write Register 15" group.long 0x23C++0x03 line.long 0x00 "SCRATCHRW16,Scratch Read / Write Register 16" else group.long 0x200++0x03 line.long 0x00 "SCRATCHRW1,Scratch Read / Write Register 1" group.long 0x204++0x03 line.long 0x00 "SCRATCHRW2,Scratch Read / Write Register 2" group.long 0x208++0x03 line.long 0x00 "SCRATCHRW3,Scratch Read / Write Register 3" group.long 0x20C++0x03 line.long 0x00 "SCRATCHRW4,Scratch Read / Write Register 4" group.long 0x210++0x03 line.long 0x00 "SCRATCHRW5,Scratch Read / Write Register 5" group.long 0x214++0x03 line.long 0x00 "SCRATCHRW6,Scratch Read / Write Register 6" group.long 0x218++0x03 line.long 0x00 "SCRATCHRW7,Scratch Read / Write Register 7" group.long 0x21C++0x03 line.long 0x00 "SCRATCHRW8,Scratch Read / Write Register 8" group.long 0x220++0x03 line.long 0x00 "SCRATCHRW9,Scratch Read / Write Register 9" group.long 0x224++0x03 line.long 0x00 "SCRATCHRW10,Scratch Read / Write Register 10" group.long 0x228++0x03 line.long 0x00 "SCRATCHRW11,Scratch Read / Write Register 11" group.long 0x22C++0x03 line.long 0x00 "SCRATCHRW12,Scratch Read / Write Register 12" group.long 0x230++0x03 line.long 0x00 "SCRATCHRW13,Scratch Read / Write Register 13" group.long 0x234++0x03 line.long 0x00 "SCRATCHRW14,Scratch Read / Write Register 14" group.long 0x238++0x03 line.long 0x00 "SCRATCHRW15,Scratch Read / Write Register 15" group.long 0x23C++0x03 line.long 0x00 "SCRATCHRW16,Scratch Read / Write Register 16" group.long 0x240++0x03 line.long 0x00 "SCRATCHRW17,Scratch Read / Write Register 17" group.long 0x244++0x03 line.long 0x00 "SCRATCHRW18,Scratch Read / Write Register 18" group.long 0x248++0x03 line.long 0x00 "SCRATCHRW19,Scratch Read / Write Register 19" group.long 0x24C++0x03 line.long 0x00 "SCRATCHRW20,Scratch Read / Write Register 20" group.long 0x250++0x03 line.long 0x00 "SCRATCHRW21,Scratch Read / Write Register 21" group.long 0x254++0x03 line.long 0x00 "SCRATCHRW22,Scratch Read / Write Register 22" group.long 0x258++0x03 line.long 0x00 "SCRATCHRW23,Scratch Read / Write Register 23" group.long 0x25C++0x03 line.long 0x00 "SCRATCHRW24,Scratch Read / Write Register 24" group.long 0x260++0x03 line.long 0x00 "SCRATCHRW25,Scratch Read / Write Register 25" group.long 0x264++0x03 line.long 0x00 "SCRATCHRW26,Scratch Read / Write Register 26" group.long 0x268++0x03 line.long 0x00 "SCRATCHRW27,Scratch Read / Write Register 27" group.long 0x26C++0x03 line.long 0x00 "SCRATCHRW28,Scratch Read / Write Register 28" group.long 0x270++0x03 line.long 0x00 "SCRATCHRW29,Scratch Read / Write Register 29" group.long 0x274++0x03 line.long 0x00 "SCRATCHRW30,Scratch Read / Write Register 30" group.long 0x278++0x03 line.long 0x00 "SCRATCHRW31,Scratch Read / Write Register 31" group.long 0x27C++0x03 line.long 0x00 "SCRATCHRW32,Scratch Read / Write Register 32" endif group.long (0x300+0x0)++0x03 line.long 0x00 "SCRATCHW1R1,Scratch Read Register 1" group.long (0x300+0x4)++0x03 line.long 0x00 "SCRATCHW1R2,Scratch Read Register 2" group.long (0x300+0x8)++0x03 line.long 0x00 "SCRATCHW1R3,Scratch Read Register 3" group.long (0x300+0xC)++0x03 line.long 0x00 "SCRATCHW1R4,Scratch Read Register 4" group.long 0x400++0x07 line.long 0x00 "BOOTLOCPTRL,Boot Location Pointer Low-Order Address" line.long 0x04 "BOOTLOCPTRH,Boot Location Pointer High-Order Address" group.long 0x520++0x07 line.long 0x00 "USB1_AMQR,USB1 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" line.long 0x04 "USB2_AMQR,USB2 Access Management Qualifier Register" bitfld.long 0x04 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x04 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x04 0.--10. 1. " ICID ,Isolation context" group.long 0x530++0x07 line.long 0x00 "SDMM1_AMQR,SD/MMC 1 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" line.long 0x04 "SDMM2_AMQR,SD/MMC 2 Access Management Qualifier Register" bitfld.long 0x04 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x04 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x04 0.--10. 1. " ICID ,Isolation context" group.long 0x550++0x03 line.long 0x00 "SATA1_AMQR,SATA 1 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x554++0x03 line.long 0x00 "SATA2_AMQR,SATA 2 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x558++0x03 line.long 0x00 "SATA3_AMQR,SATA 3 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x55C++0x03 line.long 0x00 "SATA4_AMQR,SATA 4 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x570++0x03 line.long 0x00 "MISC1_AMQR,MISC 1 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x574++0x03 line.long 0x00 "MISC2_AMQR,MISC 2 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x578++0x03 line.long 0x00 "MISC3_AMQR,MISC 3 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x590++0x03 line.long 0x00 "SPARE1_AMQR,SPARE 1 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x594++0x03 line.long 0x00 "SPARE2_AMQR,SPARE 2 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x598++0x03 line.long 0x00 "SPARE3_AMQR,SPARE 3 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x59C++0x03 line.long 0x00 "SPARE4_AMQR,SPARE 4 Access Management Qualifier Register" bitfld.long 0x00 18. " PL ,Privileged level" "Incorrect,Correct" bitfld.long 0x00 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x00 0.--10. 1. " ICID ,Isolation context" group.long 0x620++0x1B line.long 0x00 "GENCR1,General Control Register 1" bitfld.long 0x00 31. " SYSBARDISABLE ,Disable broadcasting of barriers on the system bus" "No,Yes" bitfld.long 0x00 29. " BROADCASTCACHEMAINT ,Enable broadcasting of cache maintenance operations to downstream caches" "Disabled,Enabled" line.long 0x04 "GENCR2,General Control Register 2" bitfld.long 0x04 31. " GTX_CLK125_MUX_SEL ,GTX_CLK125_MUX_SEL" "Low,High" bitfld.long 0x04 30. " DCC_BYP_MUX_SEL ,DCC_BYP_MUX_SEL" "Low,High" line.long 0x08 "GENCR3,General Control Register 3" line.long 0x0C "GENCR4,General Control Register 4" line.long 0x10 "GENCR5,General Control Register 5" line.long 0x14 "GENCR6,General Control Register 6" line.long 0x18 "GENCR7,General Control Register 7" hgroup.long 0x700++0x03 hide.long 0x00 "SRIOPSTECR,SRIO Prescaler Timer Enable Control Register" rgroup.long 0x740++0x03 line.long 0x00 "TP_ITYP0,Topology Initiator Type Register 0" hexmask.long.byte 0x00 0.--7. 1. " INIT_TYPE ,Identifies the type of initiator for this index" rgroup.long 0x744++0x03 line.long 0x00 "TP_ITYP1,Topology Initiator Type Register 1" hexmask.long.byte 0x00 0.--7. 1. " INIT_TYPE ,Identifies the type of initiator for this index" rgroup.long 0x748++0x03 line.long 0x00 "TP_ITYP2,Topology Initiator Type Register 2" hexmask.long.byte 0x00 0.--7. 1. " INIT_TYPE ,Identifies the type of initiator for this index" rgroup.long 0x74C++0x03 line.long 0x00 "TP_ITYP3,Topology Initiator Type Register 3" hexmask.long.byte 0x00 0.--7. 1. " INIT_TYPE ,Identifies the type of initiator for this index" rgroup.long 0x844++0x03 line.long 0x00 "TP_CLUSTER1L,Core Cluster 1 Topology Register" bitfld.long 0x00 30.--31. " EOC ,Last cluster in SoC" "Not last,Last,Last,Last" bitfld.long 0x00 24.--29. " IT_IDX_PC4 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP4 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. " IT_IDX_PC3 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP3 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--13. " IT_IDX_PC2 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP2 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. " IT_IDX_PC1 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP1 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x84C++0x03 line.long 0x00 "TP_CLUSTER2L,Core Cluster 2 Topology Register" bitfld.long 0x00 30.--31. " EOC ,Last cluster in SoC" "Not last,Last,Last,Last" bitfld.long 0x00 24.--29. " IT_IDX_PC4 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP4 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. " IT_IDX_PC3 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP3 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--13. " IT_IDX_PC2 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP2 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. " IT_IDX_PC1 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP1 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x854++0x03 line.long 0x00 "TP_CLUSTER3L,Core Cluster 3 Topology Register" bitfld.long 0x00 30.--31. " EOC ,Last cluster in SoC" "Not last,Last,Last,Last" bitfld.long 0x00 24.--29. " IT_IDX_PC4 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP4 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. " IT_IDX_PC3 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP3 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--13. " IT_IDX_PC2 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP2 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. " IT_IDX_PC1 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP1 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x85C++0x03 line.long 0x00 "TP_CLUSTER4L,Core Cluster 4 Topology Register" bitfld.long 0x00 30.--31. " EOC ,Last cluster in SoC" "Not last,Last,Last,Last" bitfld.long 0x00 24.--29. " IT_IDX_PC4 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP4 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. " IT_IDX_PC3 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP3 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--13. " IT_IDX_PC2 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP2 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. " IT_IDX_PC1 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP1 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x864++0x03 line.long 0x00 "TP_CLUSTER5L,Core Cluster 5 Topology Register" bitfld.long 0x00 30.--31. " EOC ,Last cluster in SoC" "Not last,Last,Last,Last" bitfld.long 0x00 24.--29. " IT_IDX_PC4 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP4 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. " IT_IDX_PC3 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP3 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--13. " IT_IDX_PC2 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP2 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. " IT_IDX_PC1 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP1 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x86C++0x03 line.long 0x00 "TP_CLUSTER6L,Core Cluster 6 Topology Register" bitfld.long 0x00 30.--31. " EOC ,Last cluster in SoC" "Not last,Last,Last,Last" bitfld.long 0x00 24.--29. " IT_IDX_PC4 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP4 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. " IT_IDX_PC3 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP3 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--13. " IT_IDX_PC2 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP2 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. " IT_IDX_PC1 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP1 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x874++0x03 line.long 0x00 "TP_CLUSTER7L,Core Cluster 7 Topology Register" bitfld.long 0x00 30.--31. " EOC ,Last cluster in SoC" "Not last,Last,Last,Last" bitfld.long 0x00 24.--29. " IT_IDX_PC4 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP4 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. " IT_IDX_PC3 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP3 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--13. " IT_IDX_PC2 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP2 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. " IT_IDX_PC1 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP1 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x87C++0x03 line.long 0x00 "TP_CLUSTER8L,Core Cluster 8 Topology Register" bitfld.long 0x00 30.--31. " EOC ,Last cluster in SoC" "Not last,Last,Last,Last" bitfld.long 0x00 24.--29. " IT_IDX_PC4 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP4 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 16.--21. " IT_IDX_PC3 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP3 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 8.--13. " IT_IDX_PC2 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP2 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--5. " IT_IDX_PC1 ,Provides a 6-bit index for accessing an entry stored in TP_ITYP1 register" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x900++0x03 line.long 0x00 "FLEXSPICR1,FlexSPI Control Register" bitfld.long 0x00 0.--4. " FLEXSPI_CLK_DIV ,FlexSPI clock divisor" ",/2,,/4,,/6,,/8,,,,/12,,,,/16,/20,/24,/28,/32,/80,?..." group.long 0x920++0x07 line.long 0x00 "IOQOSCR1,I/O Quality Of Services Register" bitfld.long 0x00 0.--3. " QOS1 ,Quality of service 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "IOQOSCR2,I/O Quality Of Services Register" bitfld.long 0x04 8.--11. " QOS2 ,Quality of service 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " QOS1 ,Quality of service 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x928++0x03 line.long 0x00 "IOQOSCR3,I/O Quality Of Services Register" bitfld.long 0x00 0.--3. " QOS1 ,Quality of service 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x92C++0x03 line.long 0x00 "IOQOSCR4,I/O Quality Of Services Register" bitfld.long 0x00 0.--3. " QOS1 ,Quality of service 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x930++0x03 line.long 0x00 "IOQOSCR5,I/O Quality Of Services Register" bitfld.long 0x00 0.--3. " QOS1 ,Quality of service 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x934++0x03 line.long 0x00 "IOQOSCR6,I/O Quality Of Services Register" bitfld.long 0x00 0.--3. " QOS1 ,Quality of service 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x938++0x03 line.long 0x00 "IOQOSCR7,I/O Quality Of Services Register" bitfld.long 0x00 0.--3. " QOS1 ,Quality of service 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x93C++0x03 line.long 0x00 "IOQOSCR8,I/O Quality Of Services Register" bitfld.long 0x00 0.--3. " QOS1 ,Quality of service 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x940++0x03 line.long 0x00 "UCCR,UART Connectivity Control Register" bitfld.long 0x00 29. " EN2 ,Enables channel 2 UART" "Disabled,Enabled" bitfld.long 0x00 28. " EN1 ,Enables channel 1 UART" "Disabled,Enabled" bitfld.long 0x00 4.--7. " CH2_SEL ,Channel 2 UART connectivity select" "UART1,UART2,UART3,UART4,?..." bitfld.long 0x00 0.--3. " CH1_SEL ,Channel 1 UART connectivity select" "UART1,UART2,UART3,UART4,?..." group.long 0x960++0x03 line.long 0x00 "FTMCR,FlexTimer Control Register" bitfld.long 0x00 0. " CASC02_01 ,Cascade Flex Timer 2 to Flex Timer 1" "Not cascaded,Cascaded" rgroup.long 0x990++0x03 line.long 0x00 "COREDISABLESR,Core Disable Status Register" bitfld.long 0x00 15. " CD[15] ,Core 15 disable" "No,Yes" bitfld.long 0x00 14. " [14] ,Core 14 disable" "No,Yes" bitfld.long 0x00 13. " [13] ,Core 13 disable" "No,Yes" bitfld.long 0x00 12. " [12] ,Core 12 disable" "No,Yes" newline bitfld.long 0x00 11. " [11] ,Core 11 disable" "No,Yes" bitfld.long 0x00 10. " [10] ,Core 10 disable" "No,Yes" bitfld.long 0x00 9. " [9] ,Core 9 disable" "No,Yes" bitfld.long 0x00 8. " [8] ,Core 8 disable" "No,Yes" newline bitfld.long 0x00 7. " [7] ,Core 7 disable" "No,Yes" bitfld.long 0x00 6. " [6] ,Core 6 disable" "No,Yes" bitfld.long 0x00 5. " [5] ,Core 5 disable" "No,Yes" bitfld.long 0x00 4. " [4] ,Core 4 disable" "No,Yes" newline bitfld.long 0x00 3. " [3] ,Core 3 disable" "No,Yes" bitfld.long 0x00 2. " [2] ,Core 2 disable" "No,Yes" bitfld.long 0x00 1. " [1] ,Core 1 disable" "No,Yes" bitfld.long 0x00 0. " [0] ,Core 0 disable" "No,Yes" group.long 0xA00++0x03 line.long 0x00 "SDBGCR,Secure Debug Configuration Register" bitfld.long 0x00 0. " SDBG_NS ,Secure debug TZ not secure" "No,Yes" rgroup.long 0xBF8++0x07 line.long 0x00 "IBRR1,IP Block Revision Register 1" hexmask.long.word 0x00 16.--31. 1. " IP_ID ,IP block ID" hexmask.long.byte 0x00 8.--15. 1. " IP_MJ ,Major revision" hexmask.long.byte 0x00 0.--7. 1. " IP_MN ,Minor revision" line.long 0x04 "IPBRR2,IP Block Revision Register 2" hexmask.long.byte 0x04 16.--23. 1. " IP_INT ,IP block integration options" hexmask.long.byte 0x04 0.--7. 1. " IP_CFG ,IP block configuration options" base ad:0x100000 group.long 0x520++0x0B "DCFG_DCSR Registers" line.long 0x00 "ECCCR1,ECC Control Register 1" bitfld.long 0x00 31. " FLEXSPI ,FlexSPI ECC enable" "Disabled,Enabled" bitfld.long 0x00 30. " SATA ,SATA ECC enable" "Disabled,Enabled" bitfld.long 0x00 27. " PCS_2 ,PCS class 2 (100G) ECC enable" "Disabled,Enabled" bitfld.long 0x00 26. " PCS_1 ,PCS class 1 (XFI) ECC enable" "Disabled,Enabled" newline bitfld.long 0x00 18. " DP-SDTC1 ,DP-SDTC1 ECC enable" "Disabled,Enabled" newline sif cpuis("LX2??0A") bitfld.long 0x00 17. " PCIE6 ,PCIE6 ECC enable" "Disabled,Enabled" bitfld.long 0x00 16. " PCIE5 ,PCIE5 ECC enable" "Disabled,Enabled" newline endif bitfld.long 0x00 15. " PCIE4 ,PCIE4 ECC enable" "Disabled,Enabled" bitfld.long 0x00 14. " PCIE3 ,PCIE3 ECC enable" "Disabled,Enabled" newline sif cpuis("LX2??0A") bitfld.long 0x00 13. " PCIE2 ,PCIE2 ECC enable" "Disabled,Enabled" newline endif bitfld.long 0x00 12. " PCIE1 ,PCIE1 ECC enable" "Disabled,Enabled" bitfld.long 0x00 9. " SDTC2 ,SDTC2 ECC enable" "Disabled,Enabled" bitfld.long 0x00 8. " SDTC1 ,SDTC1 ECC enable" "Disabled,Enabled" bitfld.long 0x00 7. " CDTC ,CDTC ECC enable" "Disabled,Enabled" newline sif cpuis("LX2??0A") bitfld.long 0x00 3. " USBC2 ,USBC2 ECC enable" "Disabled,Enabled" newline endif bitfld.long 0x00 2. " USBC1 ,USBC1 ECC enable" "Disabled,Enabled" bitfld.long 0x00 0. " ESDHC ,ESDHC ECC enable" "Disabled,Enabled" line.long 0x04 "ECCCR2,ECC Control Register 2" sif cpuis("LX2??0A") bitfld.long 0x04 19. " DDRC2_MC ,DDRC2_MC ECC enable" "Disabled,Enabled" newline endif bitfld.long 0x04 18. " DDRC1_MC ,DDRC1_MC ECC enable" "Disabled,Enabled" bitfld.long 0x04 17. " MCC ,MCC ECC enable" "Disabled,Enabled" bitfld.long 0x04 15. " DCE ,DCE ECC enable" "Disabled,Enabled" bitfld.long 0x04 13. " QBMAN ,QBMAN ECC enable" "Disabled,Enabled" newline bitfld.long 0x04 12. " QDMA ,QDMA ECC enable" "Disabled,Enabled" bitfld.long 0x04 11. " WRIOP1 ,WRIOP1 ECC enable" "Disabled,Enabled" bitfld.long 0x04 6. " SMMU ,SMMU ECC enable" "Disabled,Enabled" bitfld.long 0x04 4. " PEBM ,PEBM ECC enable" "Disabled,Enabled" newline bitfld.long 0x04 3. " OCRAM ,OCRAM ECC enable" "Disabled,Enabled" bitfld.long 0x04 2. " SPC ,SPC ECC enable" "Disabled,Enabled" bitfld.long 0x04 1. " GIC ,GIC ECC enable" "Disabled,Enabled" bitfld.long 0x04 0. " SWP_SRAM ,SWP_SRAM ECC enable" "Disabled,Enabled" line.long 0x08 "ECCCR3,ECC Control Register 3" sif cpuis("LX2??0A") bitfld.long 0x08 1. " DDRC2_MC_2ND ,DDRC2_MC secondary set ECC enable" "Disabled,Enabled" newline endif bitfld.long 0x08 0. " DDRC1_MC_2ND ,DDRC1_MC secondary set ECC enable" "Disabled,Enabled" group.long 0x530++0x0B line.long 0x00 "SBEESR1,Single Bit ECC Status Register 1" bitfld.long 0x00 31. " FLEXSPI ,FlexSPI single bit ECC error" "No error,Error" bitfld.long 0x00 30. " SATA ,SATA single bit ECC error" "No error,Error" bitfld.long 0x00 27. " PCS_2 ,PCS class 2 (100G) single bit ECC error" "No error,Error" bitfld.long 0x00 26. " PCS_1 ,PCS class 1 (XFI) single bit ECC error" "No error,Error" newline bitfld.long 0x00 18. " DP-SDTC1 ,DP-SDTC1 single bit ECC error" "No error,Error" newline sif cpuis("LX2??0A") bitfld.long 0x00 17. " PCIE6 ,PCIE6 single bit ECC error" "No error,Error" bitfld.long 0x00 16. " PCIE5 ,PCIE5 single bit ECC error" "No error,Error" newline endif bitfld.long 0x00 15. " PCIE4 ,PCIE4 single bit ECC error" "No error,Error" bitfld.long 0x00 14. " PCIE3 ,PCIE3 single bit ECC error" "No error,Error" newline sif cpuis("LX2??0A") bitfld.long 0x00 13. " PCIE2 ,PCIE2 single bit ECC error" "No error,Error" newline endif bitfld.long 0x00 12. " PCIE1 ,PCIE1 single bit ECC error" "No error,Error" bitfld.long 0x00 9. " SDTC2 ,SDTC2 single bit ECC error" "No error,Error" bitfld.long 0x00 8. " SDTC1 ,SDTC1 single bit ECC error" "No error,Error" bitfld.long 0x00 7. " CDTC ,CDTC single bit ECC error" "No error,Error" newline sif cpuis("LX2??0A") bitfld.long 0x00 3. " USBC2 ,USBC2 single bit ECC error" "No error,Error" newline endif bitfld.long 0x00 2. " USBC1 ,USBC1 single bit ECC error" "No error,Error" bitfld.long 0x00 0. " ESDHC ,ESDHC single bit ECC error" "No error,Error" line.long 0x04 "SBEESR2,Single Bit ECC Status Register 2" sif cpuis("LX2??0A") bitfld.long 0x04 19. " DDRC2_MC ,DDRC2_MC single bit ECC error" "No error,Error" newline endif bitfld.long 0x04 18. " DDRC1_MC ,DDRC1_MC single bit ECC error" "No error,Error" bitfld.long 0x04 17. " MCC ,MCC single bit ECC error" "No error,Error" bitfld.long 0x04 15. " DCE ,DCE single bit ECC error" "No error,Error" bitfld.long 0x04 13. " QBMAN ,QBMAN single bit ECC error" "No error,Error" newline bitfld.long 0x04 12. " QDMA ,QDMA single bit ECC error" "No error,Error" bitfld.long 0x04 11. " WRIOP1 ,WRIOP1 single bit ECC error" "No error,Error" bitfld.long 0x04 6. " SMMU ,SMMU single bit ECC error" "No error,Error" bitfld.long 0x04 4. " PEBM ,PEBM single bit ECC error" "No error,Error" newline bitfld.long 0x04 3. " OCRAM ,OCRAM single bit ECC error" "No error,Error" bitfld.long 0x04 2. " SPC ,SPC single bit ECC error" "No error,Error" bitfld.long 0x04 1. " GIC ,GIC single bit ECC error" "No error,Error" bitfld.long 0x04 0. " SWP_SRAM ,SWP_SRAM single bit ECC error" "No error,Error" line.long 0x08 "SBEESR3,Single Bit ECC Status Register 3" sif cpuis("LX2??0A") bitfld.long 0x08 1. " DDRC2_MC_2ND ,DDRC2_MC secondary set single bit ECC error" "No error,Error" newline endif bitfld.long 0x08 0. " DDRC1_MC_2ND ,DDRC1_MC secondary set single bit ECC error" "No error,Error" group.long 0x540++0x0B line.long 0x00 "MBEESR1,Multi Bit ECC Status Register 1" bitfld.long 0x00 31. " FLEXSPI ,FlexSPI multi bit ECC error" "No error,Error" bitfld.long 0x00 30. " SATA ,SATA multi bit ECC error" "No error,Error" bitfld.long 0x00 27. " PCS_2 ,PCS class 2 (100G) multi bit ECC error" "No error,Error" bitfld.long 0x00 26. " PCS_1 ,PCS class 1 (XFI) multi bit ECC error" "No error,Error" newline bitfld.long 0x00 18. " DP-SDTC1 ,DP-SDTC1 multi bit ECC error" "No error,Error" newline sif cpuis("LX2??0A") bitfld.long 0x00 17. " PCIE6 ,PCIE6 multi bit ECC error" "No error,Error" bitfld.long 0x00 16. " PCIE5 ,PCIE5 multi bit ECC error" "No error,Error" newline endif bitfld.long 0x00 15. " PCIE4 ,PCIE4 multi bit ECC error" "No error,Error" bitfld.long 0x00 14. " PCIE3 ,PCIE3 multi bit ECC error" "No error,Error" newline sif cpuis("LX2??0A") bitfld.long 0x00 13. " PCIE2 ,PCIE2 multi bit ECC error" "No error,Error" newline endif bitfld.long 0x00 12. " PCIE1 ,PCIE1 multi bit ECC error" "No error,Error" rbitfld.long 0x00 9. " SDTC2 ,SDTC2 multi bit ECC error" "No error,Error" rbitfld.long 0x00 8. " SDTC1 ,SDTC1 multi bit ECC error" "No error,Error" rbitfld.long 0x00 7. " CDTC ,CDTC multi bit ECC error" "No error,Error" newline sif cpuis("LX2??0A") rbitfld.long 0x00 3. " USBC2 ,USBC2 multi bit ECC error" "No error,Error" newline endif rbitfld.long 0x00 2. " USBC1 ,USBC1 multi bit ECC error" "No error,Error" rbitfld.long 0x00 0. " ESDHC ,ESDHC multi bit ECC error" "No error,Error" line.long 0x04 "MBEESR2,Multi Bit ECC Status Register 2" sif cpuis("LX2??0A") bitfld.long 0x04 19. " DDRC2_MC ,DDRC2_MC multi bit ECC error" "No error,Error" newline else eventfld.long 0x04 19. " IP19 ,IP block ECC status" "Not detected,Detected" newline endif bitfld.long 0x04 18. " DDRC1_MC ,DDRC1_MC multi bit ECC error" "No error,Error" bitfld.long 0x04 17. " MCC ,MCC multi bit ECC error" "No error,Error" bitfld.long 0x04 15. " DCE ,DCE multi bit ECC error" "No error,Error" bitfld.long 0x04 13. " QBMAN ,QBMAN multi bit ECC error" "No error,Error" newline bitfld.long 0x04 12. " QDMA ,QDMA multi bit ECC error" "No error,Error" bitfld.long 0x04 11. " WRIOP1 ,WRIOP1 multi bit ECC error" "No error,Error" bitfld.long 0x04 6. " SMMU ,SMMU multi bit ECC error" "No error,Error" bitfld.long 0x04 4. " PEBM ,PEBM multi bit ECC error" "No error,Error" newline bitfld.long 0x04 3. " OCRAM ,OCRAM multi bit ECC error" "No error,Error" bitfld.long 0x04 2. " SPC ,SPC multi bit ECC error" "No error,Error" bitfld.long 0x04 1. " GIC ,GIC multi bit ECC error" "No error,Error" bitfld.long 0x04 0. " SWP_SRAM ,SWP_SRAM multi bit ECC error" "No error,Error" line.long 0x08 "MBEESR3,Multi Bit ECC Status Register 3" sif cpuis("LX2??0A") bitfld.long 0x08 1. " DDRC2_MC_2ND ,DDRC2_MC secondary set multi bit ECC error" "No error,Error" newline endif bitfld.long 0x08 0. " DDRC1_MC_2ND ,DDRC1_MC secondary set multi bit ECC error" "No error,Error" base ad:0x1FF0000 width 10. group.long 0xE60++0x03 "Pin Control Registers" line.long 0x00 "DDRCLKDR,DDR Clock Disable Register" bitfld.long 0x00 31. " D1_MCK0_DIS ,DDR controller 1 clock 0 disable" "No,Yes" bitfld.long 0x00 30. " D1_MCK1_DIS ,DDR controller 1 clock 1 disable" "No,Yes" newline sif cpuis("LX2??0A") bitfld.long 0x00 29. " D1_MCK2_DIS ,DDR controller 1 clock 2 disable" "No,Yes" bitfld.long 0x00 28. " D1_MCK3_DIS ,DDR controller 1 clock 3 disable" "No,Yes" bitfld.long 0x00 23. " D2_MCK0_DIS ,DDR controller 2 clock 0 disable" "No,Yes" bitfld.long 0x00 22. " D2_MCK1_DIS ,DDR controller 2 clock 1 disable" "No,Yes" newline bitfld.long 0x00 21. " D2_MCK2_DIS ,DDR controller 2 clock 2 disable" "No,Yes" bitfld.long 0x00 20. " D2_MCK3_DIS ,DDR controller 2 clock 3 disable" "No,Yes" endif width 0x0B tree.end tree "SCFG (Supplemental Configuration Unit)" base ad:0x01FC8000 width 33. group.long 0x00++0x03 line.long 0x00 "QOS_RNI_SEL,Quality Of Service For RNI Select Register" bitfld.long 0x00 31. " WR_QOS_20_0 ,Write QOS selection for RNI-20.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 30. " RD_QOS_20_0 ,Read QOS selection for RNI-20.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 29. " WR_QOS_4_2 ,Write QOS selection for RNI-4.2" "DCFG_QOSCR,NOC_QOS" newline bitfld.long 0x00 28. " RD_QOS_4_2 ,Read QOS selection for RNI-4.2" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 25. " WR_QOS_17_0 ,Write QOS selection for RNI-17.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 24. " RD_QOS_17_0 ,Read QOS selection for RNI-17.0" "DCFG_QOSCR,NOC_QOS" newline bitfld.long 0x00 21. " WR_QOS_26_0 ,Write QOS selection for RNI-26.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 20. " RD_QOS_26_0 ,Read QOS selection for RNI-26.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 17. " WR_QOS_10_0 ,Write QOS selection for RNI-10.0" "DCFG_QOSCR,NOC_QOS" newline bitfld.long 0x00 16. " RD_QOS_10_0 ,Read QOS selection for RNI-10.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 13. " WR_QOS_1_0 ,Write QOS selection for RNI-1.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 12. " RD_QOS_1_0 ,Read QOS selection for RNI-1.0" "DCFG_QOSCR,NOC_QOS" newline bitfld.long 0x00 9. " WR_QOS_23_0 ,Write QOS selection for RNI-23.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 8. " RD_QOS_23_0 ,Read QOS selection for RNI-23.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 5. " WR_QOS_7_0 ,Write QOS selection for RNI-7.0" "DCFG_QOSCR,NOC_QOS" newline bitfld.long 0x00 4. " RD_QOS_7_0 ,Read QOS selection for RNI-7.0" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 1. " WR_QOS_1_1 ,Write QOS selection for RNI-1.1" "DCFG_QOSCR,NOC_QOS" bitfld.long 0x00 0. " RD_QOS_1_1 ,Read QOS selection for RNI-1.1" "DCFG_QOSCR,NOC_QOS" sif cpuis("LX2160A*") group.long 0x1C++0x03 line.long 0x00 "QDMA_RBP,QDMA RBP Register" bitfld.long 0x00 1. " QDMA_RBP_TBU6 ,Implementation restore for TBU6" "RPB bits sent,Restored" bitfld.long 0x00 0. " QDMA_RBP_TBU5 ,Implementation restore for TBU5" "RPB bits sent,Restored" group.long 0x24++0x07 line.long 0x00 "PEX_1_4_RBP,PEX 1-4 RBP Register" bitfld.long 0x00 10. " PEX2_SELECT ,Switch to inverted version of NoSnoop from PEX2" "Default,Inverted" bitfld.long 0x00 9. " PEX1_SELECT ,Switch to inverted version of NoSnoop from PEX1" "Default,Inverted" bitfld.long 0x00 4. " PEX4_SELECT ,Switch to inverted version of NoSnoop from PEX4" "Default,Inverted" newline bitfld.long 0x00 3. " PEX3_SELECT ,Switch to inverted version of NoSnoop from PEX3" "Default,Inverted" bitfld.long 0x00 0. " BLOCK_AR_AW_RBP_ON_PEX3 ,Block all the RBP Read/Write attributes to PEX3" "Allowed,Blocked" line.long 0x04 "PEX_5_6_RBP,PEX 5-6 RBP Register" bitfld.long 0x04 4. " PEX6_SELECT ,Switch to inverted version of NoSnoop from PEX6" "Default,Inverted" bitfld.long 0x04 3. " PEX5_SELECT ,Switch to inverted version of NoSnoop from PEX5" "Default,Inverted" bitfld.long 0x04 0. " BLOCK_AR_AW_RBP_ON_PEX5 ,Block all the RBP Read/Write attributes to PEX5" "Allowed,Blocked" endif group.long 0x34++0x03 line.long 0x00 "PEX_PEER_TO_PEER,PEX Route Select Register" bitfld.long 0x00 2. " PEX_PEER_TO_PEER_READ ,Enable read channel steering withing HSIO NoC" "Disabled,Enabled" bitfld.long 0x00 1. " PEX_PEER_TO_PEER_WRITE ,Enable write channel steering withing HSIO NoC" "Disabled,Enabled" group.long 0x44++0x03 line.long 0x00 "RES_CAL,Resistance Calibration For Various Hard IPs" bitfld.long 0x00 31. " ENALBE_REC_CAL ,Resistance calibration reference selection" "Fuse,SCFG" bitfld.long 0x00 0.--3. " CGU_TMC_PLL_CAL ,Resistance calibration for CGU TMC PLL" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x58++0x07 line.long 0x00 "GEN_CFG_CNTRL,General Configuration Control Register" bitfld.long 0x00 5. " SEL_SDHC2_GATE_IN ,SDHC2 MUX gate input select pin" "Not selected,Selected" bitfld.long 0x00 4. " MASK_L1_CACHE_DEGUB_RESET ,Mask bit for disabling the L1 cache reset" "Disabled,Enabled" sif cpuis("LX2??0A") newline bitfld.long 0x00 3. " LYNX3_CB_POWERDOWN_OVERRIDE ,LYNX3_CB powerdown disable" "No,Yes" endif newline bitfld.long 0x00 2. " LYNX2_CB_POWERDOWN_OVERRIDE ,LYNX2_CB powerdown disable" "No,Yes" bitfld.long 0x00 1. " LYNX1_CB_POWERDOWN_OVERRIDE ,LYNX1_CB powerdown disable" "No,Yes" bitfld.long 0x00 0. " MDIO1_OPEN_DRAIN ,Open drain for MDIO1 enable" "Disabled,Enabled" line.long 0x04 "MAIA_CORE_BROADCAST_CFG,Inner/Outer Shareable Transactions Configuration Register" bitfld.long 0x04 29. " MAIA_CLUSTER8_BROADCASTOUTER ,Broadcast outer shareable transactions for Maia cluster 8 enable bit" "Disabled,Enabled" bitfld.long 0x04 28. " MAIA_CLUSTER8_BROADCASTINNER ,Broadcast inner shareable transactions for Maia cluster 8 enable bit" "Disabled,Enabled" bitfld.long 0x04 25. " MAIA_CLUSTER7_BROADCASTOUTER ,Broadcast outer shareable transactions for Maia cluster 7 enable bit" "Disabled,Enabled" newline bitfld.long 0x04 24. " MAIA_CLUSTER7_BROADCASTINNER ,Broadcast inner shareable transactions for Maia cluster 7 enable bit" "Disabled,Enabled" bitfld.long 0x04 21. " MAIA_CLUSTER6_BROADCASTOUTER ,Broadcast outer shareable transactions for Maia cluster 6 enable bit" "Disabled,Enabled" bitfld.long 0x04 20. " MAIA_CLUSTER6_BROADCASTINNER ,Broadcast inner shareable transactions for Maia cluster 6 enable bit" "Disabled,Enabled" newline bitfld.long 0x04 17. " MAIA_CLUSTER5_BROADCASTOUTER ,Broadcast outer shareable transactions for Maia cluster 5 enable bit" "Disabled,Enabled" bitfld.long 0x04 16. " MAIA_CLUSTER5_BROADCASTINNER ,Broadcast inner shareable transactions for Maia cluster 5 enable bit" "Disabled,Enabled" bitfld.long 0x04 13. " MAIA_CLUSTER4_BROADCASTOUTER ,Broadcast outer shareable transactions for Maia cluster 4 enable bit" "Disabled,Enabled" newline bitfld.long 0x04 12. " MAIA_CLUSTER4_BROADCASTINNER ,Broadcast inner shareable transactions for Maia cluster 4 enable bit" "Disabled,Enabled" bitfld.long 0x04 9. " MAIA_CLUSTER3_BROADCASTOUTER ,Broadcast outer shareable transactions for Maia cluster 3 enable bit" "Disabled,Enabled" bitfld.long 0x04 8. " MAIA_CLUSTER3_BROADCASTINNER ,Broadcast inner shareable transactions for Maia cluster 3 enable bit" "Disabled,Enabled" newline bitfld.long 0x04 5. " MAIA_CLUSTER2_BROADCASTOUTER ,Broadcast outer shareable transactions for Maia cluster 2 enable bit" "Disabled,Enabled" bitfld.long 0x04 4. " MAIA_CLUSTER2_BROADCASTINNER ,Broadcast inner shareable transactions for Maia cluster 2 enable bit" "Disabled,Enabled" bitfld.long 0x04 1. " MAIA_CLUSTER1_BROADCASTOUTER ,Broadcast outer shareable transactions for Maia cluster 1 enable bit" "Disabled,Enabled" newline bitfld.long 0x04 0. " MAIA_CLUSTER1_BROADCASTINNER ,Broadcast inner shareable transactions for Maia cluster 1 enable bit" "Disabled,Enabled" rgroup.long 0x68++0x0B line.long 0x00 "CAN_WRAPPER_1_ECC_ERROR_ADDRESS,CAN Wrapper 1 ECC Error Address Status Register" line.long 0x04 "CAN_WRAPPER_2_ECC_ERROR_ADDRESS,CAN Wrapper 2 ECC Error Address Status Register" line.long 0x08 "MEMORY_INITIALIZATION_STATUS,Memory Initialization Status Register" bitfld.long 0x08 1. " FLEXSPI_RX_BUF_MEM_INIT_DONE ,FLEXSPI RX BUFFER memory initialization status bit" "0,1" bitfld.long 0x08 0. " OCRAM_INIT_DONE ,OCRAM initialization status" "0,1" group.long 0x4C++0x07 "SCFG_SerDes Registers" line.long 0x00 "RECOV_CLK_SEL_RCLK0,SerDes_1_2_RECOVERED_CLOCK_SELECT_FOR_RCLK0_PAD" bitfld.long 0x00 15. " SERDES_1_2_RECOVERED_CLOCK_SELECT_FOR_RCLK0_PAD[15] ,SerDes 2 lane 7 recovered clock select" "Not selected,Selected" bitfld.long 0x00 14. " [14] ,SerDes 2 lane 6 recovered clock select" "Not selected,Selected" bitfld.long 0x00 13. " [13] ,SerDes 2 lane 5 recovered clock select" "Not selected,Selected" bitfld.long 0x00 12. " [12] ,SerDes 2 lane 4 recovered clock select" "Not selected,Selected" newline bitfld.long 0x00 11. " [11] ,SerDes 2 lane 3 recovered clock select" "Not selected,Selected" bitfld.long 0x00 10. " [10] ,SerDes 2 lane 2 recovered clock select" "Not selected,Selected" bitfld.long 0x00 9. " [9] ,SerDes 2 lane 1 recovered clock select" "Not selected,Selected" bitfld.long 0x00 8. " [8] ,SerDes 2 lane 0 recovered clock select" "Not selected,Selected" newline bitfld.long 0x00 7. " [7] ,SerDes 1 lane 7 recovered clock select" "Not selected,Selected" bitfld.long 0x00 6. " [6] ,SerDes 1 lane 6 recovered clock select" "Not selected,Selected" bitfld.long 0x00 5. " [5] ,SerDes 1 lane 5 recovered clock select" "Not selected,Selected" bitfld.long 0x00 4. " [4] ,SerDes 1 lane 4 recovered clock select" "Not selected,Selected" newline bitfld.long 0x00 3. " [3] ,SerDes 1 lane 3 recovered clock select" "Not selected,Selected" bitfld.long 0x00 2. " [2] ,SerDes 1 lane 2 recovered clock select" "Not selected,Selected" bitfld.long 0x00 1. " [1] ,SerDes 1 lane 1 recovered clock select" "Not selected,Selected" bitfld.long 0x00 0. " [0] ,SerDes 1 lane 0 recovered clock select" "Not selected,Selected" line.long 0x04 "RECOV_CLK_SEL_RCLK1,SerDes_1_2_RECOVERED_CLOCK_SELECT_FOR_RCLK1_PAD" bitfld.long 0x04 15. " LYNX_1_2_RECOVERED_CLOCK_SELECT_FOR_RCLK1_PAD[15] ,SerDes 2 lane 7 recovered clock select" "Not selected,Selected" bitfld.long 0x04 14. " [14] ,SerDes 2 lane 6 recovered clock select" "Not selected,Selected" bitfld.long 0x04 13. " [13] ,SerDes 2 lane 5 recovered clock select" "Not selected,Selected" bitfld.long 0x04 12. " [12] ,SerDes 2 lane 4 recovered clock select" "Not selected,Selected" newline bitfld.long 0x04 11. " [11] ,SerDes 2 lane 3 recovered clock select" "Not selected,Selected" bitfld.long 0x04 10. " [10] ,SerDes 2 lane 2 recovered clock select" "Not selected,Selected" bitfld.long 0x04 9. " [9] ,SerDes 2 lane 1 recovered clock select" "Not selected,Selected" bitfld.long 0x04 8. " [8] ,SerDes 2 lane 0 recovered clock select" "Not selected,Selected" newline bitfld.long 0x04 7. " [7] ,SerDes 1 lane 7 recovered clock select" "Not selected,Selected" bitfld.long 0x04 6. " [6] ,SerDes 1 lane 6 recovered clock select" "Not selected,Selected" bitfld.long 0x04 5. " [5] ,SerDes 1 lane 5 recovered clock select" "Not selected,Selected" bitfld.long 0x04 4. " [4] ,SerDes 1 lane 4 recovered clock select" "Not selected,Selected" newline bitfld.long 0x04 3. " [3] ,SerDes 1 lane 3 recovered clock select" "Not selected,Selected" bitfld.long 0x04 2. " [2] ,SerDes 1 lane 2 recovered clock select" "Not selected,Selected" bitfld.long 0x04 1. " [1] ,SerDes 1 lane 1 recovered clock select" "Not selected,Selected" bitfld.long 0x04 0. " [0] ,SerDes 1 lane 0 recovered clock select" "Not selected,Selected" width 0x0B tree.end tree.open "DPAA2 (Data Path Acceleration Architecture)" tree "LCFG (DPAA2 Configuration Space)" base ad:0x8240000 width 8. rgroup.long 0x00++0x0F line.long 0x00 "TBSALR,Translation Bypass Start Address Low Register" hexmask.long.byte 0x00 26.--31. 0x04 " TBSA ,Translation bypass start address low" line.long 0x04 "TBSAHR,Translation Bypass Start Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " TBSA ,Translation bypass start address high" line.long 0x08 "TBEALR,Translation Bypass End Address Low Register" hexmask.long.byte 0x08 26.--31. 0x04 " TBEA ,Translation bypass end address low" line.long 0x0C "TBEAHR,Translation Bypass End Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TBEA ,Translation bypass end address high" width 0x0B tree.end ; tree "SGE (Scatter/Gather Entry)" ; base ad:0x00 ; %include lx2160/dpaa2/sge.ph ad:0x00 ; tree.end ; tree "FLE (Frame List Entry)" ; base ad:0x00 ; %include lx2160/dpaa2/fle.ph ad:0x00 ; tree.end tree "QMAN/BMAN (Queue Manager/Buffer Manager)" tree "QMAN_CCSR" base ad:0x08180000 width 19. if (((per.l(ad:0x08180000+0x04))&0x01)==0x01) group.long 0x00++0x03 line.long 0x00 "SWP_CCSR_IDX,Software Portals CCSR Index Register" hexmask.long.word 0x00 0.--9. 1. " SWP_IDX ,Software portal index" else rgroup.long 0x00++0x03 line.long 0x00 "SWP_CCSR_IDX,Software Portals CCSR Index Register" hexmask.long.word 0x00 0.--9. 1. " SWP_IDX ,Software portal index" endif group.long 0x04++0x03 line.long 0x00 "SWP_CCSR_IDX_LOCK,Software Portals CCSR Index Lock" eventfld.long 0x00 0. " L ,Lock bit" "Not locked,Locked" if (((per.l(ad:0x08180000+0x08))&0x1000000)==0x1000000) group.long 0x08++0x03 line.long 0x00 "SWP_CCSR_CFG,Software Portal CCSR Configuration Register" bitfld.long 0x00 31. " E ,Portal enable" "Disabled,Enabled" bitfld.long 0x00 30. " R ,Portal reset" "No reset,Reset" bitfld.long 0x00 29. " BDI ,Bypass DPAA resource isolation disable" "No,Yes" newline bitfld.long 0x00 24. " SS ,SDEST source" "Programmed,Updated" rhexmask.long.byte 0x00 16.--23. 1. " SDEST ,Stashing destination" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.word 0x00 0.--14. 1. " ICID ,Isolation context ID" else group.long 0x08++0x03 line.long 0x00 "SWP_CCSR_CFG,Software Portal CCSR Configuration Register" bitfld.long 0x00 31. " E ,Portal enable" "Disabled,Enabled" bitfld.long 0x00 30. " R ,Portal reset" "No reset,Reset" bitfld.long 0x00 29. " BDI ,Bypass DPAA resource isolation disable" "No,Yes" newline bitfld.long 0x00 24. " SS ,SDEST source" "Programmed,Updated" hexmask.long.byte 0x00 16.--23. 1. " SDEST ,Stashing destination" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.word 0x00 0.--14. 1. " ICID ,Isolation context ID" endif group.long 0x0C++0x03 line.long 0x00 "SWP_DD_CFG,Software Portal Dynamic Debug Configuration" bitfld.long 0x00 29. " EMM ,Enqueue marking mode" "Overwrite mode,Bitwise OR mode" bitfld.long 0x00 28. " EM ,Enqueue marking" "Disabled,Enabled" bitfld.long 0x00 27. " TP1_T ,Trace point 1 type" "Command trace point,Complete trace point" bitfld.long 0x00 24.--26. " TP1_CFG ,Trace point 1 configuration" "Disabled,1,2,3,Enabled,1,2,3" newline bitfld.long 0x00 23. " TP1_MASK[3] ,Trace point 1 mask value 3" "Not included,Included" bitfld.long 0x00 22. " [2] ,Trace point 1 mask value 2" "Not included,Included" bitfld.long 0x00 21. " [1] ,Trace point 1 mask value 1" "Not included,Included" bitfld.long 0x00 20. " [0] ,Trace point 1 mask value 0" "Not included,Included" newline bitfld.long 0x00 16.--19. " TP1_CMPV ,Trace point 1 compare value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " EMDD ,Enqueue marking DD code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 11. " TP0_T ,Trace point 0 type" "Command trace point,Complete trace point" bitfld.long 0x00 8.--10. " TP0_CFG ,Trace point 0 configuration" "Disabled,1,2,3,Enabled,1,2,3" newline bitfld.long 0x00 7. " TP0_MASK[3] ,Trace point 0 mask value 3" "Not included,Included" bitfld.long 0x00 6. " [2] ,Trace point 0 mask value 2" "Not included,Included" bitfld.long 0x00 5. " [1] ,Trace point 0 mask value 1" "Not included,Included" bitfld.long 0x00 4. " [0] ,Trace point 0 mask value 0" "Not included,Included" newline bitfld.long 0x00 0.--3. " TP0_CMPV ,Trace point 0 compare value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" width 13. tree "Software Portal Static Dequeue Mapping Registers" if (((per.l(ad:0x08180000+0x10))&0x10000)==0x10000) group.long 0x10++0x03 line.long 0x00 "SWP_SDQMR0,Software Portal Static Dequeue Mapping Register 0" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x10++0x03 line.long 0x00 "SWP_SDQMR0,Software Portal Static Dequeue Mapping Register 0" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x14))&0x10000)==0x10000) group.long 0x14++0x03 line.long 0x00 "SWP_SDQMR1,Software Portal Static Dequeue Mapping Register 1" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x14++0x03 line.long 0x00 "SWP_SDQMR1,Software Portal Static Dequeue Mapping Register 1" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x18))&0x10000)==0x10000) group.long 0x18++0x03 line.long 0x00 "SWP_SDQMR2,Software Portal Static Dequeue Mapping Register 2" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x18++0x03 line.long 0x00 "SWP_SDQMR2,Software Portal Static Dequeue Mapping Register 2" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x1C))&0x10000)==0x10000) group.long 0x1C++0x03 line.long 0x00 "SWP_SDQMR3,Software Portal Static Dequeue Mapping Register 3" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x1C++0x03 line.long 0x00 "SWP_SDQMR3,Software Portal Static Dequeue Mapping Register 3" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x20))&0x10000)==0x10000) group.long 0x20++0x03 line.long 0x00 "SWP_SDQMR4,Software Portal Static Dequeue Mapping Register 4" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x20++0x03 line.long 0x00 "SWP_SDQMR4,Software Portal Static Dequeue Mapping Register 4" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x24))&0x10000)==0x10000) group.long 0x24++0x03 line.long 0x00 "SWP_SDQMR5,Software Portal Static Dequeue Mapping Register 5" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x24++0x03 line.long 0x00 "SWP_SDQMR5,Software Portal Static Dequeue Mapping Register 5" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x28))&0x10000)==0x10000) group.long 0x28++0x03 line.long 0x00 "SWP_SDQMR6,Software Portal Static Dequeue Mapping Register 6" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x28++0x03 line.long 0x00 "SWP_SDQMR6,Software Portal Static Dequeue Mapping Register 6" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x2C))&0x10000)==0x10000) group.long 0x2C++0x03 line.long 0x00 "SWP_SDQMR7,Software Portal Static Dequeue Mapping Register 7" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x2C++0x03 line.long 0x00 "SWP_SDQMR7,Software Portal Static Dequeue Mapping Register 7" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x30))&0x10000)==0x10000) group.long 0x30++0x03 line.long 0x00 "SWP_SDQMR8,Software Portal Static Dequeue Mapping Register 8" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x30++0x03 line.long 0x00 "SWP_SDQMR8,Software Portal Static Dequeue Mapping Register 8" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x34))&0x10000)==0x10000) group.long 0x34++0x03 line.long 0x00 "SWP_SDQMR9,Software Portal Static Dequeue Mapping Register 9" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x34++0x03 line.long 0x00 "SWP_SDQMR9,Software Portal Static Dequeue Mapping Register 9" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x38))&0x10000)==0x10000) group.long 0x38++0x03 line.long 0x00 "SWP_SDQMR10,Software Portal Static Dequeue Mapping Register 10" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x38++0x03 line.long 0x00 "SWP_SDQMR10,Software Portal Static Dequeue Mapping Register 10" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x3C))&0x10000)==0x10000) group.long 0x3C++0x03 line.long 0x00 "SWP_SDQMR11,Software Portal Static Dequeue Mapping Register 11" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x3C++0x03 line.long 0x00 "SWP_SDQMR11,Software Portal Static Dequeue Mapping Register 11" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x40))&0x10000)==0x10000) group.long 0x40++0x03 line.long 0x00 "SWP_SDQMR12,Software Portal Static Dequeue Mapping Register 12" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x40++0x03 line.long 0x00 "SWP_SDQMR12,Software Portal Static Dequeue Mapping Register 12" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x44))&0x10000)==0x10000) group.long 0x44++0x03 line.long 0x00 "SWP_SDQMR13,Software Portal Static Dequeue Mapping Register 13" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x44++0x03 line.long 0x00 "SWP_SDQMR13,Software Portal Static Dequeue Mapping Register 13" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x48))&0x10000)==0x10000) group.long 0x48++0x03 line.long 0x00 "SWP_SDQMR14,Software Portal Static Dequeue Mapping Register 14" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x48++0x03 line.long 0x00 "SWP_SDQMR14,Software Portal Static Dequeue Mapping Register 14" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x4C))&0x10000)==0x10000) group.long 0x4C++0x03 line.long 0x00 "SWP_SDQMR15,Software Portal Static Dequeue Mapping Register 15" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--11. 1. " CHAN ,Channel ID" else group.long 0x4C++0x03 line.long 0x00 "SWP_SDQMR15,Software Portal Static Dequeue Mapping Register 15" bitfld.long 0x00 16. " E ,Enable" "Disabled,Enabled" endif tree.end newline width 18. group.long 0x50++0x03 line.long 0x00 "SWP_INT_MSG,Software Portal Interrupt Message Payload Register" hexmask.long.word 0x00 0.--15. 1. " INT_MSG ,Interrupt message payload" group.long 0x70++0x07 line.long 0x00 "SWP_PM_CFG_A,SWP Performance Monitor Configuration A" bitfld.long 0x00 23. " DD_MASK[3] ,DD mask value 3" "Not included,Included" bitfld.long 0x00 22. " [2] ,DD mask value 2" "Not included,Included" bitfld.long 0x00 21. " [1] ,DD mask value 1" "Not included,Included" bitfld.long 0x00 20. " [0] ,DD mask value 0" "Not included,Included" newline bitfld.long 0x00 19. " DD_CMPV[3] ,DD compare value 3" "Not included,Included" bitfld.long 0x00 18. " [2] ,DD compare value 2" "Not included,Included" bitfld.long 0x00 17. " [1] ,DD compare value 1" "Not included,Included" bitfld.long 0x00 16. " [0] ,DD compare value 0" "Not included,Included" newline bitfld.long 0x00 11. " FE ,Filter enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. " SWPID ,Software portal ID" line.long 0x04 "SWP_PM_CFG_B,SWP Performance Monitor Configuration B" bitfld.long 0x04 23. " DD_MASK[3] ,DD mask value 3" "Not included,Included" bitfld.long 0x04 22. " [2] ,DD mask value 2" "Not included,Included" bitfld.long 0x04 21. " [1] ,DD mask value 1" "Not included,Included" bitfld.long 0x04 20. " [0] ,DD mask value 0" "Not included,Included" newline bitfld.long 0x04 19. " DD_CMPV[3] ,DD compare value 3" "Not included,Included" bitfld.long 0x04 18. " [2] ,DD compare value 2" "Not included,Included" bitfld.long 0x04 17. " [1] ,DD compare value 1" "Not included,Included" bitfld.long 0x04 16. " [0] ,DD compare value 0" "Not included,Included" newline bitfld.long 0x04 11. " FE ,Filter enable" "Disabled,Enabled" hexmask.long.word 0x04 0.--9. 1. " SWPID ,Software portal ID" group.long 0x80++0x07 line.long 0x00 "SWP_INT_MSG_BAR,SWP Interrupt Message Base Address Register" hexmask.long 0x00 2.--31. 0x04 " ADDR ,Interrupt message base address" line.long 0x04 "SWP_INT_MSG_BARE,SWP Interrupt Message Base Address Register Extended" hexmask.long.tbyte 0x04 0.--16. 0x01 " ADDR ,Interrupt message base address" group.long 0x90++0x03 line.long 0x00 "SWP_INTW0_CFG,Software Portal Interrupt Wire 0 Configure" bitfld.long 0x00 31. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. " SWP_IDX ,Software portal index" group.long 0x94++0x03 line.long 0x00 "SWP_INTW1_CFG,Software Portal Interrupt Wire 1 Configure" bitfld.long 0x00 31. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. " SWP_IDX ,Software portal index" group.long 0x98++0x03 line.long 0x00 "SWP_INTW2_CFG,Software Portal Interrupt Wire 2 Configure" bitfld.long 0x00 31. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. " SWP_IDX ,Software portal index" group.long 0x9C++0x03 line.long 0x00 "SWP_INTW3_CFG,Software Portal Interrupt Wire 3 Configure" bitfld.long 0x00 31. " E ,Enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--9. 1. " SWP_IDX ,Software portal index" group.long 0xD0++0x03 line.long 0x00 "SWP_SRCID_MAP,SWP Source ID Mapping Table Configuration Register" hexmask.long.byte 0x00 8.--15. 1. " SDEST ,SDEST value" hexmask.long.byte 0x00 0.--7. 1. " SRCID ,Source ID value" group.long 0xE0++0x03 line.long 0x00 "SWP_AR0_CMD,Software Portal Access Register Set 0 Command" bitfld.long 0x00 31. " E ,Command execute" "Empty/completed,In progress" bitfld.long 0x00 29. " T ,Command type" "Read,Write" hexmask.long.word 0x00 16.--25. 1. " SWP_IDX ,Software portal index" bitfld.long 0x00 12. " C ,Cache location access" "CENA,CINH" hexmask.long.word 0x00 0.--11. 0x01 " P_OFFSET ,Offset within the portal" group.long 0xE4++0x03 line.long 0x00 "SWP_AR1_CMD,Software Portal Access Register Set 1 Command" bitfld.long 0x00 31. " E ,Command execute" "Empty/completed,In progress" bitfld.long 0x00 29. " T ,Command type" "Read,Write" hexmask.long.word 0x00 16.--25. 1. " SWP_IDX ,Software portal index" bitfld.long 0x00 12. " C ,Cache location access" "CENA,CINH" hexmask.long.word 0x00 0.--11. 0x01 " P_OFFSET ,Offset within the portal" group.long 0xE8++0x03 line.long 0x00 "SWP_AR2_CMD,Software Portal Access Register Set 2 Command" bitfld.long 0x00 31. " E ,Command execute" "Empty/completed,In progress" bitfld.long 0x00 29. " T ,Command type" "Read,Write" hexmask.long.word 0x00 16.--25. 1. " SWP_IDX ,Software portal index" bitfld.long 0x00 12. " C ,Cache location access" "CENA,CINH" hexmask.long.word 0x00 0.--11. 0x01 " P_OFFSET ,Offset within the portal" group.long 0xEC++0x03 line.long 0x00 "SWP_AR3_CMD,Software Portal Access Register Set 3 Command" bitfld.long 0x00 31. " E ,Command execute" "Empty/completed,In progress" bitfld.long 0x00 29. " T ,Command type" "Read,Write" hexmask.long.word 0x00 16.--25. 1. " SWP_IDX ,Software portal index" bitfld.long 0x00 12. " C ,Cache location access" "CENA,CINH" hexmask.long.word 0x00 0.--11. 0x01 " P_OFFSET ,Offset within the portal" width 16. tree "Software Portal Access Registers" group.long 0x100++0x3F line.long 0x00 "SWP_AR0_DATA0,Software Portal Access Register Set 0 Data 0" line.long 0x04 "SWP_AR0_DATA1,Software Portal Access Register Set 0 Data 1" line.long 0x08 "SWP_AR0_DATA2,Software Portal Access Register Set 0 Data 2" line.long 0x0C "SWP_AR0_DATA3,Software Portal Access Register Set 0 Data 3" line.long 0x10 "SWP_AR0_DATA4,Software Portal Access Register Set 0 Data 4" line.long 0x14 "SWP_AR0_DATA5,Software Portal Access Register Set 0 Data 5" line.long 0x18 "SWP_AR0_DATA6,Software Portal Access Register Set 0 Data 6" line.long 0x1C "SWP_AR0_DATA7,Software Portal Access Register Set 0 Data 7" line.long 0x20 "SWP_AR0_DATA8,Software Portal Access Register Set 0 Data 8" line.long 0x24 "SWP_AR0_DATA9,Software Portal Access Register Set 0 Data 9" line.long 0x28 "SWP_AR0_DATA10,Software Portal Access Register Set 0 Data 10" line.long 0x2C "SWP_AR0_DATA11,Software Portal Access Register Set 0 Data 11" line.long 0x30 "SWP_AR0_DATA12,Software Portal Access Register Set 0 Data 12" line.long 0x34 "SWP_AR0_DATA13,Software Portal Access Register Set 0 Data 13" line.long 0x38 "SWP_AR0_DATA14,Software Portal Access Register Set 0 Data 14" line.long 0x3C "SWP_AR0_DATA15,Software Portal Access Register Set 0 Data 15" group.long 0x140++0x3F line.long 0x00 "SWP_AR1_DATA0,Software Portal Access Register Set 1 Data 0" line.long 0x04 "SWP_AR1_DATA1,Software Portal Access Register Set 1 Data 1" line.long 0x08 "SWP_AR1_DATA2,Software Portal Access Register Set 1 Data 2" line.long 0x0C "SWP_AR1_DATA3,Software Portal Access Register Set 1 Data 3" line.long 0x10 "SWP_AR1_DATA4,Software Portal Access Register Set 1 Data 4" line.long 0x14 "SWP_AR1_DATA5,Software Portal Access Register Set 1 Data 5" line.long 0x18 "SWP_AR1_DATA6,Software Portal Access Register Set 1 Data 6" line.long 0x1C "SWP_AR1_DATA7,Software Portal Access Register Set 1 Data 7" line.long 0x20 "SWP_AR1_DATA8,Software Portal Access Register Set 1 Data 8" line.long 0x24 "SWP_AR1_DATA9,Software Portal Access Register Set 1 Data 9" line.long 0x28 "SWP_AR1_DATA10,Software Portal Access Register Set 1 Data 10" line.long 0x2C "SWP_AR1_DATA11,Software Portal Access Register Set 1 Data 11" line.long 0x30 "SWP_AR1_DATA12,Software Portal Access Register Set 1 Data 12" line.long 0x34 "SWP_AR1_DATA13,Software Portal Access Register Set 1 Data 13" line.long 0x38 "SWP_AR1_DATA14,Software Portal Access Register Set 1 Data 14" line.long 0x3C "SWP_AR1_DATA15,Software Portal Access Register Set 1 Data 15" group.long 0x180++0x3F line.long 0x00 "SWP_AR2_DATA0,Software Portal Access Register Set 2 Data 0" line.long 0x04 "SWP_AR2_DATA1,Software Portal Access Register Set 2 Data 1" line.long 0x08 "SWP_AR2_DATA2,Software Portal Access Register Set 2 Data 2" line.long 0x0C "SWP_AR2_DATA3,Software Portal Access Register Set 2 Data 3" line.long 0x10 "SWP_AR2_DATA4,Software Portal Access Register Set 2 Data 4" line.long 0x14 "SWP_AR2_DATA5,Software Portal Access Register Set 2 Data 5" line.long 0x18 "SWP_AR2_DATA6,Software Portal Access Register Set 2 Data 6" line.long 0x1C "SWP_AR2_DATA7,Software Portal Access Register Set 2 Data 7" line.long 0x20 "SWP_AR2_DATA8,Software Portal Access Register Set 2 Data 8" line.long 0x24 "SWP_AR2_DATA9,Software Portal Access Register Set 2 Data 9" line.long 0x28 "SWP_AR2_DATA10,Software Portal Access Register Set 2 Data 10" line.long 0x2C "SWP_AR2_DATA11,Software Portal Access Register Set 2 Data 11" line.long 0x30 "SWP_AR2_DATA12,Software Portal Access Register Set 2 Data 12" line.long 0x34 "SWP_AR2_DATA13,Software Portal Access Register Set 2 Data 13" line.long 0x38 "SWP_AR2_DATA14,Software Portal Access Register Set 2 Data 14" line.long 0x3C "SWP_AR2_DATA15,Software Portal Access Register Set 2 Data 15" group.long 0x1C0++0x3F line.long 0x00 "SWP_AR3_DATA0,Software Portal Access Register Set 3 Data 0" line.long 0x04 "SWP_AR3_DATA1,Software Portal Access Register Set 3 Data 1" line.long 0x08 "SWP_AR3_DATA2,Software Portal Access Register Set 3 Data 2" line.long 0x0C "SWP_AR3_DATA3,Software Portal Access Register Set 3 Data 3" line.long 0x10 "SWP_AR3_DATA4,Software Portal Access Register Set 3 Data 4" line.long 0x14 "SWP_AR3_DATA5,Software Portal Access Register Set 3 Data 5" line.long 0x18 "SWP_AR3_DATA6,Software Portal Access Register Set 3 Data 6" line.long 0x1C "SWP_AR3_DATA7,Software Portal Access Register Set 3 Data 7" line.long 0x20 "SWP_AR3_DATA8,Software Portal Access Register Set 3 Data 8" line.long 0x24 "SWP_AR3_DATA9,Software Portal Access Register Set 3 Data 9" line.long 0x28 "SWP_AR3_DATA10,Software Portal Access Register Set 3 Data 10" line.long 0x2C "SWP_AR3_DATA11,Software Portal Access Register Set 3 Data 11" line.long 0x30 "SWP_AR3_DATA12,Software Portal Access Register Set 3 Data 12" line.long 0x34 "SWP_AR3_DATA13,Software Portal Access Register Set 3 Data 13" line.long 0x38 "SWP_AR3_DATA14,Software Portal Access Register Set 3 Data 14" line.long 0x3C "SWP_AR3_DATA15,Software Portal Access Register Set 3 Data 15" tree.end newline width 21. group.long 0x200++0x03 line.long 0x00 "QMAN_DD_CFG,QMan DD Configuration" rbitfld.long 0x00 31. " L ,Lossy mode" "Lossless,Lossy" rbitfld.long 0x00 30. " F ,FIFO full" "Not full,Full" bitfld.long 0x00 20. " MM ,Marking mode" "Overwrite,Bitwise OR" bitfld.long 0x00 16.--19. " MDD ,Order restoration deferral marking dynamic debug code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15. " M_CFG[15] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 14. " [14] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 13. " [13] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 12. " [12] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 11. " [11] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " [10] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 9. " [9] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 8. " [8] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 7. " [7] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 6. " [6] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" newline bitfld.long 0x00 5. " [5] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 4. " [4] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 3. " [3] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 2. " [2] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" bitfld.long 0x00 1. " [1] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " [0] ,Order restoration deferral marking configuration enable" "Disabled,Enabled" if (((per.l(ad:0x08180000+0x208))&0x01)==0x01) rgroup.long 0x204++0x03 line.long 0x00 "SWP_DD_IDX,Software Portals DD Index Register" bitfld.long 0x00 5.--9. " SWP_IDX ,Software portal index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" else group.long 0x204++0x03 line.long 0x00 "SWP_DD_IDX,Software Portals DD Index Register" bitfld.long 0x00 5.--9. " SWP_IDX ,Software portal index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" endif group.long 0x208++0x03 line.long 0x00 "SWP_DD_IDX_LOCK,Software Portals DD Index Lock" eventfld.long 0x00 0. " L ,Lock bit" "Not locked,Locked" if (((per.l(ad:0x08180000+0x204))&0x3E0)==0x00) group.long 0x210++0x03 line.long 0x00 "SWP_DD_IHRSR,Software Portal DD Internal Halt Request Status" eventfld.long 0x00 31. " IHRS[31] ,Internal halt request status for software portal 31" "Not requested,Requested" eventfld.long 0x00 30. " [30] ,Internal halt request status for software portal 30" "Not requested,Requested" eventfld.long 0x00 29. " [29] ,Internal halt request status for software portal 29" "Not requested,Requested" eventfld.long 0x00 28. " [28] ,Internal halt request status for software portal 28" "Not requested,Requested" eventfld.long 0x00 27. " [27] ,Internal halt request status for software portal 27" "Not requested,Requested" newline eventfld.long 0x00 26. " [26] ,Internal halt request status for software portal 26" "Not requested,Requested" eventfld.long 0x00 25. " [25] ,Internal halt request status for software portal 25" "Not requested,Requested" eventfld.long 0x00 24. " [24] ,Internal halt request status for software portal 24" "Not requested,Requested" eventfld.long 0x00 23. " [23] ,Internal halt request status for software portal 23" "Not requested,Requested" eventfld.long 0x00 22. " [22] ,Internal halt request status for software portal 22" "Not requested,Requested" newline eventfld.long 0x00 21. " [21] ,Internal halt request status for software portal 21" "Not requested,Requested" eventfld.long 0x00 20. " [20] ,Internal halt request status for software portal 20" "Not requested,Requested" eventfld.long 0x00 19. " [19] ,Internal halt request status for software portal 19" "Not requested,Requested" eventfld.long 0x00 18. " [18] ,Internal halt request status for software portal 18" "Not requested,Requested" eventfld.long 0x00 17. " [17] ,Internal halt request status for software portal 17" "Not requested,Requested" newline eventfld.long 0x00 16. " [16] ,Internal halt request status for software portal 16" "Not requested,Requested" eventfld.long 0x00 15. " [15] ,Internal halt request status for software portal 15" "Not requested,Requested" eventfld.long 0x00 14. " [14] ,Internal halt request status for software portal 14" "Not requested,Requested" eventfld.long 0x00 13. " [13] ,Internal halt request status for software portal 13" "Not requested,Requested" eventfld.long 0x00 12. " [12] ,Internal halt request status for software portal 12" "Not requested,Requested" newline eventfld.long 0x00 11. " [11] ,Internal halt request status for software portal 11" "Not requested,Requested" eventfld.long 0x00 10. " [10] ,Internal halt request status for software portal 10" "Not requested,Requested" eventfld.long 0x00 9. " [9] ,Internal halt request status for software portal 9" "Not requested,Requested" eventfld.long 0x00 8. " [8] ,Internal halt request status for software portal 8" "Not requested,Requested" eventfld.long 0x00 7. " [7] ,Internal halt request status for software portal 7" "Not requested,Requested" newline eventfld.long 0x00 6. " [6] ,Internal halt request status for software portal 6" "Not requested,Requested" eventfld.long 0x00 5. " [5] ,Internal halt request status for software portal 5" "Not requested,Requested" eventfld.long 0x00 4. " [4] ,Internal halt request status for software portal 4" "Not requested,Requested" eventfld.long 0x00 3. " [3] ,Internal halt request status for software portal 3" "Not requested,Requested" eventfld.long 0x00 2. " [2] ,Internal halt request status for software portal 2" "Not requested,Requested" newline eventfld.long 0x00 1. " [1] ,Internal halt request status for software portal 1" "Not requested,Requested" eventfld.long 0x00 0. " [0] ,Internal halt request status for software portal 0" "Not requested,Requested" wgroup.long 0x214++0x03 line.long 0x00 "SWP_DD_IHRFR,Software Portal DD Internal Halt Request Force" bitfld.long 0x00 31. " IHRF[31] ,Internal halt request force for software portal 31" "Not forced,Forced" bitfld.long 0x00 30. " [30] ,Internal halt request force for software portal 30" "Not forced,Forced" bitfld.long 0x00 29. " [29] ,Internal halt request force for software portal 29" "Not forced,Forced" bitfld.long 0x00 28. " [28] ,Internal halt request force for software portal 28" "Not forced,Forced" bitfld.long 0x00 27. " [27] ,Internal halt request force for software portal 27" "Not forced,Forced" newline bitfld.long 0x00 26. " [26] ,Internal halt request force for software portal 26" "Not forced,Forced" bitfld.long 0x00 25. " [25] ,Internal halt request force for software portal 25" "Not forced,Forced" bitfld.long 0x00 24. " [24] ,Internal halt request force for software portal 24" "Not forced,Forced" bitfld.long 0x00 23. " [23] ,Internal halt request force for software portal 23" "Not forced,Forced" bitfld.long 0x00 22. " [22] ,Internal halt request force for software portal 22" "Not forced,Forced" newline bitfld.long 0x00 21. " [21] ,Internal halt request force for software portal 21" "Not forced,Forced" bitfld.long 0x00 20. " [20] ,Internal halt request force for software portal 20" "Not forced,Forced" bitfld.long 0x00 19. " [19] ,Internal halt request force for software portal 19" "Not forced,Forced" bitfld.long 0x00 18. " [18] ,Internal halt request force for software portal 18" "Not forced,Forced" bitfld.long 0x00 17. " [17] ,Internal halt request force for software portal 17" "Not forced,Forced" newline bitfld.long 0x00 16. " [16] ,Internal halt request force for software portal 16" "Not forced,Forced" bitfld.long 0x00 15. " [15] ,Internal halt request force for software portal 15" "Not forced,Forced" bitfld.long 0x00 14. " [14] ,Internal halt request force for software portal 14" "Not forced,Forced" bitfld.long 0x00 13. " [13] ,Internal halt request force for software portal 13" "Not forced,Forced" bitfld.long 0x00 12. " [12] ,Internal halt request force for software portal 12" "Not forced,Forced" newline bitfld.long 0x00 11. " [11] ,Internal halt request force for software portal 11" "Not forced,Forced" bitfld.long 0x00 10. " [10] ,Internal halt request force for software portal 10" "Not forced,Forced" bitfld.long 0x00 9. " [9] ,Internal halt request force for software portal 9" "Not forced,Forced" bitfld.long 0x00 8. " [8] ,Internal halt request force for software portal 8" "Not forced,Forced" bitfld.long 0x00 7. " [7] ,Internal halt request force for software portal 7" "Not forced,Forced" newline bitfld.long 0x00 6. " [6] ,Internal halt request force for software portal 6" "Not forced,Forced" bitfld.long 0x00 5. " [5] ,Internal halt request force for software portal 5" "Not forced,Forced" bitfld.long 0x00 4. " [4] ,Internal halt request force for software portal 4" "Not forced,Forced" bitfld.long 0x00 3. " [3] ,Internal halt request force for software portal 3" "Not forced,Forced" bitfld.long 0x00 2. " [2] ,Internal halt request force for software portal 2" "Not forced,Forced" newline bitfld.long 0x00 1. " [1] ,Internal halt request force for software portal 1" "Not forced,Forced" bitfld.long 0x00 0. " [0] ,Internal halt request force for software portal 0" "Not forced,Forced" rgroup.long 0x218++0x03 line.long 0x00 "SWP_DD_HASR,Software Portal DD Halt Acknowledge Status" bitfld.long 0x00 31. " HAS[31] ,Halt acknowledge status for software portal 31" "Not halted,Halted" bitfld.long 0x00 30. " [30] ,Halt acknowledge status for software portal 30" "Not halted,Halted" bitfld.long 0x00 29. " [29] ,Halt acknowledge status for software portal 29" "Not halted,Halted" bitfld.long 0x00 28. " [28] ,Halt acknowledge status for software portal 28" "Not halted,Halted" bitfld.long 0x00 27. " [27] ,Halt acknowledge status for software portal 27" "Not halted,Halted" newline bitfld.long 0x00 26. " [26] ,Halt acknowledge status for software portal 26" "Not halted,Halted" bitfld.long 0x00 25. " [25] ,Halt acknowledge status for software portal 25" "Not halted,Halted" bitfld.long 0x00 24. " [24] ,Halt acknowledge status for software portal 24" "Not halted,Halted" bitfld.long 0x00 23. " [23] ,Halt acknowledge status for software portal 23" "Not halted,Halted" bitfld.long 0x00 22. " [22] ,Halt acknowledge status for software portal 22" "Not halted,Halted" newline bitfld.long 0x00 21. " [21] ,Halt acknowledge status for software portal 21" "Not halted,Halted" bitfld.long 0x00 20. " [20] ,Halt acknowledge status for software portal 20" "Not halted,Halted" bitfld.long 0x00 19. " [19] ,Halt acknowledge status for software portal 19" "Not halted,Halted" bitfld.long 0x00 18. " [18] ,Halt acknowledge status for software portal 18" "Not halted,Halted" bitfld.long 0x00 17. " [17] ,Halt acknowledge status for software portal 17" "Not halted,Halted" newline bitfld.long 0x00 16. " [16] ,Halt acknowledge status for software portal 16" "Not halted,Halted" bitfld.long 0x00 15. " [15] ,Halt acknowledge status for software portal 15" "Not halted,Halted" bitfld.long 0x00 14. " [14] ,Halt acknowledge status for software portal 14" "Not halted,Halted" bitfld.long 0x00 13. " [13] ,Halt acknowledge status for software portal 13" "Not halted,Halted" bitfld.long 0x00 12. " [12] ,Halt acknowledge status for software portal 12" "Not halted,Halted" newline bitfld.long 0x00 11. " [11] ,Halt acknowledge status for software portal 11" "Not halted,Halted" bitfld.long 0x00 10. " [10] ,Halt acknowledge status for software portal 10" "Not halted,Halted" bitfld.long 0x00 9. " [9] ,Halt acknowledge status for software portal 9" "Not halted,Halted" bitfld.long 0x00 8. " [8] ,Halt acknowledge status for software portal 8" "Not halted,Halted" bitfld.long 0x00 7. " [7] ,Halt acknowledge status for software portal 7" "Not halted,Halted" newline bitfld.long 0x00 6. " [6] ,Halt acknowledge status for software portal 6" "Not halted,Halted" bitfld.long 0x00 5. " [5] ,Halt acknowledge status for software portal 5" "Not halted,Halted" bitfld.long 0x00 4. " [4] ,Halt acknowledge status for software portal 4" "Not halted,Halted" bitfld.long 0x00 3. " [3] ,Halt acknowledge status for software portal 3" "Not halted,Halted" bitfld.long 0x00 2. " [2] ,Halt acknowledge status for software portal 2" "Not halted,Halted" newline bitfld.long 0x00 1. " [1] ,Halt acknowledge status for software portal 1" "Not halted,Halted" bitfld.long 0x00 0. " [0] ,Halt acknowledge status for software portal 0" "Not halted,Halted" elif (((per.l(ad:0x08180000+0x204))&0x3E0)==0x20) group.long 0x210++0x03 line.long 0x00 "SWP_DD_IHRSR,Software Portal DD Internal Halt Request Status" eventfld.long 0x00 31. " IHRS[62] ,Internal halt request status for software portal 62" "Not requested,Requested" eventfld.long 0x00 30. " [61] ,Internal halt request status for software portal 61" "Not requested,Requested" eventfld.long 0x00 29. " [60] ,Internal halt request status for software portal 60" "Not requested,Requested" eventfld.long 0x00 28. " [59] ,Internal halt request status for software portal 59" "Not requested,Requested" eventfld.long 0x00 27. " [58] ,Internal halt request status for software portal 58" "Not requested,Requested" newline eventfld.long 0x00 26. " [57] ,Internal halt request status for software portal 57" "Not requested,Requested" eventfld.long 0x00 25. " [56] ,Internal halt request status for software portal 56" "Not requested,Requested" eventfld.long 0x00 24. " [55] ,Internal halt request status for software portal 55" "Not requested,Requested" eventfld.long 0x00 23. " [54] ,Internal halt request status for software portal 54" "Not requested,Requested" eventfld.long 0x00 22. " [53] ,Internal halt request status for software portal 53" "Not requested,Requested" newline eventfld.long 0x00 21. " [52] ,Internal halt request status for software portal 52" "Not requested,Requested" eventfld.long 0x00 20. " [51] ,Internal halt request status for software portal 51" "Not requested,Requested" eventfld.long 0x00 19. " [50] ,Internal halt request status for software portal 50" "Not requested,Requested" eventfld.long 0x00 18. " [49] ,Internal halt request status for software portal 49" "Not requested,Requested" eventfld.long 0x00 17. " [48] ,Internal halt request status for software portal 48" "Not requested,Requested" newline eventfld.long 0x00 16. " [47] ,Internal halt request status for software portal 47" "Not requested,Requested" eventfld.long 0x00 15. " [46] ,Internal halt request status for software portal 46" "Not requested,Requested" eventfld.long 0x00 14. " [45] ,Internal halt request status for software portal 45" "Not requested,Requested" eventfld.long 0x00 13. " [44] ,Internal halt request status for software portal 44" "Not requested,Requested" eventfld.long 0x00 12. " [43] ,Internal halt request status for software portal 43" "Not requested,Requested" newline eventfld.long 0x00 11. " [42] ,Internal halt request status for software portal 42" "Not requested,Requested" eventfld.long 0x00 10. " [41] ,Internal halt request status for software portal 41" "Not requested,Requested" eventfld.long 0x00 9. " [40] ,Internal halt request status for software portal 40" "Not requested,Requested" eventfld.long 0x00 8. " [39] ,Internal halt request status for software portal 39" "Not requested,Requested" eventfld.long 0x00 7. " [38] ,Internal halt request status for software portal 38" "Not requested,Requested" newline eventfld.long 0x00 6. " [37] ,Internal halt request status for software portal 37" "Not requested,Requested" eventfld.long 0x00 5. " [36] ,Internal halt request status for software portal 36" "Not requested,Requested" eventfld.long 0x00 4. " [35] ,Internal halt request status for software portal 35" "Not requested,Requested" eventfld.long 0x00 3. " [34] ,Internal halt request status for software portal 34" "Not requested,Requested" eventfld.long 0x00 2. " [33] ,Internal halt request status for software portal 33" "Not requested,Requested" newline eventfld.long 0x00 1. " [33] ,Internal halt request status for software portal 33" "Not requested,Requested" eventfld.long 0x00 0. " [32] ,Internal halt request status for software portal 32" "Not requested,Requested" wgroup.long 0x214++0x03 line.long 0x00 "SWP_DD_IHRFR,Software Portal DD Internal Halt Request Force" bitfld.long 0x00 31. " IHRF[62] ,Internal halt request force for software portal 62" "Not forced,Forced" bitfld.long 0x00 30. " [61] ,Internal halt request force for software portal 61" "Not forced,Forced" bitfld.long 0x00 29. " [60] ,Internal halt request force for software portal 60" "Not forced,Forced" bitfld.long 0x00 28. " [59] ,Internal halt request force for software portal 59" "Not forced,Forced" bitfld.long 0x00 27. " [58] ,Internal halt request force for software portal 58" "Not forced,Forced" newline bitfld.long 0x00 26. " [57] ,Internal halt request force for software portal 57" "Not forced,Forced" bitfld.long 0x00 25. " [56] ,Internal halt request force for software portal 56" "Not forced,Forced" bitfld.long 0x00 24. " [55] ,Internal halt request force for software portal 55" "Not forced,Forced" bitfld.long 0x00 23. " [54] ,Internal halt request force for software portal 54" "Not forced,Forced" bitfld.long 0x00 22. " [53] ,Internal halt request force for software portal 53" "Not forced,Forced" newline bitfld.long 0x00 21. " [52] ,Internal halt request force for software portal 52" "Not forced,Forced" bitfld.long 0x00 20. " [51] ,Internal halt request force for software portal 51" "Not forced,Forced" bitfld.long 0x00 19. " [50] ,Internal halt request force for software portal 50" "Not forced,Forced" bitfld.long 0x00 18. " [49] ,Internal halt request force for software portal 49" "Not forced,Forced" bitfld.long 0x00 17. " [48] ,Internal halt request force for software portal 48" "Not forced,Forced" newline bitfld.long 0x00 16. " [47] ,Internal halt request force for software portal 47" "Not forced,Forced" bitfld.long 0x00 15. " [46] ,Internal halt request force for software portal 46" "Not forced,Forced" bitfld.long 0x00 14. " [45] ,Internal halt request force for software portal 45" "Not forced,Forced" bitfld.long 0x00 13. " [44] ,Internal halt request force for software portal 44" "Not forced,Forced" bitfld.long 0x00 12. " [43] ,Internal halt request force for software portal 43" "Not forced,Forced" newline bitfld.long 0x00 11. " [42] ,Internal halt request force for software portal 42" "Not forced,Forced" bitfld.long 0x00 10. " [41] ,Internal halt request force for software portal 41" "Not forced,Forced" bitfld.long 0x00 9. " [40] ,Internal halt request force for software portal 40" "Not forced,Forced" bitfld.long 0x00 8. " [39] ,Internal halt request force for software portal 39" "Not forced,Forced" bitfld.long 0x00 7. " [38] ,Internal halt request force for software portal 38" "Not forced,Forced" newline bitfld.long 0x00 6. " [37] ,Internal halt request force for software portal 37" "Not forced,Forced" bitfld.long 0x00 5. " [36] ,Internal halt request force for software portal 36" "Not forced,Forced" bitfld.long 0x00 4. " [35] ,Internal halt request force for software portal 35" "Not forced,Forced" bitfld.long 0x00 3. " [34] ,Internal halt request force for software portal 34" "Not forced,Forced" bitfld.long 0x00 2. " [33] ,Internal halt request force for software portal 33" "Not forced,Forced" newline bitfld.long 0x00 1. " [33] ,Internal halt request force for software portal 33" "Not forced,Forced" bitfld.long 0x00 0. " [32] ,Internal halt request force for software portal 32" "Not forced,Forced" rgroup.long 0x218++0x03 line.long 0x00 "SWP_DD_HASR,Software Portal DD Halt Acknowledge Status" bitfld.long 0x00 31. " HAS[62] ,Halt acknowledge status for software portal 62" "Not halted,Halted" bitfld.long 0x00 30. " [61] ,Halt acknowledge status for software portal 61" "Not halted,Halted" bitfld.long 0x00 29. " [60] ,Halt acknowledge status for software portal 60" "Not halted,Halted" bitfld.long 0x00 28. " [59] ,Halt acknowledge status for software portal 59" "Not halted,Halted" bitfld.long 0x00 27. " [58] ,Halt acknowledge status for software portal 58" "Not halted,Halted" newline bitfld.long 0x00 26. " [57] ,Halt acknowledge status for software portal 57" "Not halted,Halted" bitfld.long 0x00 25. " [56] ,Halt acknowledge status for software portal 56" "Not halted,Halted" bitfld.long 0x00 24. " [55] ,Halt acknowledge status for software portal 55" "Not halted,Halted" bitfld.long 0x00 23. " [54] ,Halt acknowledge status for software portal 54" "Not halted,Halted" bitfld.long 0x00 22. " [53] ,Halt acknowledge status for software portal 53" "Not halted,Halted" newline bitfld.long 0x00 21. " [52] ,Halt acknowledge status for software portal 52" "Not halted,Halted" bitfld.long 0x00 20. " [51] ,Halt acknowledge status for software portal 51" "Not halted,Halted" bitfld.long 0x00 19. " [50] ,Halt acknowledge status for software portal 50" "Not halted,Halted" bitfld.long 0x00 18. " [49] ,Halt acknowledge status for software portal 49" "Not halted,Halted" bitfld.long 0x00 17. " [48] ,Halt acknowledge status for software portal 48" "Not halted,Halted" newline bitfld.long 0x00 16. " [47] ,Halt acknowledge status for software portal 47" "Not halted,Halted" bitfld.long 0x00 15. " [46] ,Halt acknowledge status for software portal 46" "Not halted,Halted" bitfld.long 0x00 14. " [45] ,Halt acknowledge status for software portal 45" "Not halted,Halted" bitfld.long 0x00 13. " [44] ,Halt acknowledge status for software portal 44" "Not halted,Halted" bitfld.long 0x00 12. " [43] ,Halt acknowledge status for software portal 43" "Not halted,Halted" newline bitfld.long 0x00 11. " [42] ,Halt acknowledge status for software portal 42" "Not halted,Halted" bitfld.long 0x00 10. " [41] ,Halt acknowledge status for software portal 41" "Not halted,Halted" bitfld.long 0x00 9. " [40] ,Halt acknowledge status for software portal 40" "Not halted,Halted" bitfld.long 0x00 8. " [39] ,Halt acknowledge status for software portal 39" "Not halted,Halted" bitfld.long 0x00 7. " [38] ,Halt acknowledge status for software portal 38" "Not halted,Halted" newline bitfld.long 0x00 6. " [37] ,Halt acknowledge status for software portal 37" "Not halted,Halted" bitfld.long 0x00 5. " [36] ,Halt acknowledge status for software portal 36" "Not halted,Halted" bitfld.long 0x00 4. " [35] ,Halt acknowledge status for software portal 35" "Not halted,Halted" bitfld.long 0x00 3. " [34] ,Halt acknowledge status for software portal 34" "Not halted,Halted" bitfld.long 0x00 2. " [33] ,Halt acknowledge status for software portal 33" "Not halted,Halted" newline bitfld.long 0x00 1. " [33] ,Halt acknowledge status for software portal 33" "Not halted,Halted" bitfld.long 0x00 0. " [32] ,Halt acknowledge status for software portal 32" "Not halted,Halted" elif (((per.l(ad:0x08180000+0x204))&0x3E0)==0x40) group.long 0x210++0x03 line.long 0x00 "SWP_DD_IHRSR,Software Portal DD Internal Halt Request Status" eventfld.long 0x00 3. " IHRS[66] ,Internal halt request status for software portal 66" "Not requested,Requested" eventfld.long 0x00 2. " [65] ,Internal halt request status for software portal 65" "Not requested,Requested" eventfld.long 0x00 1. " [64] ,Internal halt request status for software portal 64" "Not requested,Requested" eventfld.long 0x00 0. " [63] ,Internal halt request status for software portal 63" "Not requested,Requested" wgroup.long 0x214++0x03 line.long 0x00 "SWP_DD_IHRFR,Software Portal DD Internal Halt Request Force" bitfld.long 0x00 3. " IHRF[66] ,Internal halt request force for software portal 66" "Not forced,Forced" bitfld.long 0x00 2. " [65] ,Internal halt request force for software portal 65" "Not forced,Forced" bitfld.long 0x00 1. " [64] ,Internal halt request force for software portal 64" "Not forced,Forced" bitfld.long 0x00 0. " [63] ,Internal halt request force for software portal 63" "Not forced,Forced" rgroup.long 0x218++0x03 line.long 0x00 "SWP_DD_HASR,Software Portal DD Halt Acknowledge Status" bitfld.long 0x00 3. " HAS[66] ,Halt acknowledge status for software portal 66" "Not halted,Halted" bitfld.long 0x00 2. " [65] ,Halt acknowledge status for software portal 65" "Not halted,Halted" bitfld.long 0x00 1. " [64] ,Halt acknowledge status for software portal 64" "Not halted,Halted" bitfld.long 0x00 0. " [63] ,Halt acknowledge status for software portal 63" "Not halted,Halted" else hgroup.long 0x210++0x03 hide.long 0x00 "SWP_DD_IHRSR,Software Portal DD Internal Halt Request Status" hgroup.long 0x214++0x03 hide.long 0x00 "SWP_DD_IHRFR,Software Portal DD Internal Halt Request Force" hgroup.long 0x218++0x03 hide.long 0x00 "SWP_DD_HASR,Software Portal DD Halt Acknowledge Status" endif group.long 0x220++0x03 line.long 0x00 "DCP_DD_IHRSR,DCP DD Internal Halt Request Status" eventfld.long 0x00 15. " IHRS[15] ,Internal halt request status for direct connect portal 15" "Not requested,Requested" eventfld.long 0x00 14. " [14] ,Internal halt request status for direct connect portal 14" "Not requested,Requested" eventfld.long 0x00 13. " [13] ,Internal halt request status for direct connect portal 13" "Not requested,Requested" eventfld.long 0x00 12. " [12] ,Internal halt request status for direct connect portal 12" "Not requested,Requested" eventfld.long 0x00 11. " [11] ,Internal halt request status for direct connect portal 11" "Not requested,Requested" newline eventfld.long 0x00 10. " [10] ,Internal halt request status for direct connect portal 10" "Not requested,Requested" eventfld.long 0x00 9. " [9] ,Internal halt request status for direct connect portal 9" "Not requested,Requested" eventfld.long 0x00 8. " [8] ,Internal halt request status for direct connect portal 8" "Not requested,Requested" eventfld.long 0x00 7. " [7] ,Internal halt request status for direct connect portal 7" "Not requested,Requested" eventfld.long 0x00 6. " [6] ,Internal halt request status for direct connect portal 6" "Not requested,Requested" newline eventfld.long 0x00 5. " [5] ,Internal halt request status for direct connect portal 5" "Not requested,Requested" eventfld.long 0x00 4. " [4] ,Internal halt request status for direct connect portal 4" "Not requested,Requested" eventfld.long 0x00 3. " [3] ,Internal halt request status for direct connect portal 3" "Not requested,Requested" eventfld.long 0x00 2. " [2] ,Internal halt request status for direct connect portal 2" "Not requested,Requested" eventfld.long 0x00 1. " [1] ,Internal halt request status for direct connect portal 1" "Not requested,Requested" newline eventfld.long 0x00 0. " [0] ,Internal halt request status for direct connect portal 0" "Not requested,Requested" wgroup.long 0x224++0x03 line.long 0x00 "DCP_DD_IHRFR,DCP DD Internal Halt Request Force" bitfld.long 0x00 15. " IHRF[15] ,Internal halt request force for direct connect portal 15" "Not forced,Forced" bitfld.long 0x00 14. " [14] ,Internal halt request force for direct connect portal 14" "Not forced,Forced" bitfld.long 0x00 13. " [13] ,Internal halt request force for direct connect portal 13" "Not forced,Forced" bitfld.long 0x00 12. " [12] ,Internal halt request force for direct connect portal 12" "Not forced,Forced" bitfld.long 0x00 11. " [11] ,Internal halt request force for direct connect portal 11" "Not forced,Forced" newline bitfld.long 0x00 10. " [10] ,Internal halt request force for direct connect portal 10" "Not forced,Forced" bitfld.long 0x00 9. " [9] ,Internal halt request force for direct connect portal 9" "Not forced,Forced" bitfld.long 0x00 8. " [8] ,Internal halt request force for direct connect portal 8" "Not forced,Forced" bitfld.long 0x00 7. " [7] ,Internal halt request force for direct connect portal 7" "Not forced,Forced" bitfld.long 0x00 6. " [6] ,Internal halt request force for direct connect portal 6" "Not forced,Forced" newline bitfld.long 0x00 5. " [5] ,Internal halt request force for direct connect portal 5" "Not forced,Forced" bitfld.long 0x00 4. " [4] ,Internal halt request force for direct connect portal 4" "Not forced,Forced" bitfld.long 0x00 3. " [3] ,Internal halt request force for direct connect portal 3" "Not forced,Forced" bitfld.long 0x00 2. " [2] ,Internal halt request force for direct connect portal 2" "Not forced,Forced" bitfld.long 0x00 1. " [1] ,Internal halt request force for direct connect portal 1" "Not forced,Forced" newline bitfld.long 0x00 0. " [0] ,Internal halt request force for direct connect portal 0" "Not forced,Forced" rgroup.long 0x228++0x03 line.long 0x00 "SWP_DD_HASR,Software Portal DD Halt Acknowledge Status" bitfld.long 0x00 15. " HAS[15] ,Halt acknowledge status for direct connect portal 15" "Not halted,Halted" bitfld.long 0x00 14. " [14] ,Halt acknowledge status for direct connect portal 14" "Not halted,Halted" bitfld.long 0x00 13. " [13] ,Halt acknowledge status for direct connect portal 13" "Not halted,Halted" bitfld.long 0x00 12. " [12] ,Halt acknowledge status for direct connect portal 12" "Not halted,Halted" bitfld.long 0x00 11. " [11] ,Halt acknowledge status for direct connect portal 11" "Not halted,Halted" newline bitfld.long 0x00 10. " [10] ,Halt acknowledge status for direct connect portal 10" "Not halted,Halted" bitfld.long 0x00 9. " [9] ,Halt acknowledge status for direct connect portal 9" "Not halted,Halted" bitfld.long 0x00 8. " [8] ,Halt acknowledge status for direct connect portal 8" "Not halted,Halted" bitfld.long 0x00 7. " [7] ,Halt acknowledge status for direct connect portal 7" "Not halted,Halted" bitfld.long 0x00 6. " [6] ,Halt acknowledge status for direct connect portal 6" "Not halted,Halted" newline bitfld.long 0x00 5. " [5] ,Halt acknowledge status for direct connect portal 5" "Not halted,Halted" bitfld.long 0x00 4. " [4] ,Halt acknowledge status for direct connect portal 4" "Not halted,Halted" bitfld.long 0x00 3. " [3] ,Halt acknowledge status for direct connect portal 3" "Not halted,Halted" bitfld.long 0x00 2. " [2] ,Halt acknowledge status for direct connect portal 2" "Not halted,Halted" bitfld.long 0x00 1. " [1] ,Halt acknowledge status for direct connect portal 1" "Not halted,Halted" newline bitfld.long 0x00 0. " [0] ,Halt acknowledge status for direct connect portal 0" "Not halted,Halted" if (((per.l(ad:0x08180000+0x230))&0x8000000)==0x8000000) if (((per.l(ad:0x08180000+0x230))&0x4000000)==0x4000000) group.long 0x230++0x03 line.long 0x00 "FQ_PM_CFG_A,FQ Performance Monitor Configuration A" bitfld.long 0x00 27. " FE ,Filter enable" "Disabled,Enabled" bitfld.long 0x00 26. " T ,Type" "FQID or a CEETM LFQID,CEETM Class Queue" bitfld.long 0x00 20.--21. " IID ,Instance ID" "0,1,2,3" bitfld.long 0x00 16.--19. " DCPID ,DCP portal ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--8. 1. " CQID ,Identifies the particular CQ" else group.long 0x230++0x03 line.long 0x00 "FQ_PM_CFG_A,FQ Performance Monitor Configuration A" bitfld.long 0x00 27. " FE ,Filter enable" "Disabled,Enabled" bitfld.long 0x00 26. " T ,Type" "FQID or a CEETM LFQID,CEETM Class Queue" hexmask.long.tbyte 0x00 0.--23. 1. " FQID ,FQID for filtering the FQ PM events in group A/B" endif else group.long 0x230++0x03 line.long 0x00 "FQ_PM_CFG_A,FQ Performance Monitor Configuration A" bitfld.long 0x00 27. " FE ,Filter enable" "Disabled,Enabled" endif if (((per.l(ad:0x08180000+0x234))&0x8000000)==0x8000000) if (((per.l(ad:0x08180000+0x234))&0x4000000)==0x4000000) group.long 0x234++0x03 line.long 0x00 "FQ_PM_CFG_B,FQ Performance Monitor Configuration B" bitfld.long 0x00 27. " FE ,Filter enable" "Disabled,Enabled" bitfld.long 0x00 26. " T ,Type" "FQID or a CEETM LFQID,CEETM Class Queue" bitfld.long 0x00 20.--21. " IID ,Instance ID" "0,1,2,3" bitfld.long 0x00 16.--19. " DCPID ,DCP portal ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--8. 1. " CQID ,Identifies the particular CQ" else group.long 0x234++0x03 line.long 0x00 "FQ_PM_CFG_B,FQ Performance Monitor Configuration B" bitfld.long 0x00 27. " FE ,Filter enable" "Disabled,Enabled" bitfld.long 0x00 26. " T ,Type" "FQID or a CEETM LFQID,CEETM Class Queue" hexmask.long.tbyte 0x00 0.--23. 1. " FQID ,FQID for filtering the FQ PM events in group A/B" endif else group.long 0x234++0x03 line.long 0x00 "FQ_PM_CFG_B,FQ Performance Monitor Configuration B" bitfld.long 0x00 27. " FE ,Filter enable" "Disabled,Enabled" endif group.long 0x238++0x03 line.long 0x00 "FQ_PM_DD_CFG,FQ Dynamic Debug Configuration" bitfld.long 0x00 23. " DD_MASK_B[3] ,DD mask value for FQ PM events group B 3" "Not included,Included" bitfld.long 0x00 22. " [2] ,DD mask value for FQ PM events group B 2" "Not included,Included" bitfld.long 0x00 21. " [1] ,DD mask value for FQ PM events group B 1" "Not included,Included" bitfld.long 0x00 20. " [0] ,DD mask value for FQ PM events group B 0" "Not included,Included" newline bitfld.long 0x00 19. " DD_CMPV_B[3] ,DD compare value for FQ PM events group B 3" "Not included,Included" bitfld.long 0x00 18. " [2] ,DD compare value for FQ PM events group B 2" "Not included,Included" bitfld.long 0x00 17. " [1] ,DD compare value for FQ PM events group B 1" "Not included,Included" bitfld.long 0x00 16. " [0] ,DD compare value for FQ PM events group B 0" "Not included,Included" newline bitfld.long 0x00 7. " DD_MASK_A[3] ,DD mask value for FQ PM events group A 3" "Not included,Included" bitfld.long 0x00 6. " [2] ,DD mask value for FQ PM events group A 2" "Not included,Included" bitfld.long 0x00 5. " [1] ,DD mask value for FQ PM events group A 1" "Not included,Included" bitfld.long 0x00 4. " [0] ,DD mask value for FQ PM events group A 0" "Not included,Included" newline bitfld.long 0x00 3. " DD_CMPV_A[3] ,DD compare value for FQ PM events group A 3" "Not included,Included" bitfld.long 0x00 2. " [2] ,DD compare value for FQ PM events group A 2" "Not included,Included" bitfld.long 0x00 1. " [1] ,DD compare value for FQ PM events group A 1" "Not included,Included" bitfld.long 0x00 0. " [0] ,DD compare value for FQ PM events group A 0" "Not included,Included" newline width 18. group.long 0x270++0x03 line.long 0x00 "QMAN_PM_CNT_CFG0,QMan PM Counter Configuration 0" bitfld.long 0x00 29.--31. " PMGS3 ,PM group select" "SWP PM A,SWP PM B,DCP PM A,DCP PM B,FQ PM A,FQ PM B,General PM group,?..." bitfld.long 0x00 24.--28. " PMES3 ,PM event select" "Enqueue command count,Dequeued frame count in DQRR,EQCR full count,DQRR full count,Enqueue sequence dispatch count,Enqueue sequence dispatch count when FIFO full,Dequeue sequence completed with 1 or more frames count,Dequeue sequence completed with 2 or more frames count,Dequeue sequence completed with 3 frames count,Enqueue rejections total count,FQ enqueue rejections WRED count,FQ enqueue rejections Congestion Group Tail Drop count,FQ enqueue rejections FQ Tail Drop count,PFDR in use read count,PFDR in use write count,Marked Frame Enqueue count,Marked Frame Dequeue count,Marked Frame leaving OPR count,Dequeued frame count,?..." newline bitfld.long 0x00 21.--23. " PMGS2 ,PM group select" "SWP PM A,SWP PM B,DCP PM A,DCP PM B,FQ PM A,FQ PM B,General PM group,?..." bitfld.long 0x00 16.--20. " PMES2 ,PM event select" "Enqueue command count,Dequeued frame count in DQRR,EQCR full count,DQRR full count,Enqueue sequence dispatch count,Enqueue sequence dispatch count when FIFO full,Dequeue sequence completed with 1 or more frames count,Dequeue sequence completed with 2 or more frames count,Dequeue sequence completed with 3 frames count,Enqueue rejections total count,FQ enqueue rejections WRED count,FQ enqueue rejections Congestion Group Tail Drop count,FQ enqueue rejections FQ Tail Drop count,PFDR in use read count,PFDR in use write count,Marked Frame Enqueue count,Marked Frame Dequeue count,Marked Frame leaving OPR count,Dequeued frame count,?..." newline bitfld.long 0x00 13.--15. " PMGS1 ,PM group select" "SWP PM A,SWP PM B,DCP PM A,DCP PM B,FQ PM A,FQ PM B,General PM group,?..." newline bitfld.long 0x00 8.--12. " PMES1 ,PM event select" "Enqueue command count,Dequeued frame count in DQRR,EQCR full count,DQRR full count,Enqueue sequence dispatch count,Enqueue sequence dispatch count when FIFO full,Dequeue sequence completed with 1 or more frames count,Dequeue sequence completed with 2 or more frames count,Dequeue sequence completed with 3 frames count,Enqueue rejections total count,FQ enqueue rejections WRED count,FQ enqueue rejections Congestion Group Tail Drop count,FQ enqueue rejections FQ Tail Drop count,PFDR in use read count,PFDR in use write count,Marked Frame Enqueue count,Marked Frame Dequeue count,Marked Frame leaving OPR count,Dequeued frame count,?..." newline bitfld.long 0x00 5.--7. " PMGS0 ,PM group select" "SWP PM A,SWP PM B,DCP PM A,DCP PM B,FQ PM A,FQ PM B,General PM group,?..." bitfld.long 0x00 0.--4. " PMES0 ,PM event select" "Enqueue command count,Dequeued frame count in DQRR,EQCR full count,DQRR full count,Enqueue sequence dispatch count,Enqueue sequence dispatch count when FIFO full,Dequeue sequence completed with 1 or more frames count,Dequeue sequence completed with 2 or more frames count,Dequeue sequence completed with 3 frames count,Enqueue rejections total count,FQ enqueue rejections WRED count,FQ enqueue rejections Congestion Group Tail Drop count,FQ enqueue rejections FQ Tail Drop count,PFDR in use read count,PFDR in use write count,Marked Frame Enqueue count,Marked Frame Dequeue count,Marked Frame leaving OPR count,Dequeued frame count,?..." group.long 0x274++0x03 line.long 0x00 "QMAN_PM_CNT_CFG1,QMan PM Counter Configuration 1" bitfld.long 0x00 29.--31. " PMGS3 ,PM group select" "SWP PM A,SWP PM B,DCP PM A,DCP PM B,FQ PM A,FQ PM B,General PM group,?..." bitfld.long 0x00 24.--28. " PMES3 ,PM event select" "Enqueue command count,Dequeued frame count in DQRR,EQCR full count,DQRR full count,Enqueue sequence dispatch count,Enqueue sequence dispatch count when FIFO full,Dequeue sequence completed with 1 or more frames count,Dequeue sequence completed with 2 or more frames count,Dequeue sequence completed with 3 frames count,Enqueue rejections total count,FQ enqueue rejections WRED count,FQ enqueue rejections Congestion Group Tail Drop count,FQ enqueue rejections FQ Tail Drop count,PFDR in use read count,PFDR in use write count,Marked Frame Enqueue count,Marked Frame Dequeue count,Marked Frame leaving OPR count,Dequeued frame count,?..." newline bitfld.long 0x00 21.--23. " PMGS2 ,PM group select" "SWP PM A,SWP PM B,DCP PM A,DCP PM B,FQ PM A,FQ PM B,General PM group,?..." bitfld.long 0x00 16.--20. " PMES2 ,PM event select" "Enqueue command count,Dequeued frame count in DQRR,EQCR full count,DQRR full count,Enqueue sequence dispatch count,Enqueue sequence dispatch count when FIFO full,Dequeue sequence completed with 1 or more frames count,Dequeue sequence completed with 2 or more frames count,Dequeue sequence completed with 3 frames count,Enqueue rejections total count,FQ enqueue rejections WRED count,FQ enqueue rejections Congestion Group Tail Drop count,FQ enqueue rejections FQ Tail Drop count,PFDR in use read count,PFDR in use write count,Marked Frame Enqueue count,Marked Frame Dequeue count,Marked Frame leaving OPR count,Dequeued frame count,?..." newline bitfld.long 0x00 13.--15. " PMGS1 ,PM group select" "SWP PM A,SWP PM B,DCP PM A,DCP PM B,FQ PM A,FQ PM B,General PM group,?..." newline bitfld.long 0x00 8.--12. " PMES1 ,PM event select" "Enqueue command count,Dequeued frame count in DQRR,EQCR full count,DQRR full count,Enqueue sequence dispatch count,Enqueue sequence dispatch count when FIFO full,Dequeue sequence completed with 1 or more frames count,Dequeue sequence completed with 2 or more frames count,Dequeue sequence completed with 3 frames count,Enqueue rejections total count,FQ enqueue rejections WRED count,FQ enqueue rejections Congestion Group Tail Drop count,FQ enqueue rejections FQ Tail Drop count,PFDR in use read count,PFDR in use write count,Marked Frame Enqueue count,Marked Frame Dequeue count,Marked Frame leaving OPR count,Dequeued frame count,?..." newline bitfld.long 0x00 5.--7. " PMGS0 ,PM group select" "SWP PM A,SWP PM B,DCP PM A,DCP PM B,FQ PM A,FQ PM B,General PM group,?..." bitfld.long 0x00 0.--4. " PMES0 ,PM event select" "Enqueue command count,Dequeued frame count in DQRR,EQCR full count,DQRR full count,Enqueue sequence dispatch count,Enqueue sequence dispatch count when FIFO full,Dequeue sequence completed with 1 or more frames count,Dequeue sequence completed with 2 or more frames count,Dequeue sequence completed with 3 frames count,Enqueue rejections total count,FQ enqueue rejections WRED count,FQ enqueue rejections Congestion Group Tail Drop count,FQ enqueue rejections FQ Tail Drop count,PFDR in use read count,PFDR in use write count,Marked Frame Enqueue count,Marked Frame Dequeue count,Marked Frame leaving OPR count,Dequeued frame count,?..." width 21. tree "QMan Performance Monitor Counter Registers" group.long 0x280++0x07 line.long 0x00 "QMAN_PM_CNT0_LO,QMan Performance Monitor Counter 0" line.long 0x04 "QMAN_PM_CNT0_HI,QMan Performance Monitor Counter 0" group.long 0x284++0x07 line.long 0x00 "QMAN_PM_CNT1_LO,QMan Performance Monitor Counter 1" line.long 0x04 "QMAN_PM_CNT1_HI,QMan Performance Monitor Counter 1" group.long 0x288++0x07 line.long 0x00 "QMAN_PM_CNT2_LO,QMan Performance Monitor Counter 2" line.long 0x04 "QMAN_PM_CNT2_HI,QMan Performance Monitor Counter 2" group.long 0x28C++0x07 line.long 0x00 "QMAN_PM_CNT3_LO,QMan Performance Monitor Counter 3" line.long 0x04 "QMAN_PM_CNT3_HI,QMan Performance Monitor Counter 3" group.long 0x290++0x07 line.long 0x00 "QMAN_PM_CNT4_LO,QMan Performance Monitor Counter 4" line.long 0x04 "QMAN_PM_CNT4_HI,QMan Performance Monitor Counter 4" group.long 0x294++0x07 line.long 0x00 "QMAN_PM_CNT5_LO,QMan Performance Monitor Counter 5" line.long 0x04 "QMAN_PM_CNT5_HI,QMan Performance Monitor Counter 5" group.long 0x298++0x07 line.long 0x00 "QMAN_PM_CNT6_LO,QMan Performance Monitor Counter 6" line.long 0x04 "QMAN_PM_CNT6_HI,QMan Performance Monitor Counter 6" group.long 0x29C++0x07 line.long 0x00 "QMAN_PM_CNT7_LO,QMan Performance Monitor Counter 7" line.long 0x04 "QMAN_PM_CNT7_HI,QMan Performance Monitor Counter 7" group.long 0x2A0++0x03 line.long 0x00 "QMAN_PM_CNT0_LO_RR,QMan Performance Monitor Counter 0" group.long 0x2A4++0x03 line.long 0x00 "QMAN_PM_CNT1_LO_RR,QMan Performance Monitor Counter 1" group.long 0x2A8++0x03 line.long 0x00 "QMAN_PM_CNT2_LO_RR,QMan Performance Monitor Counter 2" group.long 0x2AC++0x03 line.long 0x00 "QMAN_PM_CNT3_LO_RR,QMan Performance Monitor Counter 3" group.long 0x2B0++0x03 line.long 0x00 "QMAN_PM_CNT4_LO_RR,QMan Performance Monitor Counter 4" group.long 0x2B4++0x03 line.long 0x00 "QMAN_PM_CNT5_LO_RR,QMan Performance Monitor Counter 5" group.long 0x2B8++0x03 line.long 0x00 "QMAN_PM_CNT6_LO_RR,QMan Performance Monitor Counter 6" group.long 0x2BC++0x03 line.long 0x00 "QMAN_PM_CNT7_LO_RR,QMan Performance Monitor Counter 7" tree.end newline width 20. if (((per.l(ad:0x08180000+0x304))&0x01)==0x01) rgroup.long 0x300++0x03 line.long 0x00 "DCP_CFG_IDX,DCP Configuration Index Register" bitfld.long 0x00 8.--13. " SPID ,Sub-Portal ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--3. " DCP_IDX ,DCP portal index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" else group.long 0x300++0x03 line.long 0x00 "DCP_CFG_IDX,DCP Configuration Index Register" bitfld.long 0x00 8.--13. " SPID ,Sub-Portal ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--3. " DCP_IDX ,DCP portal index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif group.long 0x304++0x03 line.long 0x00 "DCP_CFG_IDX_LOCK,DCP Configuration Index Lock" eventfld.long 0x00 0. " L ,Lock bit" "Not locked,Locked" group.long 0x308++0x03 line.long 0x00 "DCP_DD_CFG,DCP Dynamic Debug Configuration" bitfld.long 0x00 24.--26. " TP1_CFG ,Trace point 1 configuration" "Disabled,1,2,3,Enabled,1,2,3" bitfld.long 0x00 23. " TP1_MASK[3] ,Trace point 1 mask 3" "Not masked,Masked" bitfld.long 0x00 22. " [2] ,Trace point 1 mask 2" "Not masked,Masked" bitfld.long 0x00 21. " [1] ,Trace point 1 mask 1" "Not masked,Masked" bitfld.long 0x00 20. " [0] ,Trace point 1 mask 0" "Not masked,Masked" newline bitfld.long 0x00 19. " TP1_CMPV[3] ,Trace point 1 compare 3" "0,1" bitfld.long 0x00 18. " [2] ,Trace point 1 compare 2" "0,1" bitfld.long 0x00 17. " [1] ,Trace point 1 compare 1" "0,1" bitfld.long 0x00 16. " [0] ,Trace point 1 compare 0" "0,1" bitfld.long 0x00 8.--10. " TP0_CFG ,Trace point 0 configuration" "Disabled,1,2,3,Enabled,1,2,3" newline bitfld.long 0x00 7. " TP0_MASK[3] ,Trace point 0 mask 3" "Not masked,Masked" bitfld.long 0x00 6. " [2] ,Trace point 0 mask 2" "Not masked,Masked" bitfld.long 0x00 5. " [1] ,Trace point 0 mask 1" "Not masked,Masked" bitfld.long 0x00 4. " [0] ,Trace point 0 mask 0" "Not masked,Masked" bitfld.long 0x00 3. " TP0_CMPV[3] ,Trace point 0 compare 3" "0,1" newline bitfld.long 0x00 2. " [2] ,Trace point 0 compare 2" "0,1" bitfld.long 0x00 1. " [1] ,Trace point 0 compare 1" "0,1" bitfld.long 0x00 0. " [0] ,Trace point 0 compare 0" "0,1" group.long 0x310++0x03 line.long 0x00 "DCP_PM_CFG,DCP Performance Monitor Configuration" bitfld.long 0x00 31. " DD_MASK_B[3] ,DD mask 3 for DCP PM events group B" "Not masked,Masked" bitfld.long 0x00 30. " [2] ,DD mask 2 for DCP PM events group B" "Not masked,Masked" bitfld.long 0x00 29. " [1] ,DD mask 1 for DCP PM events group B" "Not masked,Masked" bitfld.long 0x00 28. " [0] ,DD mask 0 for DCP PM events group B" "Not masked,Masked" bitfld.long 0x00 27. " DD_CMPV_B[3] ,DD compare 3 for DCP PM events group B" "0,1" newline bitfld.long 0x00 26. " [2] ,DD compare 2 for DCP PM events group B" "0,1" bitfld.long 0x00 25. " [1] ,DD compare 1 for DCP PM events group B" "0,1" bitfld.long 0x00 24. " [0] ,DD compare 0 for DCP PM events group B" "0,1" bitfld.long 0x00 23. " FEB ,Filter enable B" "Disabled,Enabled" bitfld.long 0x00 16.--19. " DCPID_B ,Direct connect portal ID for filtering the DCP PM events in group B" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15. " DD_MASK_A[3] ,DD mask 3 for DCP PM events group A" "Not masked,Masked" bitfld.long 0x00 14. " [2] ,DD mask 2 for DCP PM events group A" "Not masked,Masked" bitfld.long 0x00 13. " [1] ,DD mask 1 for DCP PM events group A" "Not masked,Masked" bitfld.long 0x00 12. " [0] ,DD mask 0 for DCP PM events group A" "Not masked,Masked" bitfld.long 0x00 11. " DD_CMPV_A[3] ,DD compare 3 for DCP PM events group A" "0,1" newline bitfld.long 0x00 10. " [2] ,DD compare 3 for DCP PM events group A" "0,1" bitfld.long 0x00 9. " [1] ,DD compare 3 for DCP PM events group A" "0,1" bitfld.long 0x00 8. " [0] ,DD compare 3 for DCP PM events group A" "0,1" bitfld.long 0x00 7. " FEA ,Filter enable A" "Disabled,Enabled" bitfld.long 0x00 0.--3. " DCPID_A ,Direct connect portal ID for filtering the DCP PM events in group A" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x320++0x07 line.long 0x00 "DCP_DLM_CFG_A,DCP Dequeue Latency Monitor Configuration A" bitfld.long 0x00 28.--31. " DLM_TH_D ,Threshold which is used for comparison in the generation of the DCP dequeue latency D performance monitor signal" "9,10,11,12,14,16,18,20,24,32,48,64,128,256,512,1024" bitfld.long 0x00 24.--27. " DLM_TH_C ,Threshold which is used for comparison in the generation of the DCP dequeue latency C performance monitor signal" "9,10,11,12,14,16,18,20,24,32,48,64,128,256,512,1024" bitfld.long 0x00 20.--23. " DLM_TH_B ,Threshold which is used for comparison in the generation of the DCP dequeue latency B performance monitor signal" "9,10,11,12,14,16,18,20,24,32,48,64,128,256,512,1024" bitfld.long 0x00 16.--19. " DLM_TH_A ,Threshold which is used for comparison in the generation of the DCP dequeue latency A performance monitor signal" "9,10,11,12,14,16,18,20,24,32,48,64,128,256,512,1024" bitfld.long 0x00 15. " SM[15] ,Enable monitoring for dequeue commands made via sub portal 15" "Disabled,Enabled" newline bitfld.long 0x00 14. " [14] ,Enable monitoring for dequeue commands made via sub portal 14" "Disabled,Enabled" bitfld.long 0x00 13. " [13] ,Enable monitoring for dequeue commands made via sub portal 13" "Disabled,Enabled" bitfld.long 0x00 12. " [12] ,Enable monitoring for dequeue commands made via sub portal 12" "Disabled,Enabled" bitfld.long 0x00 11. " [11] ,Enable monitoring for dequeue commands made via sub portal 11" "Disabled,Enabled" bitfld.long 0x00 10. " [10] ,Enable monitoring for dequeue commands made via sub portal 10" "Disabled,Enabled" newline bitfld.long 0x00 9. " [9] ,Enable monitoring for dequeue commands made via sub portal 9" "Disabled,Enabled" bitfld.long 0x00 8. " [8] ,Enable monitoring for dequeue commands made via sub portal 8" "Disabled,Enabled" bitfld.long 0x00 7. " [7] ,Enable monitoring for dequeue commands made via sub portal 7" "Disabled,Enabled" bitfld.long 0x00 6. " [6] ,Enable monitoring for dequeue commands made via sub portal 6" "Disabled,Enabled" bitfld.long 0x00 5. " [5] ,Enable monitoring for dequeue commands made via sub portal 5" "Disabled,Enabled" newline bitfld.long 0x00 4. " [4] ,Enable monitoring for dequeue commands made via sub portal 4" "Disabled,Enabled" bitfld.long 0x00 3. " [3] ,Enable monitoring for dequeue commands made via sub portal 3" "Disabled,Enabled" bitfld.long 0x00 2. " [2] ,Enable monitoring for dequeue commands made via sub portal 2" "Disabled,Enabled" bitfld.long 0x00 1. " [1] ,Enable monitoring for dequeue commands made via sub portal 1" "Disabled,Enabled" bitfld.long 0x00 0. " [0] ,Enable monitoring for dequeue commands made via sub portal 0" "Disabled,Enabled" line.long 0x04 "DCP_DLM_AVG_A,DCP Dequeue Latency Monitor Average A" hexmask.long.word 0x04 8.--19. 1. " DLM_AVG_INT ,Integer portion of the measured average latency value" hexmask.long.byte 0x04 0.--7. 1. " DLM_AVG_FRACT ,Fractional portion of the measured average latency value" group.long 0x328++0x07 line.long 0x00 "DCP_DLM_CFG_B,DCP Dequeue Latency Monitor Configuration B" bitfld.long 0x00 28.--31. " DLM_TH_D ,Threshold which is used for comparison in the generation of the DCP dequeue latency D performance monitor signal" "9,10,11,12,14,16,18,20,24,32,48,64,128,256,512,1024" bitfld.long 0x00 24.--27. " DLM_TH_C ,Threshold which is used for comparison in the generation of the DCP dequeue latency C performance monitor signal" "9,10,11,12,14,16,18,20,24,32,48,64,128,256,512,1024" bitfld.long 0x00 20.--23. " DLM_TH_B ,Threshold which is used for comparison in the generation of the DCP dequeue latency B performance monitor signal" "9,10,11,12,14,16,18,20,24,32,48,64,128,256,512,1024" bitfld.long 0x00 16.--19. " DLM_TH_A ,Threshold which is used for comparison in the generation of the DCP dequeue latency A performance monitor signal" "9,10,11,12,14,16,18,20,24,32,48,64,128,256,512,1024" bitfld.long 0x00 15. " SM[15] ,Enable monitoring for dequeue commands made via sub portal 15" "Disabled,Enabled" newline bitfld.long 0x00 14. " [14] ,Enable monitoring for dequeue commands made via sub portal 14" "Disabled,Enabled" bitfld.long 0x00 13. " [13] ,Enable monitoring for dequeue commands made via sub portal 13" "Disabled,Enabled" bitfld.long 0x00 12. " [12] ,Enable monitoring for dequeue commands made via sub portal 12" "Disabled,Enabled" bitfld.long 0x00 11. " [11] ,Enable monitoring for dequeue commands made via sub portal 11" "Disabled,Enabled" bitfld.long 0x00 10. " [10] ,Enable monitoring for dequeue commands made via sub portal 10" "Disabled,Enabled" newline bitfld.long 0x00 9. " [9] ,Enable monitoring for dequeue commands made via sub portal 9" "Disabled,Enabled" bitfld.long 0x00 8. " [8] ,Enable monitoring for dequeue commands made via sub portal 8" "Disabled,Enabled" bitfld.long 0x00 7. " [7] ,Enable monitoring for dequeue commands made via sub portal 7" "Disabled,Enabled" bitfld.long 0x00 6. " [6] ,Enable monitoring for dequeue commands made via sub portal 6" "Disabled,Enabled" bitfld.long 0x00 5. " [5] ,Enable monitoring for dequeue commands made via sub portal 5" "Disabled,Enabled" newline bitfld.long 0x00 4. " [4] ,Enable monitoring for dequeue commands made via sub portal 4" "Disabled,Enabled" bitfld.long 0x00 3. " [3] ,Enable monitoring for dequeue commands made via sub portal 3" "Disabled,Enabled" bitfld.long 0x00 2. " [2] ,Enable monitoring for dequeue commands made via sub portal 2" "Disabled,Enabled" bitfld.long 0x00 1. " [1] ,Enable monitoring for dequeue commands made via sub portal 1" "Disabled,Enabled" bitfld.long 0x00 0. " [0] ,Enable monitoring for dequeue commands made via sub portal 0" "Disabled,Enabled" line.long 0x04 "DCP_DLM_AVG_B,DCP Dequeue Latency Monitor Average B" hexmask.long.word 0x04 8.--19. 1. " DLM_AVG_INT ,Integer portion of the measured average latency value" hexmask.long.byte 0x04 0.--7. 1. " DLM_AVG_FRACT ,Fractional portion of the measured average latency value" rgroup.long 0x330++0x07 line.long 0x00 "DCP_CTXBCR,DCP CEETM Transmit Byte Credits Register" hexmask.long.tbyte 0x00 0.--20. 1. " CREDIT ,Tx byte credit" line.long 0x04 "DCP_CTXFCR,DCP CEETM Transmit Frame Credits Register" hexmask.long.word 0x04 0.--14. 1. " CREDIT ,Tx frame credit" rgroup.long 0x400++0x0B line.long 0x00 "PFDR_FPC_0,PFDR Free Pool Count" hexmask.long.tbyte 0x00 0.--23. 1. " FPC ,Free pool count" line.long 0x04 "PFDR_FP_HEAD_0,PFDR Free Pool Head Pointer" hexmask.long.tbyte 0x04 0.--23. 0x01 " FPHP ,Packed frame descriptor record free pool head pointer" line.long 0x08 "PFDR_FP_TAIL_0,PFDR Free Pool Tail Pointer" hexmask.long.tbyte 0x08 0.--23. 0x01 " FPTP ,Packed frame descriptor record free pool tail pointer" group.long (0x400+0x10)++0x07 line.long 0x00 "PFDR_FP_LWIT_0,PFDR Free Pool Low Watermark Interrupt Threshold" hexmask.long.tbyte 0x00 0.--23. 1. " TH ,PFDR low watermark interrupt threshold" line.long 0x04 "PFDR_CFG_0,PFDR Configuration" hexmask.long.byte 0x04 0.--7. 1. " K ,PFDR base constant" rgroup.long 0x420++0x0B line.long 0x00 "PFDR_FPC_1,PFDR Free Pool Count" hexmask.long.tbyte 0x00 0.--23. 1. " FPC ,Free pool count" line.long 0x04 "PFDR_FP_HEAD_1,PFDR Free Pool Head Pointer" hexmask.long.tbyte 0x04 0.--23. 0x01 " FPHP ,Packed frame descriptor record free pool head pointer" line.long 0x08 "PFDR_FP_TAIL_1,PFDR Free Pool Tail Pointer" hexmask.long.tbyte 0x08 0.--23. 0x01 " FPTP ,Packed frame descriptor record free pool tail pointer" group.long (0x420+0x10)++0x07 line.long 0x00 "PFDR_FP_LWIT_1,PFDR Free Pool Low Watermark Interrupt Threshold" hexmask.long.tbyte 0x00 0.--23. 1. " TH ,PFDR low watermark interrupt threshold" line.long 0x04 "PFDR_CFG_1,PFDR Configuration" hexmask.long.byte 0x04 0.--7. 1. " K ,PFDR base constant" rgroup.long 0x440++0x0B line.long 0x00 "PFDR_FPC_2,PFDR Free Pool Count" hexmask.long.tbyte 0x00 0.--23. 1. " FPC ,Free pool count" line.long 0x04 "PFDR_FP_HEAD_2,PFDR Free Pool Head Pointer" hexmask.long.tbyte 0x04 0.--23. 0x01 " FPHP ,Packed frame descriptor record free pool head pointer" line.long 0x08 "PFDR_FP_TAIL_2,PFDR Free Pool Tail Pointer" hexmask.long.tbyte 0x08 0.--23. 0x01 " FPTP ,Packed frame descriptor record free pool tail pointer" group.long (0x440+0x10)++0x07 line.long 0x00 "PFDR_FP_LWIT_2,PFDR Free Pool Low Watermark Interrupt Threshold" hexmask.long.tbyte 0x00 0.--23. 1. " TH ,PFDR low watermark interrupt threshold" line.long 0x04 "PFDR_CFG_2,PFDR Configuration" hexmask.long.byte 0x04 0.--7. 1. " K ,PFDR base constant" rgroup.long 0x460++0x0B line.long 0x00 "PFDR_FPC_3,PFDR Free Pool Count" hexmask.long.tbyte 0x00 0.--23. 1. " FPC ,Free pool count" line.long 0x04 "PFDR_FP_HEAD_3,PFDR Free Pool Head Pointer" hexmask.long.tbyte 0x04 0.--23. 0x01 " FPHP ,Packed frame descriptor record free pool head pointer" line.long 0x08 "PFDR_FP_TAIL_3,PFDR Free Pool Tail Pointer" hexmask.long.tbyte 0x08 0.--23. 0x01 " FPTP ,Packed frame descriptor record free pool tail pointer" group.long (0x460+0x10)++0x07 line.long 0x00 "PFDR_FP_LWIT_3,PFDR Free Pool Low Watermark Interrupt Threshold" hexmask.long.tbyte 0x00 0.--23. 1. " TH ,PFDR low watermark interrupt threshold" line.long 0x04 "PFDR_CFG_3,PFDR Configuration" hexmask.long.byte 0x04 0.--7. 1. " K ,PFDR base constant" group.long 0x500++0x03 line.long 0x00 "SFDR_CFG,SFDR Configuration Register" bitfld.long 0x00 31. " RM ,SFDR reservation mode" "High priority WQs,Allocate bit set" hexmask.long.word 0x00 0.--9. 1. " TH ,SFDR high priority reservation threshold" rgroup.long 0x504++0x03 line.long 0x00 "SFDR_IN_USE,SFDR In Use Register" hexmask.long.word 0x00 0.--11. 1. " NUM ,SFDR in use number" if (((per.l(ad:0x08180000+0x600))&0x300)==0x100) if (((per.l(ad:0x08180000+0x604))&0x01)==0x01) group.long 0x600++0x03 line.long 0x00 "WQ_CS_CFG_IDX,Work Queue Class Scheduler Configuration Index" bitfld.long 0x00 8.--9. " IS ,Index select" "All SW,All CH,CH dedicated,?..." rbitfld.long 0x00 0.--3. " DCP_IDX ,DCP portal index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" else group.long 0x600++0x03 line.long 0x00 "WQ_CS_CFG_IDX,Work Queue Class Scheduler Configuration Index" bitfld.long 0x00 8.--9. " IS ,Index select" "All SW,All CH,CH dedicated,?..." bitfld.long 0x00 0.--3. " DCP_IDX ,DCP portal index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif else group.long 0x600++0x03 line.long 0x00 "WQ_CS_CFG_IDX,Work Queue Class Scheduler Configuration Index" bitfld.long 0x00 8.--9. " IS ,Index select" "All SW,All CH,CH dedicated,?..." endif group.long 0x604++0x0B line.long 0x00 "WQ_CS_CFG_IDX_LOCK,WQ Class Scheduler Configuration IDX Lock" eventfld.long 0x00 0. " L ,Lock" "Not locked,Locked" line.long 0x04 "WQ_CS_CFG,Work Queue Class Scheduler Configuration Register" hexmask.long.byte 0x04 24.--31. 1. " CS_ELEV ,WQ class scheduler elevation weight" bitfld.long 0x04 20.--22. " CSW7 ,Class scheduler weight for WQ 7" "High 0,High 1,Medium,Medium,Medium,Medium,Low,Low" bitfld.long 0x04 16.--18. " CSW6 ,Class scheduler weight for WQ 6" "High 0,High 1,Medium,Medium,Medium,Medium,Low,Low" bitfld.long 0x04 12.--14. " CSW5 ,Class scheduler weight for WQ 5" "High 0,High 1,Medium,Medium,Medium,Medium,Low,Low" newline bitfld.long 0x04 8.--10. " CSW4 ,Class scheduler weight for WQ 4" "High 0,High 1,Medium,Medium,Medium,Medium,Low,Low" bitfld.long 0x04 4.--6. " CSW3 ,Class scheduler weight for WQ 3" "High 0,High 1,Medium,Medium,Medium,Medium,Low,Low" bitfld.long 0x04 0.--2. " CSW2 ,Class scheduler weight for WQ 2" "High 0,High 1,Medium,Medium,Medium,Medium,Low,Low" line.long 0x08 "WQ_SWP_CH_CFG,WQ Software Portal Channel Configuration" hexmask.long.word 0x08 0.--11. 1. " NUM8CH ,Number of 8 WQ software portal channels" if (((per.l(ad:0x08180000+0x614))&0x01)==0x01) rgroup.long 0x610++0x03 line.long 0x00 "WQ_CH_CFG_IDX,Work Queue Channel Configuration Index" hexmask.long.word 0x00 0.--11. 1. " CHID ,Channel ID" else group.long 0x610++0x03 line.long 0x00 "WQ_CH_CFG_IDX,Work Queue Channel Configuration Index" hexmask.long.word 0x00 0.--11. 1. " CHID ,Channel ID" endif group.long 0x614++0x03 line.long 0x00 "WQ_CH_CFG_IDX_LOCK,WQ Channel Configuration Index Lock" eventfld.long 0x00 0. " L ,Lock bit" "Not locked,Locked" if (((per.l(ad:0x08180000+0x618))&0x80000000)==0x80000000) group.long 0x618++0x03 line.long 0x00 "WQ_CH_CDAN_CFG,Work Queue Channel CDAN Configuration" bitfld.long 0x00 31. " BDI ,Bypass DPAA resource isolation" "0,1" bitfld.long 0x00 30. " EN ,CDAN enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--14. 1. " CDAN_WQID ,Channel data availability notification work queue ID" else group.long 0x618++0x03 line.long 0x00 "WQ_CH_CDAN_CFG,Work Queue Channel CDAN Configuration" bitfld.long 0x00 31. " BDI ,Bypass DPAA resource isolation" "0,1" bitfld.long 0x00 30. " EN ,CDAN enable" "Disabled,Enabled" hexmask.long.word 0x00 16.--27. 1. " CDAN_VCHID ,Channel data availability notification virtual channel ID" hexmask.long.word 0x00 0.--14. 1. " CDAN_WQID ,Channel data availability notification work queue ID" endif group.long 0x61C++0x03 line.long 0x00 "WQ_CH_DD_CFG,Work Queue Channel Dynamic Debug Configuration" bitfld.long 0x00 24.--26. " TP1_CFG ,Trace point 1 configuration" "Disabled,1,2,3,Enabled,1,2,3" newline bitfld.long 0x00 23. " TP1_MASK[3] ,Trace point 1 mask 3" "Not masked,Masked" bitfld.long 0x00 22. " [2] ,Trace point 1 mask 2" "Not masked,Masked" bitfld.long 0x00 21. " [1] ,Trace point 1 mask 1" "Not masked,Masked" bitfld.long 0x00 20. " [0] ,Trace point 1 mask 0" "Not masked,Masked" newline bitfld.long 0x00 19. " TP1_CMPV[3] ,Trace point 1 compare 3" "0,1" bitfld.long 0x00 18. " [2] ,Trace point 1 compare 2" "0,1" bitfld.long 0x00 17. " [1] ,Trace point 1 compare 1" "0,1" bitfld.long 0x00 16. " [0] ,Trace point 1 compare 0" "0,1" newline bitfld.long 0x00 8.--10. " TP0_CFG ,Trace point 0 configuration" "Disabled,1,2,3,Enabled,1,2,3" newline bitfld.long 0x00 7. " TP0_MASK[3] ,Trace point 0 mask 3" "Not masked,Masked" bitfld.long 0x00 6. " [2] ,Trace point 0 mask 2" "Not masked,Masked" bitfld.long 0x00 5. " [1] ,Trace point 0 mask 1" "Not masked,Masked" bitfld.long 0x00 4. " [0] ,Trace point 0 mask 0" "Not masked,Masked" newline bitfld.long 0x00 3. " TP0_CMPV[3] ,Trace point 0 compare 3" "0,1" bitfld.long 0x00 2. " [2] ,Trace point 0 compare 2" "0,1" bitfld.long 0x00 1. " [1] ,Trace point 0 compare 1" "0,1" bitfld.long 0x00 0. " [0] ,Trace point 0 compare 0" "0,1" rgroup.long 0x620++0x0B line.long 0x00 "WQPR_FPC,Work Queue Proxy Record Free Pool Count" hexmask.long.tbyte 0x00 0.--23. 1. " FPC ,Free pool count" line.long 0x04 "WQPR_FP_HEAD,Work Queue Proxy Record Free Pool Head Pointer" hexmask.long.tbyte 0x04 0.--23. 0x01 " FPHP ,WQPR free pool head pointer" line.long 0x08 "WQPR_FP_TAIL,Work Queue Proxy Record Free Pool Tail Pointer" hexmask.long.tbyte 0x08 0.--23. 0x01 " FPTP ,Packed frame descriptor record free pool tail pointer" group.long 0x630++0x03 line.long 0x00 "WQ_DEF_ENQ_WQID,Work Queue Default Enqueue WQID" hexmask.long.word 0x00 0.--14. 1. " WQID ,Default enqueue WQ ID" rgroup.long 0x700++0x03 line.long 0x00 "FBPR_FPC,Free Buffer Proxy Records (FBPR) Free Pool Count Register" group.long 0x704++0x03 line.long 0x00 "FBPR_FP_LWIT,FBPR Free Pool Low Watermark Interrupt Threshold" hexmask.long 0x00 0.--27. 1. " TH ,FBPR low watermark interrupt threshold" rgroup.long 0x708++0x03 line.long 0x00 "FBPR_HDPTR,FBPR Free Pool Head Pointer Register" hexmask.long 0x00 0.--27. 0x01 " FPHP ,Free pool head pointer" group.long 0x720++0x07 line.long 0x00 "BMAN_CMD_PM0_CFG1,BMan Command Perf Mon 0 Configuration 1" bitfld.long 0x00 28.--30. " DEXP ,Command wait time threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--27. " DCOEFF ,Exponent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--22. " CBTH ,Coefficient" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18. " RF ,Response service FIFO full" "Not full,Full" newline bitfld.long 0x00 17. " CF ,Command service FIFO full" "Not full,Full" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "0,1" hexmask.long.word 0x00 0.--15. 1. " DCP_CFIFO ,DCP command service FIFO" line.long 0x04 "BMAN_CMD_PM0_CFG2,BMan Command Perf Mon 0 Configuration 2" hexmask.long.word 0x04 16.--29. 1. " BPID2 ,Buffer pool ID at end of selected contiguous range" hexmask.long.word 0x04 0.--13. 1. " BPID1 ,Buffer pool ID at start of selected contiguous range" if (((per.l(ad:0x08180000+0x720+0x40))&0x80000000)==0x00) group.long (0x720+0x40)++0x03 line.long 0x00 "BMAN_FL_PM0_CFG,BMan Free List Perf Mon 0 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" hexmask.long.word 0x00 16.--29. 1. " BPID2 ,Buffer pool ID at end" hexmask.long.word 0x00 0.--13. 1. " BPID1 ,Buffer pool ID at start" else group.long (0x720+0x40)++0x03 line.long 0x00 "BMAN_FL_PM0_CFG,BMan Free List Perf Mon 0 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" endif group.long 0x728++0x07 line.long 0x00 "BMAN_CMD_PM1_CFG1,BMan Command Perf Mon 1 Configuration 1" bitfld.long 0x00 28.--30. " DEXP ,Command wait time threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--27. " DCOEFF ,Exponent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--22. " CBTH ,Coefficient" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18. " RF ,Response service FIFO full" "Not full,Full" newline bitfld.long 0x00 17. " CF ,Command service FIFO full" "Not full,Full" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "0,1" hexmask.long.word 0x00 0.--15. 1. " DCP_CFIFO ,DCP command service FIFO" line.long 0x04 "BMAN_CMD_PM1_CFG2,BMan Command Perf Mon 1 Configuration 2" hexmask.long.word 0x04 16.--29. 1. " BPID2 ,Buffer pool ID at end of selected contiguous range" hexmask.long.word 0x04 0.--13. 1. " BPID1 ,Buffer pool ID at start of selected contiguous range" if (((per.l(ad:0x08180000+0x728+0x40))&0x80000000)==0x00) group.long (0x728+0x40)++0x03 line.long 0x00 "BMAN_FL_PM1_CFG,BMan Free List Perf Mon 1 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" hexmask.long.word 0x00 16.--29. 1. " BPID2 ,Buffer pool ID at end" hexmask.long.word 0x00 0.--13. 1. " BPID1 ,Buffer pool ID at start" else group.long (0x728+0x40)++0x03 line.long 0x00 "BMAN_FL_PM1_CFG,BMan Free List Perf Mon 1 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" endif group.long 0x730++0x07 line.long 0x00 "BMAN_CMD_PM2_CFG1,BMan Command Perf Mon 2 Configuration 1" bitfld.long 0x00 28.--30. " DEXP ,Command wait time threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--27. " DCOEFF ,Exponent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--22. " CBTH ,Coefficient" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18. " RF ,Response service FIFO full" "Not full,Full" newline bitfld.long 0x00 17. " CF ,Command service FIFO full" "Not full,Full" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "0,1" hexmask.long.word 0x00 0.--15. 1. " DCP_CFIFO ,DCP command service FIFO" line.long 0x04 "BMAN_CMD_PM2_CFG2,BMan Command Perf Mon 2 Configuration 2" hexmask.long.word 0x04 16.--29. 1. " BPID2 ,Buffer pool ID at end of selected contiguous range" hexmask.long.word 0x04 0.--13. 1. " BPID1 ,Buffer pool ID at start of selected contiguous range" if (((per.l(ad:0x08180000+0x730+0x40))&0x80000000)==0x00) group.long (0x730+0x40)++0x03 line.long 0x00 "BMAN_FL_PM2_CFG,BMan Free List Perf Mon 2 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" hexmask.long.word 0x00 16.--29. 1. " BPID2 ,Buffer pool ID at end" hexmask.long.word 0x00 0.--13. 1. " BPID1 ,Buffer pool ID at start" else group.long (0x730+0x40)++0x03 line.long 0x00 "BMAN_FL_PM2_CFG,BMan Free List Perf Mon 2 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" endif group.long 0x738++0x07 line.long 0x00 "BMAN_CMD_PM3_CFG1,BMan Command Perf Mon 3 Configuration 1" bitfld.long 0x00 28.--30. " DEXP ,Command wait time threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--27. " DCOEFF ,Exponent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--22. " CBTH ,Coefficient" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18. " RF ,Response service FIFO full" "Not full,Full" newline bitfld.long 0x00 17. " CF ,Command service FIFO full" "Not full,Full" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "0,1" hexmask.long.word 0x00 0.--15. 1. " DCP_CFIFO ,DCP command service FIFO" line.long 0x04 "BMAN_CMD_PM3_CFG2,BMan Command Perf Mon 3 Configuration 2" hexmask.long.word 0x04 16.--29. 1. " BPID2 ,Buffer pool ID at end of selected contiguous range" hexmask.long.word 0x04 0.--13. 1. " BPID1 ,Buffer pool ID at start of selected contiguous range" if (((per.l(ad:0x08180000+0x738+0x40))&0x80000000)==0x00) group.long (0x738+0x40)++0x03 line.long 0x00 "BMAN_FL_PM3_CFG,BMan Free List Perf Mon 3 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" hexmask.long.word 0x00 16.--29. 1. " BPID2 ,Buffer pool ID at end" hexmask.long.word 0x00 0.--13. 1. " BPID1 ,Buffer pool ID at start" else group.long (0x738+0x40)++0x03 line.long 0x00 "BMAN_FL_PM3_CFG,BMan Free List Perf Mon 3 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" endif group.long 0x740++0x07 line.long 0x00 "BMAN_CMD_PM4_CFG1,BMan Command Perf Mon 4 Configuration 1" bitfld.long 0x00 28.--30. " DEXP ,Command wait time threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--27. " DCOEFF ,Exponent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--22. " CBTH ,Coefficient" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18. " RF ,Response service FIFO full" "Not full,Full" newline bitfld.long 0x00 17. " CF ,Command service FIFO full" "Not full,Full" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "0,1" hexmask.long.word 0x00 0.--15. 1. " DCP_CFIFO ,DCP command service FIFO" line.long 0x04 "BMAN_CMD_PM4_CFG2,BMan Command Perf Mon 4 Configuration 2" hexmask.long.word 0x04 16.--29. 1. " BPID2 ,Buffer pool ID at end of selected contiguous range" hexmask.long.word 0x04 0.--13. 1. " BPID1 ,Buffer pool ID at start of selected contiguous range" if (((per.l(ad:0x08180000+0x740+0x40))&0x80000000)==0x00) group.long (0x740+0x40)++0x03 line.long 0x00 "BMAN_FL_PM4_CFG,BMan Free List Perf Mon 4 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" hexmask.long.word 0x00 16.--29. 1. " BPID2 ,Buffer pool ID at end" hexmask.long.word 0x00 0.--13. 1. " BPID1 ,Buffer pool ID at start" else group.long (0x740+0x40)++0x03 line.long 0x00 "BMAN_FL_PM4_CFG,BMan Free List Perf Mon 4 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" endif group.long 0x748++0x07 line.long 0x00 "BMAN_CMD_PM5_CFG1,BMan Command Perf Mon 5 Configuration 1" bitfld.long 0x00 28.--30. " DEXP ,Command wait time threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--27. " DCOEFF ,Exponent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--22. " CBTH ,Coefficient" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18. " RF ,Response service FIFO full" "Not full,Full" newline bitfld.long 0x00 17. " CF ,Command service FIFO full" "Not full,Full" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "0,1" hexmask.long.word 0x00 0.--15. 1. " DCP_CFIFO ,DCP command service FIFO" line.long 0x04 "BMAN_CMD_PM5_CFG2,BMan Command Perf Mon 5 Configuration 2" hexmask.long.word 0x04 16.--29. 1. " BPID2 ,Buffer pool ID at end of selected contiguous range" hexmask.long.word 0x04 0.--13. 1. " BPID1 ,Buffer pool ID at start of selected contiguous range" if (((per.l(ad:0x08180000+0x748+0x40))&0x80000000)==0x00) group.long (0x748+0x40)++0x03 line.long 0x00 "BMAN_FL_PM5_CFG,BMan Free List Perf Mon 5 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" hexmask.long.word 0x00 16.--29. 1. " BPID2 ,Buffer pool ID at end" hexmask.long.word 0x00 0.--13. 1. " BPID1 ,Buffer pool ID at start" else group.long (0x748+0x40)++0x03 line.long 0x00 "BMAN_FL_PM5_CFG,BMan Free List Perf Mon 5 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" endif group.long 0x750++0x07 line.long 0x00 "BMAN_CMD_PM6_CFG1,BMan Command Perf Mon 6 Configuration 1" bitfld.long 0x00 28.--30. " DEXP ,Command wait time threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--27. " DCOEFF ,Exponent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--22. " CBTH ,Coefficient" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18. " RF ,Response service FIFO full" "Not full,Full" newline bitfld.long 0x00 17. " CF ,Command service FIFO full" "Not full,Full" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "0,1" hexmask.long.word 0x00 0.--15. 1. " DCP_CFIFO ,DCP command service FIFO" line.long 0x04 "BMAN_CMD_PM6_CFG2,BMan Command Perf Mon 6 Configuration 2" hexmask.long.word 0x04 16.--29. 1. " BPID2 ,Buffer pool ID at end of selected contiguous range" hexmask.long.word 0x04 0.--13. 1. " BPID1 ,Buffer pool ID at start of selected contiguous range" if (((per.l(ad:0x08180000+0x750+0x40))&0x80000000)==0x00) group.long (0x750+0x40)++0x03 line.long 0x00 "BMAN_FL_PM6_CFG,BMan Free List Perf Mon 6 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" hexmask.long.word 0x00 16.--29. 1. " BPID2 ,Buffer pool ID at end" hexmask.long.word 0x00 0.--13. 1. " BPID1 ,Buffer pool ID at start" else group.long (0x750+0x40)++0x03 line.long 0x00 "BMAN_FL_PM6_CFG,BMan Free List Perf Mon 6 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" endif group.long 0x758++0x07 line.long 0x00 "BMAN_CMD_PM7_CFG1,BMan Command Perf Mon 7 Configuration 1" bitfld.long 0x00 28.--30. " DEXP ,Command wait time threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--27. " DCOEFF ,Exponent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--22. " CBTH ,Coefficient" "0,1,2,3,4,5,6,7" bitfld.long 0x00 18. " RF ,Response service FIFO full" "Not full,Full" newline bitfld.long 0x00 17. " CF ,Command service FIFO full" "Not full,Full" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "0,1" hexmask.long.word 0x00 0.--15. 1. " DCP_CFIFO ,DCP command service FIFO" line.long 0x04 "BMAN_CMD_PM7_CFG2,BMan Command Perf Mon 7 Configuration 2" hexmask.long.word 0x04 16.--29. 1. " BPID2 ,Buffer pool ID at end of selected contiguous range" hexmask.long.word 0x04 0.--13. 1. " BPID1 ,Buffer pool ID at start of selected contiguous range" if (((per.l(ad:0x08180000+0x758+0x40))&0x80000000)==0x00) group.long (0x758+0x40)++0x03 line.long 0x00 "BMAN_FL_PM7_CFG,BMan Free List Perf Mon 7 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" hexmask.long.word 0x00 16.--29. 1. " BPID2 ,Buffer pool ID at end" hexmask.long.word 0x00 0.--13. 1. " BPID1 ,Buffer pool ID at start" else group.long (0x758+0x40)++0x03 line.long 0x00 "BMAN_FL_PM7_CFG,BMan Free List Perf Mon 7 Configuration" bitfld.long 0x00 31. " FP ,Monitor free pool of FBPR's" "0,1" endif rgroup.long 0x780++0x03 line.long 0x00 "BMAN_STATE_IDLE,BMan Idle Status Register" bitfld.long 0x00 3. " A ,Stop acknowledged" "Not stopped,Stopped" bitfld.long 0x00 2. " S ,Stop request" "Not stopped,Stopped" bitfld.long 0x00 1. " E ,Empty" "Not empty,Empty" bitfld.long 0x00 0. " I ,Idle" "Not idle,Idle" group.long 0x784++0x03 line.long 0x00 "BMAN_STATE_STOP,BMan Stop Register" bitfld.long 0x00 31. " D ,Stop servicing hardware (DCP) portal requests" "Not stopped,Stopped" bitfld.long 0x00 30. " P ,Stop servicing software portal requests" "Not stopped,Stopped" bitfld.long 0x00 29. " F ,Stop servicing fetch/flush requests from the stockpile" "Not stopped,Stopped" bitfld.long 0x00 2. " S ,Stop request" "Not stopped,Stopped" if (((per.l(ad:0x08180000+0x790))&0x10000)==0x10000) rgroup.long 0x790++0x03 line.long 0x00 "BMAN_CECR,BMan Corruption Error Capture Register" bitfld.long 0x00 16. " FP ,Free pool" "0,1" hexmask.long.word 0x00 0.--13. 1. " BPID ,Buffer pool ID" else rgroup.long 0x790++0x03 line.long 0x00 "BMAN_CECR,BMan Corruption Error Capture Register" bitfld.long 0x00 16. " FP ,Free pool" "0,1" endif rgroup.long 0x794++0x03 line.long 0x00 "BMAN_CEAR,BMan Corruption Error Address Register" hexmask.long 0x00 0.--27. 0x01 " ADDR ,Address" group.long 0x7C0++0x0B line.long 0x00 "FBPR_BAR,Free Buffer Proxy Records (FBPR) Base Address Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BA ,Base address" line.long 0x04 "FBPR_BARE,FBPR Extended Base Address Register" line.long 0x08 "FBPR_AR,FBPR Attributes Register" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 0.--5. " SIZE ,Identifies the size of the window from the base address" ",,,,,,,,,,,4 KB,8 KB,16 KB,32 KB,64 KB,128 KB,256 KB,512 KB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB,8 GB,16 GB,?..." group.long 0x7F0++0x07 line.long 0x00 "BMAN_DEBUG_CFIFO,BMan Debug: Command FIFO Disable Register" bitfld.long 0x00 16. " SF ,Software portal command service FIFO" "Enabled,Disabled" bitfld.long 0x00 15. " DCP_CFIFO[15] ,DCP portal 15 FIFOs disable" "No,Yes" bitfld.long 0x00 14. " [14] ,DCP portal 14 FIFOs disable" "No,Yes" bitfld.long 0x00 13. " [13] ,DCP portal 13 FIFOs disable" "No,Yes" bitfld.long 0x00 12. " [12] ,DCP portal 12 FIFOs disable" "No,Yes" newline bitfld.long 0x00 11. " [11] ,DCP portal 11 FIFOs disable" "No,Yes" bitfld.long 0x00 10. " [10] ,DCP portal 10 FIFOs disable" "No,Yes" bitfld.long 0x00 9. " [9] ,DCP portal 9 FIFOs disable" "No,Yes" bitfld.long 0x00 8. " [8] ,DCP portal 8 FIFOs disable" "No,Yes" bitfld.long 0x00 7. " [7] ,DCP portal 7 FIFOs disable" "No,Yes" newline bitfld.long 0x00 6. " [6] ,DCP portal 6 FIFOs disable" "No,Yes" bitfld.long 0x00 5. " [5] ,DCP portal 5 FIFOs disable" "No,Yes" bitfld.long 0x00 4. " [4] ,DCP portal 4 FIFOs disable" "No,Yes" bitfld.long 0x00 3. " [3] ,DCP portal 3 FIFOs disable" "No,Yes" bitfld.long 0x00 2. " [2] ,DCP portal 2 FIFOs disable" "No,Yes" newline bitfld.long 0x00 1. " [1] ,DCP portal 1 FIFOs disable" "No,Yes" bitfld.long 0x00 0. " [0] ,DCP portal 0 FIFOs disable" "No,Yes" line.long 0x04 "BMAN_DEBUG_FSM,BMan Debug State Machine Disable Register" bitfld.long 0x04 1. " F ,Fetch/Flush state machine disable" "No,Yes" bitfld.long 0x04 0. " S ,FIFO service state machine disable" "No,Yes" group.long 0x800++0x03 line.long 0x00 "CM_CFG,CM Configuration Register" hexmask.long.word 0x00 0.--15. 1. " PRES ,WRED block averaging timer pre-scaler" if (((per.l(ad:0x08180000+0x904))&0x01)==0x00) group.long 0x900++0x03 line.long 0x00 "CEETM_CFG_IDX,CEETM Configuration Index Register" bitfld.long 0x00 4.--5. " IID ,CEETM instance ID" "0,1,2,3" rbitfld.long 0x00 0.--3. " DCPID ,CEETM portal ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" else group.long 0x900++0x03 line.long 0x00 "CEETM_CFG_IDX,CEETM Configuration Index Register" bitfld.long 0x00 4.--5. " IID ,CEETM instance ID" "0,1,2,3" bitfld.long 0x00 0.--3. " DCPID ,CEETM portal ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif group.long 0x904++0x07 line.long 0x00 "CEETM_CFG_IDX_LOCK,CEETM Configuration Index Lock" eventfld.long 0x00 0. " L ,Lock bit" "Not locked,Locked" line.long 0x04 "CEETM_CFG_PRES,CEETM Configuration Pre-Scaler Register" hexmask.long.word 0x04 0.--15. 1. " PRES ,CEETM shaper pre-scaler value" rgroup.long 0x90C++0x03 line.long 0x00 "CEETM_XSFDR_IN_USE,CEETM XSFDR In Use Register" hexmask.long.word 0x00 0.--12. 1. " NUM ,XSFDR in use number" group.long 0x910++0x03 line.long 0x00 "CEETM_DD_CFG,CEETM Dynamic Debug Configuration Register" rbitfld.long 0x00 31. " CPEND ,Completion pending" "Complete,In progress" bitfld.long 0x00 30. " CMD ,Command type" "Configure,Query" hexmask.long.word 0x00 16.--27. 1. " DCT_IDX ,Dequeue context table index" bitfld.long 0x00 12. " TP_SEL ,Trace point select" "0,1" bitfld.long 0x00 8.--10. " TP_CFG ,Trace point configuration" "Disabled,1,2,3,Enabled,1,2,3" newline bitfld.long 0x00 7. " TP_MASK[3] ,Trace point mask 3" "Not masked,Masked" bitfld.long 0x00 6. " [2] ,Trace point mask 2" "Not masked,Masked" bitfld.long 0x00 5. " [1] ,Trace point mask 1" "Not masked,Masked" bitfld.long 0x00 4. " [0] ,Trace point mask 0" "Not masked,Masked" bitfld.long 0x00 3. " TP_CMPV[3] ,Trace point compare 3" "0,1" newline bitfld.long 0x00 2. " [2] ,Trace point compare 2" "0,1" bitfld.long 0x00 1. " [1] ,Trace point compare 1" "0,1" bitfld.long 0x00 0. " [0] ,Trace point compare 0" "0,1" group.long 0xA00++0x03 line.long 0x00 "ECSR,Error Capture Status Register" eventfld.long 0x00 31. " ME ,Multiple errors" "No multiple,Multiple" eventfld.long 0x00 21. " SBEI ,Single bit ECC error interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 16. " ICVI ,Invalid command verb interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 11. " IDDI ,Invalid dequeue direct connect portal interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 4. " IECE ,Invalid enqueue configuration error" "Not interrupt,Interrupt" newline eventfld.long 0x00 1. " IECI ,Invalid enqueue channel interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 0. " MBEI ,Multi bit ECC error interrupt" "Not interrupt,Interrupt" rgroup.long 0xA04++0x0B line.long 0x00 "EADR,ECC Error Address Register" bitfld.long 0x00 24.--28. " MEM_ID ,Memory ID" "FQD,SFDR,WQ,WQ CDAN,CGR,OPR,Enqueue,SW ring,BP stockpile,SW auth table,QMan DCP,QMan DCP,QD,QD QPri,ERL,ERL context,Class queue desc,Extended SFDR,Logical FQ,Dequeue context,Congestion group,Channel shaping,Channel scheduling,Dequeue statistics,Internal enqueue,Queue shaping,?..." hexmask.long.word 0x00 0.--15. 0x01 " EADR ,Error address" line.long 0x04 "ECIR,Error Capture Information Register" hexmask.long.tbyte 0x04 0.--23. 1. " FQID ,Frame queue ID" line.long 0x08 "ECIR2,Error Capture Information Register 2" bitfld.long 0x08 31. " T ,Portal type" "SW,DCP" hexmask.long.word 0x08 0.--9. 1. " PORTAL ,Portal number" group.long 0xA10++0x0B line.long 0x00 "RERR_REL_FQID,Recoverable Error Logging FQID Register" hexmask.long.tbyte 0x00 0.--23. 1. " REL_FQID ,Recoverable error logging FQID" line.long 0x04 "ECC_SBET,ECC Single Bit Error Threshold Register" hexmask.long.byte 0x04 0.--7. 1. " SBET ,Single bit error threshold" line.long 0x08 "ECDD,ECC Correction And Detection Disable Register" bitfld.long 0x08 25. " ECDD[25] ,Egress traffic management class queue shaper memory" "No,Yes" bitfld.long 0x08 24. " [24] ,Order restoration: internal deferred enqueue record memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 23. " [23] ,Egress traffic management dequeue statistics memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 22. " [22] ,Egress traffic management class queue channel scheduling memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 21. " [21] ,Egress traffic management class queue channel shaping memory ECC correction and error reporting disable" "No,Yes" newline bitfld.long 0x08 20. " [20] ,Egress traffic management class congestion group record memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 19. " [19] ,Egress traffic management dequeue context memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 18. " [18] ,Egress traffic management logical FQ mapping memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 17. " [17] ,Egress traffic management extended SFDR memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 16. " [16] ,Egress traffic management class queue descriptor memory ECC correction and error reporting disable" "No,Yes" newline bitfld.long 0x08 15. " [15] ,Enqueue replication context record memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 14. " [14] ,Enqueue replication list record memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 13. " [13] ,Queuing destination qpri record memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 12. " [12] ,Queuing destination record memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 11. " [11] ,BMan DCP portal authorization table memory ECC correction and error reporting disable" "No,Yes" newline bitfld.long 0x08 10. " [10] ,QMan DCP portal authorization table memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 9. " [9] ,Software portal authorization table memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 8. " [8] ,Buffer pool stockpile memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 7. " [7] ,Software portal ring memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 6. " [6] ,Order restoration: deferred enqueue memory ECC correction and error reporting disable" "No,Yes" newline bitfld.long 0x08 5. " [5] ,Order restoration: order point record (OPR) memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 4. " [4] ,Congestion group record (CGR) memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 3. " [3] ,WQ CDAN record memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 2. " [2] ,WQ context memory ECC correction and error reporting disable" "No,Yes" bitfld.long 0x08 1. " [1] ,SFDR memory ECC correction and error reporting disable" "No,Yes" newline bitfld.long 0x08 0. " [0] ,FQD memory ECC correction and error reporting disable" "No,Yes" hgroup.long 0xA40++0x03 hide.long 0x00 "ECC_SBEC0,ECC Single Bit Error Count 0 Register" in hgroup.long 0xA44++0x03 hide.long 0x00 "ECC_SBEC1,ECC Single Bit Error Count 1 Register" in hgroup.long 0xA48++0x03 hide.long 0x00 "ECC_SBEC2,ECC Single Bit Error Count 2 Register" in hgroup.long 0xA4C++0x03 hide.long 0x00 "ECC_SBEC3,ECC Single Bit Error Count 3 Register" in hgroup.long 0xA50++0x03 hide.long 0x00 "ECC_SBEC4,ECC Single Bit Error Count 4 Register" in hgroup.long 0xA54++0x03 hide.long 0x00 "ECC_SBEC5,ECC Single Bit Error Count 5 Register" in hgroup.long 0xA58++0x03 hide.long 0x00 "ECC_SBEC6,ECC Single Bit Error Count 6 Register" in hgroup.long 0xA5C++0x03 hide.long 0x00 "ECC_SBEC7,ECC Single Bit Error Count 7 Register" in hgroup.long 0xA60++0x03 hide.long 0x00 "ECC_SBEC8,ECC Single Bit Error Count 8 Register" in hgroup.long 0xA64++0x03 hide.long 0x00 "ECC_SBEC9,ECC Single Bit Error Count 9 Register" in hgroup.long 0xA68++0x03 hide.long 0x00 "ECC_SBEC10,ECC Single Bit Error Count 10 Register" in hgroup.long 0xA6C++0x03 hide.long 0x00 "ECC_SBEC11,ECC Single Bit Error Count 11 Register" in hgroup.long 0xA70++0x03 hide.long 0x00 "ECC_SBEC12,ECC Single Bit Error Count 12 Register" in hgroup.long 0xA74++0x03 hide.long 0x00 "ECC_SBEC13,ECC Single Bit Error Count 13 Register" in hgroup.long 0xA78++0x03 hide.long 0x00 "ECC_SBEC14,ECC Single Bit Error Count 14 Register" in hgroup.long 0xA7C++0x03 hide.long 0x00 "ECC_SBEC15,ECC Single Bit Error Count 15 Register" in hgroup.long 0xA80++0x03 hide.long 0x00 "ECC_SBEC16,ECC Single Bit Error Count 16 Register" in hgroup.long 0xA84++0x03 hide.long 0x00 "ECC_SBEC17,ECC Single Bit Error Count 17 Register" in hgroup.long 0xA88++0x03 hide.long 0x00 "ECC_SBEC18,ECC Single Bit Error Count 18 Register" in hgroup.long 0xA8C++0x03 hide.long 0x00 "ECC_SBEC19,ECC Single Bit Error Count 19 Register" in hgroup.long 0xA90++0x03 hide.long 0x00 "ECC_SBEC20,ECC Single Bit Error Count 20 Register" in hgroup.long 0xA94++0x03 hide.long 0x00 "ECC_SBEC21,ECC Single Bit Error Count 21 Register" in hgroup.long 0xA98++0x03 hide.long 0x00 "ECC_SBEC22,ECC Single Bit Error Count 22 Register" in hgroup.long 0xA9C++0x03 hide.long 0x00 "ECC_SBEC23,ECC Single Bit Error Count 23 Register" in hgroup.long 0xAA0++0x03 hide.long 0x00 "ECC_SBEC24,ECC Single Bit Error Count 24 Register" in group.long 0xB00++0x0B line.long 0x00 "QMAN_MCR,QMan Management Command/Result Register" hexmask.long.byte 0x00 0.--7. 1. " CMD_RSLT ,QMan management command/result" line.long 0x04 "QMAN_MCP0,QMan Management Command Parameter 0 Register" line.long 0x08 "QMAN_MCP1,QMan Management Command Parameter 1 Register" rgroup.long 0xB20++0x03 line.long 0x00 "QMAN_MR0,QMan Management Return Register 0" rgroup.long 0xB24++0x03 line.long 0x00 "QMAN_MR1,QMan Management Return Register 1" rgroup.long 0xB28++0x03 line.long 0x00 "QMAN_MR2,QMan Management Return Register 2" rgroup.long 0xB2C++0x03 line.long 0x00 "QMAN_MR3,QMan Management Return Register 3" rgroup.long 0xB30++0x03 line.long 0x00 "QMAN_MR4,QMan Management Return Register 4" rgroup.long 0xB34++0x03 line.long 0x00 "QMAN_MR5,QMan Management Return Register 5" rgroup.long 0xB38++0x03 line.long 0x00 "QMAN_MR6,QMan Management Return Register 6" rgroup.long 0xB3C++0x03 line.long 0x00 "QMAN_MR7,QMan Management Return Register 7" rgroup.long 0xB40++0x03 line.long 0x00 "QMAN_MR8,QMan Management Return Register 8" rgroup.long 0xB44++0x03 line.long 0x00 "QMAN_MR9,QMan Management Return Register 9" rgroup.long 0xB48++0x03 line.long 0x00 "QMAN_MR10,QMan Management Return Register 10" rgroup.long 0xB4C++0x03 line.long 0x00 "QMAN_MR11,QMan Management Return Register 11" rgroup.long 0xB50++0x03 line.long 0x00 "QMAN_MR12,QMan Management Return Register 12" rgroup.long 0xB54++0x03 line.long 0x00 "QMAN_MR13,QMan Management Return Register 13" rgroup.long 0xB58++0x03 line.long 0x00 "QMAN_MR14,QMan Management Return Register 14" rgroup.long 0xB5C++0x03 line.long 0x00 "QMAN_MR15,QMan Management Return Register 15" group.long 0xBE0++0x07 line.long 0x00 "QMAN_MISC_CFG,QMan Miscellaneous Configuration Register" bitfld.long 0x00 31. " SP ,Spare read/write bit" "0,1" bitfld.long 0x00 3. " OREE ,Order restoration external memory access enable" "Internal,Extended" bitfld.long 0x00 2. " ICS_PM ,Intra-Class scheduling (ICS) precedence mode" "0,1" bitfld.long 0x00 1. " WPM ,Waterfall power management enable" "Default,Enabled" line.long 0x04 "QMAN_OR_RDCR,QMan Order Restoration Resource Depletion Control Register" bitfld.long 0x04 16. " ORDBE ,Order restoration resource depletion backpressure enable" "Continued,Paused" hexmask.long.word 0x04 0.--15. 1. " ORDT ,Order restoration resource depletion threshold" rgroup.long 0xBF4++0x0B line.long 0x00 "QMAN_IDLE_STAT,QMan Idle Status Register" bitfld.long 0x00 27. " IDLE_COND[11] ,CEETM pipelines idle" "Not idle,Idle" bitfld.long 0x00 26. " [10] ,Enqueue replicator idle" "Not idle,Idle" bitfld.long 0x00 25. " [9] ,PFDR prefetch idle" "Not idle,Idle" bitfld.long 0x00 24. " [8] ,BMan state machines idle" "Not idle,Idle" bitfld.long 0x00 23. " [7] ,System target and initiator interfaces idle" "Not idle,Idle" newline bitfld.long 0x00 22. " [6] ,CCSR interface idle" "Not idle,Idle" bitfld.long 0x00 21. " [5] ,All algorithmic sequencers idle" "Not idle,Idle" bitfld.long 0x00 20. " [4] ,All non-recoverable error interrupts idle" "Not idle,Idle" bitfld.long 0x00 19. " [3] ,All recoverable error interrupts idle" "Not idle,Idle" bitfld.long 0x00 18. " [2] ,All software portal interrupts idle" "Not idle,Idle" newline bitfld.long 0x00 17. " [1] ,All software portals idle" "Not idle,Idle" bitfld.long 0x00 16. " [0] ,All DCP portals idle" "Not idle,Idle" bitfld.long 0x00 1. " E ,Empty" "Not empty,Empty" bitfld.long 0x00 0. " I ,Idle" "Not idle,Idle" line.long 0x04 "QMAN_IP_REV_1,QMan IP Block Revision 1 Register" hexmask.long.word 0x04 16.--31. 1. " IP_ID ,IP block ID" hexmask.long.byte 0x04 8.--15. 1. " IP_MJ ,Major revision" hexmask.long.byte 0x04 0.--7. 1. " IP_MN ,Minor revision" line.long 0x08 "QMAN_IP_REV_2,QMan IP Block Revision 2 Register" hexmask.long.byte 0x08 16.--23. 1. " IP_INT ,Integration options" hexmask.long.byte 0x08 8.--15. 1. " IP_ERR ,Errata revision level" hexmask.long.byte 0x08 0.--7. 1. " IP_CFG ,Configuration options" if (((per.l(ad:0x08180000+0xC20+0x08))&0x400000)==0x400000) group.long 0xC20++0x0B line.long 0x00 "PFDR_BAR_0,Packed Frame Descriptor Record (PFDR) Base Address" hexmask.long 0x00 7.--31. 0x80 " BASE_ADDR ,Base address" line.long 0x04 "PFDR_BARE_0,PFDR Extended Base Address Register" line.long 0x08 "PFDR_AR_0,PFDR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 22. " SM ,Size mode" "Disabled,Enabled" hexmask.long.tbyte 0x08 0.--21. 1. " SIZE_MAX ,Size of a PFDR pool by specifying the maximum PFDR index" else group.long 0xC20++0x0B line.long 0x00 "PFDR_BAR_0,Packed Frame Descriptor Record (PFDR) Base Address" hexmask.long 0x00 7.--31. 0x80 " BASE_ADDR ,Base address" line.long 0x04 "PFDR_BARE_0,PFDR Extended Base Address Register" line.long 0x08 "PFDR_AR_0,PFDR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 22. " SM ,Size mode" "Disabled,Enabled" hexmask.long.tbyte 0x08 0.--21. 1. " SIZE_MAX ,Size of the window from the base address" endif if (((per.l(ad:0x08180000+0xC40+0x08))&0x400000)==0x400000) group.long 0xC40++0x0B line.long 0x00 "PFDR_BAR_1,Packed Frame Descriptor Record (PFDR) Base Address" hexmask.long 0x00 7.--31. 0x80 " BASE_ADDR ,Base address" line.long 0x04 "PFDR_BARE_1,PFDR Extended Base Address Register" line.long 0x08 "PFDR_AR_1,PFDR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 22. " SM ,Size mode" "Disabled,Enabled" hexmask.long.tbyte 0x08 0.--21. 1. " SIZE_MAX ,Size of a PFDR pool by specifying the maximum PFDR index" else group.long 0xC40++0x0B line.long 0x00 "PFDR_BAR_1,Packed Frame Descriptor Record (PFDR) Base Address" hexmask.long 0x00 7.--31. 0x80 " BASE_ADDR ,Base address" line.long 0x04 "PFDR_BARE_1,PFDR Extended Base Address Register" line.long 0x08 "PFDR_AR_1,PFDR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 22. " SM ,Size mode" "Disabled,Enabled" hexmask.long.tbyte 0x08 0.--21. 1. " SIZE_MAX ,Size of the window from the base address" endif if (((per.l(ad:0x08180000+0xC60+0x08))&0x400000)==0x400000) group.long 0xC60++0x0B line.long 0x00 "PFDR_BAR_2,Packed Frame Descriptor Record (PFDR) Base Address" hexmask.long 0x00 7.--31. 0x80 " BASE_ADDR ,Base address" line.long 0x04 "PFDR_BARE_2,PFDR Extended Base Address Register" line.long 0x08 "PFDR_AR_2,PFDR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 22. " SM ,Size mode" "Disabled,Enabled" hexmask.long.tbyte 0x08 0.--21. 1. " SIZE_MAX ,Size of a PFDR pool by specifying the maximum PFDR index" else group.long 0xC60++0x0B line.long 0x00 "PFDR_BAR_2,Packed Frame Descriptor Record (PFDR) Base Address" hexmask.long 0x00 7.--31. 0x80 " BASE_ADDR ,Base address" line.long 0x04 "PFDR_BARE_2,PFDR Extended Base Address Register" line.long 0x08 "PFDR_AR_2,PFDR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 22. " SM ,Size mode" "Disabled,Enabled" hexmask.long.tbyte 0x08 0.--21. 1. " SIZE_MAX ,Size of the window from the base address" endif if (((per.l(ad:0x08180000+0xC80+0x08))&0x400000)==0x400000) group.long 0xC80++0x0B line.long 0x00 "PFDR_BAR_3,Packed Frame Descriptor Record (PFDR) Base Address" hexmask.long 0x00 7.--31. 0x80 " BASE_ADDR ,Base address" line.long 0x04 "PFDR_BARE_3,PFDR Extended Base Address Register" line.long 0x08 "PFDR_AR_3,PFDR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 22. " SM ,Size mode" "Disabled,Enabled" hexmask.long.tbyte 0x08 0.--21. 1. " SIZE_MAX ,Size of a PFDR pool by specifying the maximum PFDR index" else group.long 0xC80++0x0B line.long 0x00 "PFDR_BAR_3,Packed Frame Descriptor Record (PFDR) Base Address" hexmask.long 0x00 7.--31. 0x80 " BASE_ADDR ,Base address" line.long 0x04 "PFDR_BARE_3,PFDR Extended Base Address Register" line.long 0x08 "PFDR_AR_3,PFDR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 22. " SM ,Size mode" "Disabled,Enabled" hexmask.long.tbyte 0x08 0.--21. 1. " SIZE_MAX ,Size of the window from the base address" endif group.long 0xC30++0x0B line.long 0x00 "WQPR_BAR,Work Queue Proxy Record (WQPR) Base Address" hexmask.long.tbyte 0x00 12.--31. 0x10 " BA ,Base address" line.long 0x04 "WQPR_BARE,WQPR Extended Base Address Register" line.long 0x08 "WQPR_AR,WQPR Attributes Register" bitfld.long 0x08 31. " EN ,Data structure access enable" "Disabled,Enabled" bitfld.long 0x08 30. " P ,Data structure transaction priority" "Lower,Higher" bitfld.long 0x08 29. " SE ,CPC stash enable" "Disabled,Enabled" bitfld.long 0x08 0.--5. " SIZE ,Identifies the size of the window from the base address" ",,,,,,,,,,,4 KB,8 KB,16 KB,32 KB,64 KB,128 KB,256 KB,512 KB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,?..." group.long 0xC80++0x17 line.long 0x00 "SWP_BAR,Software Portal Base Address Register" hexmask.long.byte 0x00 27.--31. 0x08 " BA ,Base address" line.long 0x04 "SWP_BARE,Software Portal Extended Base Address Register" line.long 0x08 "SWP_ICA1,Software Portal Initiator Coherency Attributes 1 Register" bitfld.long 0x08 28. " RNW_CA_WAE[4] ,Response and notification write coherency attributes write allocate enabled NO_SNOOP value" "0,1" bitfld.long 0x08 27. " [3] ,Response and notification write coherency attributes write allocate enabled AWCACHE[3] value" "0,1" bitfld.long 0x08 26. " [2] ,Response and notification write coherency attributes write allocate enabled AWCACHE[2] value" "0,1" bitfld.long 0x08 25. " [1] ,Response and notification write coherency attributes write allocate enabled AWCACHE[1] value" "0,1" bitfld.long 0x08 24. " [0] ,Response and notification write coherency attributes write allocate enabled AWCACHE[0] value" "0,1" newline bitfld.long 0x08 20. " RNW_CA_WAD[4] ,Response and notification write coherency attributes write allocate disabled NO_SNOOP value" "0,1" bitfld.long 0x08 19. " [3] ,Response and notification write coherency attributes write allocate disabled AWCACHE[3] value" "0,1" bitfld.long 0x08 18. " [2] ,Response and notification write coherency attributes write allocate disabled AWCACHE[2] value" "0,1" bitfld.long 0x08 17. " [1] ,Response and notification write coherency attributes write allocate disabled AWCACHE[1] value" "0,1" bitfld.long 0x08 16. " [0] ,Response and notification write coherency attributes write allocate disabled AWCACHE[0] value" "0,1" newline bitfld.long 0x08 12. " CWS_CA[4] ,Cache warming stashing coherency attributes NO_SNOOP value" "0,1" bitfld.long 0x08 11. " [3] ,Cache warming stashing coherency attributes AWCACHE[3] value" "0,1" bitfld.long 0x08 10. " [2] ,Cache warming stashing coherency attributes AWCACHE[2] value" "0,1" bitfld.long 0x08 9. " [1] ,Cache warming stashing coherency attributes AWCACHE[1] value" "0,1" bitfld.long 0x08 8. " [0] ,Cache warming stashing coherency attributes AWCACHE[0] value" "0,1" newline bitfld.long 0x08 4. " WAS_CA[4] ,Write allocate stashing coherency attributes NO_SNOOP value" "0,1" bitfld.long 0x08 3. " [3] ,Write allocate stashing coherency attributes AWCACHE[3] value" "0,1" bitfld.long 0x08 2. " [2] ,Write allocate stashing coherency attributes AWCACHE[2] value" "0,1" bitfld.long 0x08 1. " [1] ,Write allocate stashing coherency attributes AWCACHE[1] value" "0,1" bitfld.long 0x08 0. " [0] ,Write allocate stashing coherency attributes AWCACHE[0] value" "0,1" line.long 0x0C "SWP_ICA2,Software Portal Initiator Coherency Attributes 2 Register" bitfld.long 0x0C 28. " SCR_CA_SD[4] ,Software portal command read coherency attributes NO_SNOOP value" "No interrupt,Interrupt" bitfld.long 0x0C 27. " [3] ,Software portal command read coherency attributes ARCACHE[3] value" "No interrupt,Interrupt" bitfld.long 0x0C 26. " [2] ,Software portal command read coherency attributes ARCACHE[2] value" "No interrupt,Interrupt" bitfld.long 0x0C 25. " [1] ,Software portal command read coherency attributes ARCACHE[1] value" "No interrupt,Interrupt" bitfld.long 0x0C 24. " [0] ,Software portal command read coherency attributes ARCACHE[0] value" "No interrupt,Interrupt" newline bitfld.long 0x0C 20. " CPW_CA_SD[4] ,Cacheable portal writes coherency attributes NO_SNOOP value" "No interrupt,Interrupt" bitfld.long 0x0C 19. " [3] ,Cacheable portal writes coherency attributes AWCACHE[3] value" "No interrupt,Interrupt" bitfld.long 0x0C 18. " [2] ,Cacheable portal writes coherency attributes AWCACHE[2] value" "No interrupt,Interrupt" bitfld.long 0x0C 17. " [1] ,Cacheable portal writes coherency attributes AWCACHE[1] value" "No interrupt,Interrupt" bitfld.long 0x0C 16. " [0] ,Cacheable portal writes coherency attributes AWCACHE[0] value" "No interrupt,Interrupt" newline bitfld.long 0x0C 12. " SCR_CA[4] ,Software portal command read coherency attributes NO_SNOOP value" "No interrupt,Interrupt" bitfld.long 0x0C 11. " [3] ,Software portal command read coherency attributes ARCACHE[3] value" "No interrupt,Interrupt" bitfld.long 0x0C 10. " [2] ,Software portal command read coherency attributes ARCACHE[2] value" "No interrupt,Interrupt" bitfld.long 0x0C 9. " [1] ,Software portal command read coherency attributes ARCACHE[1] value" "No interrupt,Interrupt" bitfld.long 0x0C 8. " [0] ,Software portal command read coherency attributes ARCACHE[0] value" "No interrupt,Interrupt" newline bitfld.long 0x0C 4. " IMW_CA[4] ,Interrupt message write coherency attributes NO_SNOOP value" "No interrupt,Interrupt" bitfld.long 0x0C 3. " [3] ,Interrupt message write coherency attributes AWCACHE[3] value" "No interrupt,Interrupt" bitfld.long 0x0C 2. " [2] ,Interrupt message write coherency attributes AWCACHE[2] value" "No interrupt,Interrupt" bitfld.long 0x0C 1. " [1] ,Interrupt message write coherency attributes AWCACHE[1] value" "No interrupt,Interrupt" bitfld.long 0x0C 0. " [0] ,Interrupt message write coherency attributes AWCACHE[0] value" "No interrupt,Interrupt" line.long 0x10 "SWP_WAS_CFG,Software Portal Write Allocate Stashing Configuration Register" bitfld.long 0x10 15. " PL ,Privilege level" "0,1" hexmask.long.word 0x10 0.--14. 1. " ICID ,Isolation context ID" line.long 0x14 "SWP_AMQ_CFG,Software Portal Access Management Qualifiers Configuration Register" bitfld.long 0x14 15. " PL ,Privilege level" "0,1" hexmask.long.word 0x14 0.--14. 1. " ICID ,Isolation context ID" group.long 0xCA0++0x07 line.long 0x00 "SWP_BAR_CP_MEM,Software Portal Base Address Register" hexmask.long.byte 0x00 26.--31. 0x04 " BA ,Base address" line.long 0x04 "SWP_BARE_CP_MEM,Software Portal Extended Base Address Register" if (((per.l(ad:0x08180000+0xD00))&0x80000000)==0x80000000) group.long 0xD00++0x03 line.long 0x00 "CI_SCHED_CFG,Initiator Scheduling Configuration" bitfld.long 0x00 31. " SW ,Stash request credit counter initial value write enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " SRCCIV ,Stash request credit counter initial value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--10. " SRQ_W ,Stash request queues (SRQ) scheduling weight" "1,2,3,4,5,6,7,8" bitfld.long 0x00 4.--6. " RW_W ,QMan read/write transaction scheduling weight" "1,2,3,4,5,6,7,8" bitfld.long 0x00 0.--2. " BMAN_W ,BMan fetch/flush sequencer scheduling weight" "1,2,3,4,5,6,7,8" else group.long 0xD00++0x03 line.long 0x00 "CI_SCHED_CFG,Initiator Scheduling Configuration" bitfld.long 0x00 31. " SW ,Stash request credit counter initial value write enable" "Disabled,Enabled" bitfld.long 0x00 8.--10. " SRQ_W ,Stash request queues (SRQ) scheduling weight" "1,2,3,4,5,6,7,8" bitfld.long 0x00 4.--6. " RW_W ,QMan read/write transaction scheduling weight" "1,2,3,4,5,6,7,8" bitfld.long 0x00 0.--2. " BMAN_W ,BMan fetch/flush sequencer scheduling weight" "1,2,3,4,5,6,7,8" endif rgroup.long 0xD04++0x03 line.long 0x00 "QMAN_SRCIDR,QMan Source ID Register" hexmask.long.byte 0x00 0.--7. 1. " SRCID ,QMan's source ID" group.long 0xD08++0x13 line.long 0x00 "QMAN_ICIDR,QManIsolation Context ID Register" bitfld.long 0x00 31. " PL3 ,Privilege level for PFDR pool3" "0,1" bitfld.long 0x00 30. " PL2 ,Privilege level for PFDR pool2" "0,1" bitfld.long 0x00 29. " PL1 ,Privilege level for PFDR pool1" "0,1" bitfld.long 0x00 22. " CR ,Software portal Command Read steering mode" "Asserted,Negated" newline bitfld.long 0x00 21. " RA ,Read allocate stash steering mode" "Side-band,No side-band" bitfld.long 0x00 20. " WA ,Write allocate stash steering mode" "Side-band,No side-band" bitfld.long 0x00 17. " PLF ,Privilege level for FBPR" "0,1" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.word 0x00 0.--14. 1. " ICID ,QMan's private isolation context ID" line.long 0x04 "QMAN_PD_CA,QMan Private Data Coherency Attributes Register" bitfld.long 0x04 24.--28. " PDR_CA_CSE ,Private data read coherency attributes CPC stashing enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 16.--20. " PDR_CA_CSD ,Private data read coherency attributes CPC stashing disabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 8.--12. " PDW_CA_CSE ,Private data write coherency attributes CPC stashing enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 0.--4. " PDW_CA_CSD ,Private data write coherency attributes CPC stashing disabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x08 "CI_RLM_CFG,Initiator Read Latency Monitor Configuration" bitfld.long 0x08 26. " RSE ,Read allocate stash exclude from RLM" "Not excluded,Excluded" bitfld.long 0x08 25. " BE ,BMan private data read exclude from RLM" "Not excluded,Excluded" bitfld.long 0x08 24. " QE ,QMan non-PFDR read exclude from RLM" "Not excluded,Excluded" bitfld.long 0x08 23. " P3E ,QMan read alternate PFDR pool 3 exclude from RLM" "Not excluded,Excluded" newline bitfld.long 0x08 22. " P2E ,QMan read alternate PFDR pool 2 exclude from RLM" "Not excluded,Excluded" bitfld.long 0x08 21. " P1E ,QMan read alternate PFDR pool 1 exclude from RLM" "Not excluded,Excluded" bitfld.long 0x08 20. " P0E ,QMan read base PFDR pool exclude from RLM" "Not excluded,Excluded" bitfld.long 0x08 19. " TH_MODE_D ,Mode setting for read latency D performance monitor signal" "Read,Transaction" newline bitfld.long 0x08 18. " TH_MODE_C ,Mode setting for read latency C performance monitor signal" "Read,Transaction" bitfld.long 0x08 17. " TH_MODE_B ,Mode setting for read latency B performance monitor signal" "Read,Transaction" bitfld.long 0x08 16. " TH_MODE_A ,Mode setting for read latency A performance monitor signal" "Read,Transaction" bitfld.long 0x08 12.--15. " RLM_TH_D ,Threshold which is used for comparison in the generation of the initiator read latency D performance monitor signal" "6,8,12,16,24,32,48,64,96,128,192,256,384,512,768,1024" newline bitfld.long 0x08 8.--11. " RLM_TH_C ,Threshold which is used for comparison in the generation of the initiator read latency C performance monitor signal" "6,8,12,16,24,32,48,64,96,128,192,256,384,512,768,1024" bitfld.long 0x08 4.--7. " RLM_TH_B ,Threshold which is used for comparison in the generation of the initiator read latency B performance monitor signal" "6,8,12,16,24,32,48,64,96,128,192,256,384,512,768,1024" bitfld.long 0x08 0.--3. " RLM_TH_A ,Threshold which is used for comparison in the generation of the initiator read latency A performance monitor signal" "6,8,12,16,24,32,48,64,96,128,192,256,384,512,768,1024" line.long 0x0C "CI_RLM_AVG,Initiator Read Latency Monitor Average" hexmask.long.word 0x0C 8.--19. 1. " RLM_AVG_INT ,Integer portion of the measured average latency value" hexmask.long.byte 0x0C 0.--7. 1. " RLM_AVG_FRACT ,Fractional portion of the measured average latency value" line.long 0x10 "CI_RLM_AVG_2,Initiator Read Latency Monitor Average 2" hexmask.long.word 0x10 8.--19. 1. " RLM_AVG_INT ,Integer portion of the measured average latency value" hexmask.long.byte 0x10 0.--7. 1. " RLM_AVG_FRACT ,Fractional portion of the measured average latency value" group.long 0xE00++0x0F line.long 0x00 "RERR_ISR,Recoverable Error Interrupt Status Register" eventfld.long 0x00 31. " PLWI3 ,PFDR low watermark interrupt alternate PFDR pool 3" "Not interrupt,Interrupt" eventfld.long 0x00 30. " PLWI2 ,PFDR low watermark interrupt alternate PFDR pool 2" "Not interrupt,Interrupt" eventfld.long 0x00 29. " PLWI1 ,PFDR low watermark interrupt alternate PFDR pool 1" "Not interrupt,Interrupt" eventfld.long 0x00 26. " RPLI ,Replication list interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 25. " RPQI ,Replicator invalid queue interrupt" "Not interrupt,Interrupt" newline eventfld.long 0x00 24. " AVI ,Access violation interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 21. " SBEI ,Single bit ECC error interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 20. " FLWI ,FBPR low watermark interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 19. " PLWI ,PFDR low watermark interrupt base PFDR pool" "Not interrupt,Interrupt" eventfld.long 0x00 18. " PEBI ,PFDR enqueues blocked interrupt" "Not interrupt,Interrupt" newline eventfld.long 0x00 17. " IFSI ,Invalid FQ flow control state interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 16. " ICVI ,Invalid command verb interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 11. " IDDI ,Invalid dequeue direct connect portal interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 10. " IDFI ,Invalid dequeue FQ interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 9. " IDSI ,Invalid dequeue source interrupt" "Not interrupt,Interrupt" newline eventfld.long 0x00 8. " IDQI ,Invalid dequeue queue interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 5. " IERE ,Invalid enqueue reject error" "Not interrupt,Interrupt" eventfld.long 0x00 4. " IECE ,Invalid enqueue configuration error" "Not interrupt,Interrupt" eventfld.long 0x00 3. " IEOI ,Invalid enqueue overflow interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 2. " IESI ,Invalid enqueue state interrupt" "Not interrupt,Interrupt" newline eventfld.long 0x00 1. " IECI ,Invalid enqueue channel interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 0. " IEQI ,Invalid enqueue queue interrupt" "Not interrupt,Interrupt" line.long 0x04 "RERR_IER,Recoverable Error Interrupt Enable Register" bitfld.long 0x04 31. " PLWI3 ,Interrupt enable bit for RERR_ISR[plwi3]" "No effect,Enable" bitfld.long 0x04 30. " PLWI2 ,Interrupt enable bit for RERR_ISR[plwi2]" "No effect,Enable" bitfld.long 0x04 29. " PLWI1 ,Interrupt enable bit for RERR_ISR[plwi1]" "No effect,Enable" bitfld.long 0x04 26. " RPLI ,Interrupt enable bit for RERR_ISR[RPLI]" "No effect,Enable" bitfld.long 0x04 25. " RPQI ,Interrupt enable bit for RERR_ISR[RPQI]" "No effect,Enable" newline bitfld.long 0x04 24. " AVI ,Interrupt enable bit for RERR_ISR[AVI]" "No effect,Enable" bitfld.long 0x04 21. " SBEI ,Interrupt enable bit for RERR_ISR[SBEI]" "No effect,Enable" bitfld.long 0x04 20. " FLWI ,Interrupt enable bit for RERR_ISR[FLWI]" "No effect,Enable" bitfld.long 0x04 19. " PLWI ,Interrupt enable bit for RERR_ISR[PLWI]" "No effect,Enable" bitfld.long 0x04 18. " PEBI ,Interrupt enable bit for RERR_ISR[PEBI]" "No effect,Enable" newline bitfld.long 0x04 17. " IFSI ,Interrupt enable bit for RERR_ISR[IFSI]" "No effect,Enable" bitfld.long 0x04 16. " ICVI ,Interrupt enable bit for RERR_ISR[ICVI]" "No effect,Enable" bitfld.long 0x04 11. " IDDI ,Interrupt enable bit for RERR_ISR[IDDI]" "No effect,Enable" bitfld.long 0x04 10. " IDFI ,Interrupt enable bit for RERR_ISR[IDFI]" "No effect,Enable" bitfld.long 0x04 9. " IDSI ,Interrupt enable bit for RERR_ISR[IDSI]" "No effect,Enable" newline bitfld.long 0x04 8. " IDQI ,Interrupt enable bit for RERR_ISR[IDQI]" "No effect,Enable" bitfld.long 0x04 5. " IERE ,Interrupt enable bit for RERR_ISR[IERE]" "No effect,Enable" bitfld.long 0x04 4. " IECE ,Interrupt enable bit for RERR_ISR[IECE]" "No effect,Enable" bitfld.long 0x04 3. " IEOI ,Interrupt enable bit for RERR_ISR[IEOI]" "No effect,Enable" bitfld.long 0x04 2. " IESI ,Interrupt enable bit for RERR_ISR[IESI]" "No effect,Enable" newline bitfld.long 0x04 1. " IECI ,Interrupt enable bit for RERR_ISR[IECI]" "No effect,Enable" bitfld.long 0x04 0. " IEQI ,Interrupt enable bit for RERR_ISR[IEQI]" "No effect,Enable" line.long 0x08 "RERR_ISDR,Recoverable Error Interrupt Status Disable Register" bitfld.long 0x08 31. " PLWI3 ,Interrupt status disable bit for RERR_ISR[plwi3]" "No effect,Disable" bitfld.long 0x08 30. " PLWI2 ,Interrupt status disable bit for RERR_ISR[plwi2]" "No effect,Disable" bitfld.long 0x08 29. " PLWI1 ,Interrupt status disable bit for RERR_ISR[plwi1]" "No effect,Disable" bitfld.long 0x08 26. " RPLI ,Interrupt enable bit for RERR_ISR[RPLI]" "No effect,Disable" bitfld.long 0x08 25. " RPQI ,Interrupt status disable bit for RERR_ISR[RPQI]" "No effect,Disable" newline bitfld.long 0x08 24. " AVI ,Interrupt status disable bit for RERR_ISR[AVI]" "No effect,Disable" bitfld.long 0x08 21. " SBEI ,Interrupt status disable bit for RERR_ISR[SBEI]" "No effect,Disable" bitfld.long 0x08 20. " FLWI ,Interrupt status disable bit for RERR_ISR[FLWI]" "No effect,Disable" bitfld.long 0x08 19. " PLWI ,Interrupt status disable bit for RERR_ISR[PLWI]" "No effect,Disable" bitfld.long 0x08 18. " PEBI ,Interrupt status disable bit for RERR_ISR[PEBI]" "No effect,Disable" newline bitfld.long 0x08 17. " IFSI ,Interrupt status disable bit for RERR_ISR[IFSI]" "No effect,Disable" bitfld.long 0x08 16. " ICVI ,Interrupt status disable bit for RERR_ISR[ICVI]" "No effect,Disable" bitfld.long 0x08 11. " IDDI ,Interrupt status disable bit for RERR_ISR[IDDI]" "No effect,Disable" bitfld.long 0x08 10. " IDFI ,Interrupt status disable bit for RERR_ISR[IDFI]" "No effect,Disable" bitfld.long 0x08 9. " IDSI ,Interrupt status disable bit for RERR_ISR[IDSI]" "No effect,Disable" newline bitfld.long 0x08 8. " IDQI ,Interrupt status disable bit for RERR_ISR[IDQI]" "No effect,Disable" bitfld.long 0x08 5. " IERE ,Interrupt status disable bit for RERR_ISR[IERE]" "No effect,Disable" bitfld.long 0x08 4. " IECE ,Interrupt status disable bit for RERR_ISR[IECE]" "No effect,Disable" bitfld.long 0x08 3. " IEOI ,Interrupt status disable bit for RERR_ISR[IEOI]" "No effect,Disable" bitfld.long 0x08 2. " IESI ,Interrupt status disable bit for RERR_ISR[IESI]" "No effect,Disable" newline bitfld.long 0x08 1. " IECI ,Interrupt status disable bit for RERR_ISR[IECI]" "No effect,Disable" bitfld.long 0x08 0. " IEQI ,Interrupt status disable bit for RERR_ISR[IEQI]" "No effect,Disable" line.long 0x0C "RERR_IIR,Recoverable Error Interrupt Inhibit Register" bitfld.long 0x0C 0. " I ,Interrupt inhibit" "Not inhibited,Inhibited" group.long 0xE14++0x03 line.long 0x00 "RERR_HER,Recoverable Error Halt Enable Register" bitfld.long 0x00 31. " PLWI3 ,Halt enable bit for RERR_ISR[plwi3]" "Disabled,Enabled" bitfld.long 0x00 30. " PLWI2 ,Halt enable bit for RERR_ISR[plwi2]" "Disabled,Enabled" bitfld.long 0x00 29. " PLWI1 ,Halt enable bit for RERR_ISR[plwi1]" "Disabled,Enabled" bitfld.long 0x00 26. " RPLI ,Halt enable bit for RERR_ISR[RPLI]" "Disabled,Enabled" bitfld.long 0x00 25. " RPQI ,Halt enable bit for RERR_ISR[RPQI]" "Disabled,Enabled" newline bitfld.long 0x00 24. " AVI ,Halt enable bit for RERR_ISR[AVI]" "Disabled,Enabled" bitfld.long 0x00 21. " SBEI ,Halt enable bit for RERR_ISR[SBEI]" "Disabled,Enabled" bitfld.long 0x00 20. " FLWI ,Halt enable bit for RERR_ISR[FLWI]" "Disabled,Enabled" bitfld.long 0x00 19. " PLWI ,Halt enable bit for RERR_ISR[PLWI]" "Disabled,Enabled" bitfld.long 0x00 18. " PEBI ,Halt enable bit for RERR_ISR[PEBI]" "Disabled,Enabled" newline bitfld.long 0x00 17. " IFSI ,Halt enable bit for RERR_ISR[IFSI]" "Disabled,Enabled" bitfld.long 0x00 16. " ICVI ,Halt enable bit for RERR_ISR[ICVI]" "Disabled,Enabled" bitfld.long 0x00 11. " IDDI ,Halt enable bit for RERR_ISR[IDDI]" "Disabled,Enabled" bitfld.long 0x00 10. " IDFI ,Halt enable bit for RERR_ISR[IDFI]" "Disabled,Enabled" bitfld.long 0x00 9. " IDSI ,Halt enable bit for RERR_ISR[IDSI]" "Disabled,Enabled" newline bitfld.long 0x00 8. " IDQI ,Halt enable bit for RERR_ISR[IDQI]" "Disabled,Enabled" bitfld.long 0x00 5. " IERE ,Halt enable bit for RERR_ISR[IERE]" "Disabled,Enabled" bitfld.long 0x00 4. " IECE ,Halt enable bit for RERR_ISR[IECE]" "Disabled,Enabled" bitfld.long 0x00 3. " IEOI ,Halt enable bit for RERR_ISR[IEOI]" "Disabled,Enabled" bitfld.long 0x00 2. " IESI ,Halt enable bit for RERR_ISR[IESI]" "Disabled,Enabled" newline bitfld.long 0x00 1. " IECI ,Halt enable bit for RERR_ISR[IECI]" "Disabled,Enabled" bitfld.long 0x00 0. " IEQI ,Halt enable bit for RERR_ISR[IEQI]" "Disabled,Enabled" group.long 0xE20++0x0F line.long 0x00 "NRERR_ISR,Non-Recoverable Error Interrupt Status Register" eventfld.long 0x00 6. " WQPI ,WQ proxy record interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 5. " RFQE ,Recoverable error logging FQ error" "Not interrupt,Interrupt" eventfld.long 0x00 4. " EMCI ,External memory corruption interrupt" "Not interrupt,Interrupt" eventfld.long 0x00 3. " CIDE ,Initiator data error" "Not interrupt,Interrupt" eventfld.long 0x00 2. " CTDE ,Target data error" "Not interrupt,Interrupt" newline eventfld.long 0x00 1. " CITT ,CoreNet invalid target transaction" "Not interrupt,Interrupt" eventfld.long 0x00 0. " MBEI ,Multi-bit ECC error interrupt" "Not interrupt,Interrupt" line.long 0x04 "NRERR_IER,Non-Recoverable Error Interrupt Enable Register" bitfld.long 0x04 6. " WQPI ,WQ proxy record interrupt" "No effect,Enabled" bitfld.long 0x04 5. " RFQE ,Recoverable error logging FQ error" "No effect,Enabled" bitfld.long 0x04 4. " EMCI ,External memory corruption interrupt" "No effect,Enabled" bitfld.long 0x04 3. " CIDE ,Initiator data error" "No effect,Enabled" bitfld.long 0x04 2. " CTDE ,Target data error" "No effect,Enabled" newline bitfld.long 0x04 1. " CITT ,Invalid target transaction" "No effect,Enabled" bitfld.long 0x04 0. " MBEI ,Multi-bit ECC error interrupt" "No effect,Enabled" line.long 0x08 "NRERR_ISDR,Non-Recoverable Error Interrupt Status Disable Register" bitfld.long 0x08 6. " WQPI ,WQ proxy record interrupt" "No effect,Disable" bitfld.long 0x08 5. " RFQE ,Recoverable error logging FQ error" "No effect,Disable" bitfld.long 0x08 4. " EMCI ,External memory corruption interrupt" "No effect,Disable" bitfld.long 0x08 3. " CIDE ,Initiator data error" "No effect,Disable" bitfld.long 0x08 2. " CTDE ,Target data error" "No effect,Disable" newline bitfld.long 0x08 1. " CITT ,Invalid target transaction" "No effect,Disable" bitfld.long 0x08 0. " MBEI ,Multi-bit ECC error interrupt" "No effect,Disable" line.long 0x0C "NRERR_IIR,Non-Recoverable Error Interrupt Inhibit Register" bitfld.long 0x0C 0. " I ,Interrupt inhibit" "Not inhibited,Inhibited" group.long 0xE34++0x03 line.long 0x00 "NRERR_HER,Non-Recoverable Error Halt Enable Register" bitfld.long 0x00 6. " WQPI ,WQ proxy record interrupt" "Disabled,Enabled" bitfld.long 0x00 5. " RFQE ,Recoverable error logging FQ error" "Disabled,Enabled" bitfld.long 0x00 4. " EMCI ,External memory corruption interrupt" "Disabled,Enabled" bitfld.long 0x00 3. " CIDE ,Initiator data error" "Disabled,Enabled" bitfld.long 0x00 2. " CTDE ,Target data error" "Disabled,Enabled" newline bitfld.long 0x00 1. " CITT ,Invalid target transaction" "Disabled,Enabled" bitfld.long 0x00 0. " MBEI ,Multi-bit ECC error interrupt" "Disabled,Enabled" width 0x0B tree.end ; tree "QMAN_SWP" ; tree "Software Portal QMAN Backed" ; %for (67)(0x00,0x10000)(0,1) ; tree "SWP $2 Backed from 0h" ; base ad:$1 ; %include lx2160/dpaa2/qman_swp1.ph ad:$1 $2 ; tree.end ; %endfor ; %for (67)(0x00,0x10000)(0,1) ; tree "SWP $2 Backed from 4000000h" ; base ad:$1 ; %include lx2160/dpaa2/qman_swp.ph ad:$1 $2 ; tree.end ; %endfor ; tree.end ; tree "Software Portal Memory Backed" ; base ad:0x00 ; %include lx2160/dpaa2/qman_mem_swp.ph ad:0x00 ; tree.end ; tree.end tree.end tree "MC (Management Complex)" base ad:0x0C000000 width 9. group.long 0x00++0x03 line.long 0x00 "GCR1,General Control Register 1" bitfld.long 0x00 31. " P1_STOP ,Processor 1 stop" "Released to run,Stopped" bitfld.long 0x00 30. " P2_STOP ,Processor 2 stop" "Released to run,Stopped" bitfld.long 0x00 23. " P1_RST_B ,Processor 1 reset" "No reset,Reset" bitfld.long 0x00 22. " P2_RST_B ,Processor 2 reset" "No reset,Reset" bitfld.long 0x00 15. " M1_RST_B ,Command portals 1-256 reset" "Reset,No reset" bitfld.long 0x00 14. " M2_RST_B ,Command portals 257-512 reset" "Reset,No reset" bitfld.long 0x00 0. " G_RST ,MC global reset" "De-asserted,Asserted" group.long 0x08++0x03 line.long 0x00 "GSR,General Status Register" bitfld.long 0x00 31. " HERR ,Hardware error" "No error,Error" bitfld.long 0x00 30. " CERR ,Catastrophic error" "No error,Error" hexmask.long.tbyte 0x00 8.--29. 0x01 " DPL_OFFSET ,DPL offset" hexmask.long.byte 0x00 0.--7. 1. " MCS ,MC status" group.long 0x10++0x0B line.long 0x00 "SDSBALR,SoC CCSR Space Base Address Low Register" hexmask.long.byte 0x00 28.--31. 0x10 " SICBAR_LOW ,SoC CCSR base address low" line.long 0x04 "SDSBAHR,SoC CCSR Space Base Address High Register" hexmask.long.tbyte 0x04 0.--16. 0x01 " SICBAR_HIGH ,SoC CCSR space base address high" line.long 0x08 "SDSAPR,SoC CCSR Space Access Attributes And Partitioning Register" bitfld.long 0x08 18. " PL ,Privilege level" "Unused,ICID" bitfld.long 0x08 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x08 16. " VA ,Virtual address" "0,1" hexmask.long.word 0x08 0.--14. 1. " ICID ,Isolation context ID" group.long 0x20++0x0B line.long 0x00 "MCFBALR,MC Firmware Base Address Low" hexmask.long.byte 0x00 29.--31. 0x20 " MCFBAR_LOW ,MC firmware base address low" line.long 0x04 "MCFBAHR,MC Firmware Base Address High" hexmask.long.tbyte 0x04 0.--16. 0x01 " MCFBAR_HIGH ,MC firmware base address high" line.long 0x08 "MCFAPR,MC Firmware Attributes And Partitioning Register" bitfld.long 0x08 18. " PL ,Privilege level" "Unused,ICID" bitfld.long 0x08 17. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" hexmask.long.word 0x08 0.--14. 1. " ICID ,Isolation context ID" rgroup.long 0xBF0++0x03 line.long 0x00 "PSR,Parameter Summary Register" bitfld.long 0x00 28.--31. " PROCS ,Total number of processors" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " ADDR_W ,External address physical width" "32,,36,,40,,44,,48,49,?..." hexmask.long.byte 0x00 16.--23. 1. " DPAA_INTS ,DPAA2 interrupt inputs" hexmask.long.word 0x00 0.--15. 1. " CMD_PORTALS ,Command portals" rgroup.long 0xBF8++0x07 line.long 0x00 "BRR1,Block Revision Register 1" hexmask.long.byte 0x00 24.--31. 0x01 " IPMN ,The minor revision of the IP block" hexmask.long.byte 0x00 16.--23. 0x01 " IPMJ ,The major revision of the IP block" hexmask.long.word 0x00 0.--15. 1. " IPID ,IP block ID" line.long 0x04 "BRR2,Block Revision Register 2" hexmask.long.byte 0x04 24.--31. 1. " IPCFGO ,IP block configuration options" hexmask.long.byte 0x04 0.--7. 1. " IPINTO ,IP block integration options" width 0x0B tree.end tree "WRIOP (Wire Rate IO Processor)" ; tree "Interface Profile Record Registers" ; tree "Ingress" ; base ad:0x00 ; %include lx2160/dpaa2/wriop_intprd.ph i ; tree.end ; tree "Egress" ; base ad:0x00 ; %include lx2160/dpaa2/wriop_intprd.ph e ; tree.end ; tree.end ; tree "Interface Profile Special Functions Registers" ; base ad:0x00 ; %include lx2160/dpaa2/wriop_intspec.ph ; tree.end tree "Global Registers" base ad:0x08B80000 width 10. endian.be group.long 0x10000++0x07 line.long 0x00 "CGPA,IOP Congestion Group Priority Address" hexmask.long.word 0x00 18.--31. 0x04 " ADDR ,Congestion group/buffer depletion priority mapping table address" bitfld.long 0x00 16. " CB ,Congestion group/buffer depletion priority mapping table selection" "Congestion group,Buffer depletion" line.long 0x04 "CGPD,IOP Congestion Group Priority Data" hexmask.long.byte 0x04 24.--31. 1. " CGP_CONG_ID_PPID[3] ,Congestion group priority 3" hexmask.long.byte 0x04 16.--23. 1. " [2] ,Congestion group priority 2" hexmask.long.byte 0x04 8.--15. 1. " [1] ,Congestion group priority 1" hexmask.long.byte 0x04 0.--7. 1. " [0] ,Congestion group priority 0" group.long 0x11410++0x0B line.long 0x00 "TPBBH,Temporary PFC Buffer Base High" line.long 0x04 "TPBBL,Temporary PFC Buffer Base Low" line.long 0x08 "TPBICID,Temporary PFC Buffer Isolation Context" hexmask.long.word 0x08 17.--31. 1. " ICID ,Isolation context ID" bitfld.long 0x08 15. " VA ,Virtual address attribute" "0,1" bitfld.long 0x08 14. " BMT ,Bypass memory translation" "0,1" bitfld.long 0x08 13. " PL ,Privilege level" "ICID unused,ICID used" group.long 0x11800++0x07 line.long 0x00 "SBEV,System Bus Error Event" eventfld.long 0x00 0. " SBEV ,System bus error event" "No error,Error" line.long 0x04 "SBEM,System Bus Error Event Mask Register" bitfld.long 0x04 0. " SBEM ,System bus error event mask" "Not masked,Masked" group.long 0x11900++0x17 line.long 0x00 "CRCA,Coherent Read Cache Attributes" bitfld.long 0x00 28.--31. " CANC ,System cache attribute read non cacheable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. " NSNC ,No-Snoop attribute for read non cacheable (snoop disable)" "No,Yes" bitfld.long 0x00 20.--23. " CAN ,System cache attribute read no allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 19. " NSN ,No-Snoop attribute for read no allocate (snoop disable)" "No,Yes" bitfld.long 0x00 12.--15. " CAA ,System cache attribute read allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. " NSA ,No-Snoop attribute for read allocate (snoop disable)" "No,Yes" line.long 0x04 "NCRCA,Non Coherent Read Cache Attributes" bitfld.long 0x04 28.--31. " CANC ,System cache attribute read non cacheable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 27. " NSNC ,No-Snoop attribute for read non cacheable (snoop disable)" "No,Yes" bitfld.long 0x04 20.--23. " CAN ,System cache attribute read no allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 19. " NSN ,No-Snoop attribute for read no allocate (snoop disable)" "No,Yes" bitfld.long 0x04 12.--15. " CAA ,System cache attribute read allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 11. " NSA ,No-Snoop attribute for read allocate (snoop disable)" "No,Yes" line.long 0x08 "CBWCA,Coherent Bufferable Write Cache Attributes" bitfld.long 0x08 28.--31. " CANC ,System cache attribute write non cacheable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 27. " NSNC ,No-Snoop attribute for write non cacheable (snoop disable)" "No,Yes" bitfld.long 0x08 20.--23. " CAN ,System cache attribute write no allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 19. " NSN ,No-Snoop attribute for write no allocate (snoop disable)" "No,Yes" bitfld.long 0x08 12.--15. " CAA ,System cache attribute write allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 11. " NSA ,No-Snoop attribute for write allocate (snoop disable)" "No,Yes" line.long 0x0C "NCBWCA,Non Coherent Bufferable Write Cache Attributes" bitfld.long 0x0C 28.--31. " CANC ,System cache attribute write non cacheable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0C 27. " NSNC ,No-Snoop attribute for write non cacheable (snoop disable)" "No,Yes" bitfld.long 0x0C 20.--23. " CAN ,System cache attribute write no allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0C 19. " NSN ,No-Snoop attribute for write no allocate (snoop disable)" "No,Yes" bitfld.long 0x0C 12.--15. " CAA ,System cache attribute write allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " NSA ,No-Snoop attribute for write allocate (snoop disable)" "No,Yes" line.long 0x10 "CNBWCA,Coherent Non Bufferable Write Cache Attributes" bitfld.long 0x10 28.--31. " CANC ,System cache attribute write non cacheable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 27. " NSNC ,No-Snoop attribute for write non cacheable (snoop disable)" "No,Yes" bitfld.long 0x10 20.--23. " CAN ,System cache attribute write no allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 19. " NSN ,No-Snoop attribute for write no allocate (snoop disable)" "No,Yes" bitfld.long 0x10 12.--15. " CAA ,System cache attribute write allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 11. " NSA ,No-Snoop attribute for write allocate (snoop disable)" "No,Yes" line.long 0x14 "NCNBWCA,Non Coherent Non Bufferable Write Cache Attributes" bitfld.long 0x14 28.--31. " CANC ,System cache attribute write non cacheable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 27. " NSNC ,No-Snoop attribute for write non cacheable (snoop disable)" "No,Yes" bitfld.long 0x14 20.--23. " CAN ,System cache attribute write no allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 19. " NSN ,No-Snoop attribute for write no allocate (Snoop disable)" "No,Yes" bitfld.long 0x14 12.--15. " CAA ,System cache attribute write allocate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 11. " NSA ,No-Snoop attribute for write allocate (Snoop disable)" "No,Yes" rgroup.long 0x12030++0x0B line.long 0x00 "EPI,Error Pending Interrupt Register" bitfld.long 0x00 2. " PSBEI ,Pending system bus error interrupt" "Negated,Asserted" bitfld.long 0x00 1. " PGEI ,Pending general error interrupt" "Negated,Asserted" bitfld.long 0x00 0. " PECCEI ,Pending double ECC error interrupt" "Negated,Asserted" line.long 0x04 "NPI1,Normal Pending Interrupt Register 1" bitfld.long 0x04 2. " PTMRNI ,Pending 1588 timer normal interrupt" "Negated,Asserted" line.long 0x08 "NPI2,Normal Pending Interrupt Register 2" bitfld.long 0x08 31. " PMCNI[31] ,Pending MACSEC31 normal interrupt" "Negated,Asserted" bitfld.long 0x08 30. " [30] ,Pending MACSEC30 normal interrupt" "Negated,Asserted" bitfld.long 0x08 29. " [29] ,Pending MACSEC29 normal interrupt" "Negated,Asserted" bitfld.long 0x08 28. " [28] ,Pending MACSEC28 normal interrupt" "Negated,Asserted" bitfld.long 0x08 27. " [27] ,Pending MACSEC27 normal interrupt" "Negated,Asserted" newline bitfld.long 0x08 26. " [26] ,Pending MACSEC26 normal interrupt" "Negated,Asserted" bitfld.long 0x08 25. " [25] ,Pending MACSEC25 normal interrupt" "Negated,Asserted" bitfld.long 0x08 24. " [24] ,Pending MACSEC24 normal interrupt" "Negated,Asserted" bitfld.long 0x08 23. " [23] ,Pending MACSEC23 normal interrupt" "Negated,Asserted" bitfld.long 0x08 22. " [22] ,Pending MACSEC22 normal interrupt" "Negated,Asserted" newline bitfld.long 0x08 21. " [21] ,Pending MACSEC21 normal interrupt" "Negated,Asserted" bitfld.long 0x08 20. " [20] ,Pending MACSEC20 normal interrupt" "Negated,Asserted" bitfld.long 0x08 19. " [19] ,Pending MACSEC19 normal interrupt" "Negated,Asserted" bitfld.long 0x08 18. " [18] ,Pending MACSEC18 normal interrupt" "Negated,Asserted" bitfld.long 0x08 17. " [17] ,Pending MACSEC17 normal interrupt" "Negated,Asserted" newline bitfld.long 0x08 16. " [16] ,Pending MACSEC16 normal interrupt" "Negated,Asserted" bitfld.long 0x08 15. " [15] ,Pending MACSEC15 normal interrupt" "Negated,Asserted" bitfld.long 0x08 14. " [14] ,Pending MACSEC14 normal interrupt" "Negated,Asserted" bitfld.long 0x08 13. " [13] ,Pending MACSEC13 normal interrupt" "Negated,Asserted" bitfld.long 0x08 12. " [12] ,Pending MACSEC12 normal interrupt" "Negated,Asserted" newline bitfld.long 0x08 11. " [11] ,Pending MACSEC11 normal interrupt" "Negated,Asserted" bitfld.long 0x08 10. " [10] ,Pending MACSEC10 normal interrupt" "Negated,Asserted" bitfld.long 0x08 9. " [9] ,Pending MACSEC9 normal interrupt" "Negated,Asserted" bitfld.long 0x08 8. " [8] ,Pending MACSEC8 normal interrupt" "Negated,Asserted" bitfld.long 0x08 7. " [7] ,Pending MACSEC7 normal interrupt" "Negated,Asserted" newline bitfld.long 0x08 6. " [6] ,Pending MACSEC6 normal interrupt" "Negated,Asserted" bitfld.long 0x08 5. " [5] ,Pending MACSEC5 normal interrupt" "Negated,Asserted" bitfld.long 0x08 4. " [4] ,Pending MACSEC4 normal interrupt" "Negated,Asserted" bitfld.long 0x08 3. " [3] ,Pending MACSEC3 normal interrupt" "Negated,Asserted" bitfld.long 0x08 2. " [2] ,Pending MACSEC2 normal interrupt" "Negated,Asserted" newline bitfld.long 0x08 1. " [1] ,Pending MACSEC1 normal interrupt" "Negated,Asserted" rgroup.long 0x12040++0x03 line.long 0x00 "NPI4,Normal Pending Interrupt Register 4" bitfld.long 0x00 31. " PMENI[31] ,Pending mEMAC31 normal interrupt" "Negated,Asserted" bitfld.long 0x00 30. " [30] ,Pending mEMAC30 normal interrupt" "Negated,Asserted" bitfld.long 0x00 29. " [29] ,Pending mEMAC29 normal interrupt" "Negated,Asserted" bitfld.long 0x00 28. " [28] ,Pending mEMAC28 normal interrupt" "Negated,Asserted" bitfld.long 0x00 27. " [27] ,Pending mEMAC27 normal interrupt" "Negated,Asserted" newline bitfld.long 0x00 26. " [26] ,Pending mEMAC26 normal interrupt" "Negated,Asserted" bitfld.long 0x00 25. " [25] ,Pending mEMAC25 normal interrupt" "Negated,Asserted" bitfld.long 0x00 24. " [24] ,Pending mEMAC24 normal interrupt" "Negated,Asserted" bitfld.long 0x00 23. " [23] ,Pending mEMAC23 normal interrupt" "Negated,Asserted" bitfld.long 0x00 22. " [22] ,Pending mEMAC22 normal interrupt" "Negated,Asserted" newline bitfld.long 0x00 21. " [21] ,Pending mEMAC21 normal interrupt" "Negated,Asserted" bitfld.long 0x00 20. " [20] ,Pending mEMAC20 normal interrupt" "Negated,Asserted" bitfld.long 0x00 19. " [19] ,Pending mEMAC19 normal interrupt" "Negated,Asserted" bitfld.long 0x00 18. " [18] ,Pending mEMAC18 normal interrupt" "Negated,Asserted" bitfld.long 0x00 17. " [17] ,Pending mEMAC17 normal interrupt" "Negated,Asserted" newline bitfld.long 0x00 16. " [16] ,Pending mEMAC16 normal interrupt" "Negated,Asserted" bitfld.long 0x00 15. " [15] ,Pending mEMAC15 normal interrupt" "Negated,Asserted" bitfld.long 0x00 14. " [14] ,Pending mEMAC14 normal interrupt" "Negated,Asserted" bitfld.long 0x00 13. " [13] ,Pending mEMAC13 normal interrupt" "Negated,Asserted" bitfld.long 0x00 12. " [12] ,Pending mEMAC12 normal interrupt" "Negated,Asserted" newline bitfld.long 0x00 11. " [11] ,Pending mEMAC11 normal interrupt" "Negated,Asserted" bitfld.long 0x00 10. " [10] ,Pending mEMAC10 normal interrupt" "Negated,Asserted" bitfld.long 0x00 9. " [9] ,Pending mEMAC9 normal interrupt" "Negated,Asserted" bitfld.long 0x00 8. " [8] ,Pending mEMAC8 normal interrupt" "Negated,Asserted" bitfld.long 0x00 7. " [7] ,Pending mEMAC7 normal interrupt" "Negated,Asserted" newline bitfld.long 0x00 6. " [6] ,Pending mEMAC6 normal interrupt" "Negated,Asserted" bitfld.long 0x00 5. " [5] ,Pending mEMAC5 normal interrupt" "Negated,Asserted" bitfld.long 0x00 4. " [4] ,Pending mEMAC4 normal interrupt" "Negated,Asserted" bitfld.long 0x00 3. " [3] ,Pending mEMAC3 normal interrupt" "Negated,Asserted" bitfld.long 0x00 2. " [2] ,Pending mEMAC2 normal interrupt" "Negated,Asserted" newline bitfld.long 0x00 1. " [1] ,Pending mEMAC1 normal interrupt" "Negated,Asserted" group.long 0x12048++0x07 line.long 0x00 "GEEV,General Error Event Register" eventfld.long 0x00 0. " STLE ,WRIOP stall event" "Not stalled,Stalled" line.long 0x04 "GEEM,General Error Event Mask Register" bitfld.long 0x04 0. " STLM ,WRIOP stall event mask" "Masked,Not masked" rgroup.long 0x12064++0x07 line.long 0x00 "IP_REV_1,IP Block Revision 1 Register" hexmask.long.byte 0x00 24.--31. 1. " IP_MN ,Minor revision" hexmask.long.byte 0x00 16.--23. 1. " IP_MJ ,Major revision" hexmask.long.word 0x00 0.--15. 1. " IP_ID ,IP block ID" line.long 0x04 "IP_REV_2,IP Block Revision 2 Register" hexmask.long.byte 0x04 24.--31. 1. " IP_CFG ,Configuration options" hexmask.long.byte 0x04 16.--23. 1. " IP_ERR ,Errata revision level" hexmask.long.byte 0x04 8.--15. 1. " IP_INT ,Integration options" wgroup.long 0x1206C++0x03 line.long 0x00 "RSTC,Reset Command Register" bitfld.long 0x00 1. " QBRSTC ,QBMan interface reset" "No reset,Reset" bitfld.long 0x00 0. " RSTC ,WRIOP soft reset" "No reset,Reset" endian.le width 0x0B tree.end tree "Port Registers" base ad:0x08C00000 width 10. endian.be tree "Ingress" tree "Port 0" group.long 0x0++0x03 line.long 0x00 "PCR0_0,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x0+0x14)++0x03 line.long 0x00 "PFS0_0,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x0+0x1C)++0x03 line.long 0x00 "DP0_0,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x0+0x800)++0x03 line.long 0x00 "PDEF0_0,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x0+0xC00)++0x03 line.long 0x00 "CFG0_0,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x0+0xC04)++0x07 line.long 0x00 "ST0_0,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_0,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 1" group.long 0x4000++0x03 line.long 0x00 "PCR0_1,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x4000+0x14)++0x03 line.long 0x00 "PFS0_1,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x4000+0x1C)++0x03 line.long 0x00 "DP0_1,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x4000+0x800)++0x03 line.long 0x00 "PDEF0_1,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x4000+0xC00)++0x03 line.long 0x00 "CFG0_1,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x4000+0xC04)++0x07 line.long 0x00 "ST0_1,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_1,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 2" group.long 0x8000++0x03 line.long 0x00 "PCR0_2,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x8000+0x14)++0x03 line.long 0x00 "PFS0_2,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x8000+0x1C)++0x03 line.long 0x00 "DP0_2,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x8000+0x800)++0x03 line.long 0x00 "PDEF0_2,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x8000+0xC00)++0x03 line.long 0x00 "CFG0_2,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x8000+0xC04)++0x07 line.long 0x00 "ST0_2,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_2,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 3" group.long 0xC000++0x03 line.long 0x00 "PCR0_3,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0xC000+0x14)++0x03 line.long 0x00 "PFS0_3,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0xC000+0x1C)++0x03 line.long 0x00 "DP0_3,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0xC000+0x800)++0x03 line.long 0x00 "PDEF0_3,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0xC000+0xC00)++0x03 line.long 0x00 "CFG0_3,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0xC000+0xC04)++0x07 line.long 0x00 "ST0_3,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_3,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 4" group.long 0x10000++0x03 line.long 0x00 "PCR0_4,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x10000+0x14)++0x03 line.long 0x00 "PFS0_4,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x10000+0x1C)++0x03 line.long 0x00 "DP0_4,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x10000+0x800)++0x03 line.long 0x00 "PDEF0_4,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x10000+0xC00)++0x03 line.long 0x00 "CFG0_4,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x10000+0xC04)++0x07 line.long 0x00 "ST0_4,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_4,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 5" group.long 0x14000++0x03 line.long 0x00 "PCR0_5,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x14000+0x14)++0x03 line.long 0x00 "PFS0_5,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x14000+0x1C)++0x03 line.long 0x00 "DP0_5,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x14000+0x800)++0x03 line.long 0x00 "PDEF0_5,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x14000+0xC00)++0x03 line.long 0x00 "CFG0_5,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x14000+0xC04)++0x07 line.long 0x00 "ST0_5,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_5,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 6" group.long 0x18000++0x03 line.long 0x00 "PCR0_6,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x18000+0x14)++0x03 line.long 0x00 "PFS0_6,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x18000+0x1C)++0x03 line.long 0x00 "DP0_6,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x18000+0x800)++0x03 line.long 0x00 "PDEF0_6,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x18000+0xC00)++0x03 line.long 0x00 "CFG0_6,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x18000+0xC04)++0x07 line.long 0x00 "ST0_6,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_6,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 7" group.long 0x1C000++0x03 line.long 0x00 "PCR0_7,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x1C000+0x14)++0x03 line.long 0x00 "PFS0_7,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x1C000+0x1C)++0x03 line.long 0x00 "DP0_7,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x1C000+0x800)++0x03 line.long 0x00 "PDEF0_7,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x1C000+0xC00)++0x03 line.long 0x00 "CFG0_7,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x1C000+0xC04)++0x07 line.long 0x00 "ST0_7,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_7,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 8" group.long 0x20000++0x03 line.long 0x00 "PCR0_8,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x20000+0x14)++0x03 line.long 0x00 "PFS0_8,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x20000+0x1C)++0x03 line.long 0x00 "DP0_8,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x20000+0x800)++0x03 line.long 0x00 "PDEF0_8,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x20000+0xC00)++0x03 line.long 0x00 "CFG0_8,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x20000+0xC04)++0x07 line.long 0x00 "ST0_8,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_8,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 9" group.long 0x24000++0x03 line.long 0x00 "PCR0_9,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x24000+0x14)++0x03 line.long 0x00 "PFS0_9,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x24000+0x1C)++0x03 line.long 0x00 "DP0_9,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x24000+0x800)++0x03 line.long 0x00 "PDEF0_9,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x24000+0xC00)++0x03 line.long 0x00 "CFG0_9,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x24000+0xC04)++0x07 line.long 0x00 "ST0_9,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_9,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 10" group.long 0x28000++0x03 line.long 0x00 "PCR0_10,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x28000+0x14)++0x03 line.long 0x00 "PFS0_10,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x28000+0x1C)++0x03 line.long 0x00 "DP0_10,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x28000+0x800)++0x03 line.long 0x00 "PDEF0_10,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x28000+0xC00)++0x03 line.long 0x00 "CFG0_10,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x28000+0xC04)++0x07 line.long 0x00 "ST0_10,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_10,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 11" group.long 0x2C000++0x03 line.long 0x00 "PCR0_11,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x2C000+0x14)++0x03 line.long 0x00 "PFS0_11,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x2C000+0x1C)++0x03 line.long 0x00 "DP0_11,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x2C000+0x800)++0x03 line.long 0x00 "PDEF0_11,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x2C000+0xC00)++0x03 line.long 0x00 "CFG0_11,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x2C000+0xC04)++0x07 line.long 0x00 "ST0_11,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_11,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 12" group.long 0x30000++0x03 line.long 0x00 "PCR0_12,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x30000+0x14)++0x03 line.long 0x00 "PFS0_12,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x30000+0x1C)++0x03 line.long 0x00 "DP0_12,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x30000+0x800)++0x03 line.long 0x00 "PDEF0_12,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x30000+0xC00)++0x03 line.long 0x00 "CFG0_12,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x30000+0xC04)++0x07 line.long 0x00 "ST0_12,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_12,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 13" group.long 0x34000++0x03 line.long 0x00 "PCR0_13,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x34000+0x14)++0x03 line.long 0x00 "PFS0_13,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x34000+0x1C)++0x03 line.long 0x00 "DP0_13,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x34000+0x800)++0x03 line.long 0x00 "PDEF0_13,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x34000+0xC00)++0x03 line.long 0x00 "CFG0_13,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x34000+0xC04)++0x07 line.long 0x00 "ST0_13,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_13,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 14" group.long 0x38000++0x03 line.long 0x00 "PCR0_14,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x38000+0x14)++0x03 line.long 0x00 "PFS0_14,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x38000+0x1C)++0x03 line.long 0x00 "DP0_14,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x38000+0x800)++0x03 line.long 0x00 "PDEF0_14,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x38000+0xC00)++0x03 line.long 0x00 "CFG0_14,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x38000+0xC04)++0x07 line.long 0x00 "ST0_14,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_14,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 15" group.long 0x3C000++0x03 line.long 0x00 "PCR0_15,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x3C000+0x14)++0x03 line.long 0x00 "PFS0_15,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x3C000+0x1C)++0x03 line.long 0x00 "DP0_15,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x3C000+0x800)++0x03 line.long 0x00 "PDEF0_15,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x3C000+0xC00)++0x03 line.long 0x00 "CFG0_15,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x3C000+0xC04)++0x07 line.long 0x00 "ST0_15,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_15,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 16" group.long 0x40000++0x03 line.long 0x00 "PCR0_16,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x40000+0x14)++0x03 line.long 0x00 "PFS0_16,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x40000+0x1C)++0x03 line.long 0x00 "DP0_16,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x40000+0x800)++0x03 line.long 0x00 "PDEF0_16,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x40000+0xC00)++0x03 line.long 0x00 "CFG0_16,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x40000+0xC04)++0x07 line.long 0x00 "ST0_16,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_16,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 17" group.long 0x44000++0x03 line.long 0x00 "PCR0_17,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x44000+0x14)++0x03 line.long 0x00 "PFS0_17,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x44000+0x1C)++0x03 line.long 0x00 "DP0_17,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x44000+0x800)++0x03 line.long 0x00 "PDEF0_17,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x44000+0xC00)++0x03 line.long 0x00 "CFG0_17,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x44000+0xC04)++0x07 line.long 0x00 "ST0_17,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_17,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 18" group.long 0x48000++0x03 line.long 0x00 "PCR0_18,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x48000+0x14)++0x03 line.long 0x00 "PFS0_18,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x48000+0x1C)++0x03 line.long 0x00 "DP0_18,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x48000+0x800)++0x03 line.long 0x00 "PDEF0_18,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x48000+0xC00)++0x03 line.long 0x00 "CFG0_18,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x48000+0xC04)++0x07 line.long 0x00 "ST0_18,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_18,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 19" group.long 0x4C000++0x03 line.long 0x00 "PCR0_19,Port Configuration Register" bitfld.long 0x00 8.--11. " PRT ,Port rate" "1/2.5G,5G,10G,20G,40G,,,,,,,,,,,Port is not operational" sif cpuis("LX2162A*") bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." else bitfld.long 0x00 0.--3. " PTY ,Port type" "1/10/25 Gbps,40/50/100 Gbps,,,,,,,,,,,,,WRIOP recycle path port,?..." endif group.long (0x4C000+0x14)++0x03 line.long 0x00 "PFS0_19,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x4C000+0x1C)++0x03 line.long 0x00 "DP0_19,DMA Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x4C000+0x800)++0x03 line.long 0x00 "PDEF0_19,Port Defaults Register" hexmask.long.word 0x00 4.--15. 1. " IFPID ,Initial interface profile ID" group.long (0x4C000+0xC00)++0x03 line.long 0x00 "CFG0_19,WRIOP Ingress Configuration Register" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x4C000+0xC04)++0x07 line.long 0x00 "ST0_19,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP0_19,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree.end width 13. tree "Egress" tree "Port 0" group.long (0x0+0x2004)++0x03 line.long 0x00 "CGED1_0,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x0+0x2014)++0x03 line.long 0x00 "PFS1_0,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x0+0x201C)++0x03 line.long 0x00 "DP1_0,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x0+0x2424)++0x03 line.long 0x00 "TXPFCM01_0,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x0+0x242C)++0x03 line.long 0x00 "FLCL1_0,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x0+0x2C00)++0x03 line.long 0x00 "CFG1_0,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x0+0x2C04)++0x07 line.long 0x00 "ST1_0,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_0,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 1" group.long (0x4000+0x2004)++0x03 line.long 0x00 "CGED1_1,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x4000+0x2014)++0x03 line.long 0x00 "PFS1_1,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x4000+0x201C)++0x03 line.long 0x00 "DP1_1,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x4000+0x2424)++0x03 line.long 0x00 "TXPFCM01_1,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x4000+0x242C)++0x03 line.long 0x00 "FLCL1_1,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x4000+0x2C00)++0x03 line.long 0x00 "CFG1_1,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x4000+0x2C04)++0x07 line.long 0x00 "ST1_1,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_1,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 2" group.long (0x8000+0x2004)++0x03 line.long 0x00 "CGED1_2,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x8000+0x2014)++0x03 line.long 0x00 "PFS1_2,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x8000+0x201C)++0x03 line.long 0x00 "DP1_2,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x8000+0x2424)++0x03 line.long 0x00 "TXPFCM01_2,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8000+0x242C)++0x03 line.long 0x00 "FLCL1_2,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x8000+0x2C00)++0x03 line.long 0x00 "CFG1_2,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x8000+0x2C04)++0x07 line.long 0x00 "ST1_2,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_2,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 3" group.long (0xC000+0x2004)++0x03 line.long 0x00 "CGED1_3,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0xC000+0x2014)++0x03 line.long 0x00 "PFS1_3,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0xC000+0x201C)++0x03 line.long 0x00 "DP1_3,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0xC000+0x2424)++0x03 line.long 0x00 "TXPFCM01_3,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0xC000+0x242C)++0x03 line.long 0x00 "FLCL1_3,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0xC000+0x2C00)++0x03 line.long 0x00 "CFG1_3,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0xC000+0x2C04)++0x07 line.long 0x00 "ST1_3,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_3,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 4" group.long (0x10000+0x2004)++0x03 line.long 0x00 "CGED1_4,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x10000+0x2014)++0x03 line.long 0x00 "PFS1_4,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x10000+0x201C)++0x03 line.long 0x00 "DP1_4,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x10000+0x2424)++0x03 line.long 0x00 "TXPFCM01_4,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x10000+0x242C)++0x03 line.long 0x00 "FLCL1_4,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x10000+0x2C00)++0x03 line.long 0x00 "CFG1_4,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x10000+0x2C04)++0x07 line.long 0x00 "ST1_4,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_4,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 5" group.long (0x14000+0x2004)++0x03 line.long 0x00 "CGED1_5,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x14000+0x2014)++0x03 line.long 0x00 "PFS1_5,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x14000+0x201C)++0x03 line.long 0x00 "DP1_5,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x14000+0x2424)++0x03 line.long 0x00 "TXPFCM01_5,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x14000+0x242C)++0x03 line.long 0x00 "FLCL1_5,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x14000+0x2C00)++0x03 line.long 0x00 "CFG1_5,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x14000+0x2C04)++0x07 line.long 0x00 "ST1_5,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_5,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 6" group.long (0x18000+0x2004)++0x03 line.long 0x00 "CGED1_6,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x18000+0x2014)++0x03 line.long 0x00 "PFS1_6,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x18000+0x201C)++0x03 line.long 0x00 "DP1_6,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x18000+0x2424)++0x03 line.long 0x00 "TXPFCM01_6,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x18000+0x242C)++0x03 line.long 0x00 "FLCL1_6,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x18000+0x2C00)++0x03 line.long 0x00 "CFG1_6,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x18000+0x2C04)++0x07 line.long 0x00 "ST1_6,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_6,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 7" group.long (0x1C000+0x2004)++0x03 line.long 0x00 "CGED1_7,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x1C000+0x2014)++0x03 line.long 0x00 "PFS1_7,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x1C000+0x201C)++0x03 line.long 0x00 "DP1_7,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x1C000+0x2424)++0x03 line.long 0x00 "TXPFCM01_7,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x1C000+0x242C)++0x03 line.long 0x00 "FLCL1_7,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x1C000+0x2C00)++0x03 line.long 0x00 "CFG1_7,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x1C000+0x2C04)++0x07 line.long 0x00 "ST1_7,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_7,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 8" group.long (0x20000+0x2004)++0x03 line.long 0x00 "CGED1_8,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x20000+0x2014)++0x03 line.long 0x00 "PFS1_8,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x20000+0x201C)++0x03 line.long 0x00 "DP1_8,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x20000+0x2424)++0x03 line.long 0x00 "TXPFCM01_8,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x20000+0x242C)++0x03 line.long 0x00 "FLCL1_8,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x20000+0x2C00)++0x03 line.long 0x00 "CFG1_8,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x20000+0x2C04)++0x07 line.long 0x00 "ST1_8,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_8,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 9" group.long (0x24000+0x2004)++0x03 line.long 0x00 "CGED1_9,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x24000+0x2014)++0x03 line.long 0x00 "PFS1_9,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x24000+0x201C)++0x03 line.long 0x00 "DP1_9,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x24000+0x2424)++0x03 line.long 0x00 "TXPFCM01_9,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x24000+0x242C)++0x03 line.long 0x00 "FLCL1_9,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x24000+0x2C00)++0x03 line.long 0x00 "CFG1_9,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x24000+0x2C04)++0x07 line.long 0x00 "ST1_9,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_9,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 10" group.long (0x28000+0x2004)++0x03 line.long 0x00 "CGED1_10,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x28000+0x2014)++0x03 line.long 0x00 "PFS1_10,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x28000+0x201C)++0x03 line.long 0x00 "DP1_10,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x28000+0x2424)++0x03 line.long 0x00 "TXPFCM01_10,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x28000+0x242C)++0x03 line.long 0x00 "FLCL1_10,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x28000+0x2C00)++0x03 line.long 0x00 "CFG1_10,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x28000+0x2C04)++0x07 line.long 0x00 "ST1_10,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_10,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 11" group.long (0x2C000+0x2004)++0x03 line.long 0x00 "CGED1_11,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x2C000+0x2014)++0x03 line.long 0x00 "PFS1_11,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x2C000+0x201C)++0x03 line.long 0x00 "DP1_11,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x2C000+0x2424)++0x03 line.long 0x00 "TXPFCM01_11,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x2C000+0x242C)++0x03 line.long 0x00 "FLCL1_11,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x2C000+0x2C00)++0x03 line.long 0x00 "CFG1_11,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x2C000+0x2C04)++0x07 line.long 0x00 "ST1_11,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_11,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 12" group.long (0x30000+0x2004)++0x03 line.long 0x00 "CGED1_12,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x30000+0x2014)++0x03 line.long 0x00 "PFS1_12,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x30000+0x201C)++0x03 line.long 0x00 "DP1_12,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x30000+0x2424)++0x03 line.long 0x00 "TXPFCM01_12,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x30000+0x242C)++0x03 line.long 0x00 "FLCL1_12,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x30000+0x2C00)++0x03 line.long 0x00 "CFG1_12,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x30000+0x2C04)++0x07 line.long 0x00 "ST1_12,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_12,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 13" group.long (0x34000+0x2004)++0x03 line.long 0x00 "CGED1_13,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x34000+0x2014)++0x03 line.long 0x00 "PFS1_13,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x34000+0x201C)++0x03 line.long 0x00 "DP1_13,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x34000+0x2424)++0x03 line.long 0x00 "TXPFCM01_13,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x34000+0x242C)++0x03 line.long 0x00 "FLCL1_13,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x34000+0x2C00)++0x03 line.long 0x00 "CFG1_13,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x34000+0x2C04)++0x07 line.long 0x00 "ST1_13,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_13,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 14" group.long (0x38000+0x2004)++0x03 line.long 0x00 "CGED1_14,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x38000+0x2014)++0x03 line.long 0x00 "PFS1_14,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x38000+0x201C)++0x03 line.long 0x00 "DP1_14,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x38000+0x2424)++0x03 line.long 0x00 "TXPFCM01_14,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x38000+0x242C)++0x03 line.long 0x00 "FLCL1_14,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x38000+0x2C00)++0x03 line.long 0x00 "CFG1_14,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x38000+0x2C04)++0x07 line.long 0x00 "ST1_14,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_14,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 15" group.long (0x3C000+0x2004)++0x03 line.long 0x00 "CGED1_15,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x3C000+0x2014)++0x03 line.long 0x00 "PFS1_15,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x3C000+0x201C)++0x03 line.long 0x00 "DP1_15,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x3C000+0x2424)++0x03 line.long 0x00 "TXPFCM01_15,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x3C000+0x242C)++0x03 line.long 0x00 "FLCL1_15,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x3C000+0x2C00)++0x03 line.long 0x00 "CFG1_15,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x3C000+0x2C04)++0x07 line.long 0x00 "ST1_15,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_15,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 16" group.long (0x40000+0x2004)++0x03 line.long 0x00 "CGED1_16,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x40000+0x2014)++0x03 line.long 0x00 "PFS1_16,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x40000+0x201C)++0x03 line.long 0x00 "DP1_16,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x40000+0x2424)++0x03 line.long 0x00 "TXPFCM01_16,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x40000+0x242C)++0x03 line.long 0x00 "FLCL1_16,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x40000+0x2C00)++0x03 line.long 0x00 "CFG1_16,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x40000+0x2C04)++0x07 line.long 0x00 "ST1_16,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_16,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 17" group.long (0x44000+0x2004)++0x03 line.long 0x00 "CGED1_17,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x44000+0x2014)++0x03 line.long 0x00 "PFS1_17,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x44000+0x201C)++0x03 line.long 0x00 "DP1_17,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x44000+0x2424)++0x03 line.long 0x00 "TXPFCM01_17,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x44000+0x242C)++0x03 line.long 0x00 "FLCL1_17,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x44000+0x2C00)++0x03 line.long 0x00 "CFG1_17,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x44000+0x2C04)++0x07 line.long 0x00 "ST1_17,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_17,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 18" group.long (0x48000+0x2004)++0x03 line.long 0x00 "CGED1_18,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x48000+0x2014)++0x03 line.long 0x00 "PFS1_18,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x48000+0x201C)++0x03 line.long 0x00 "DP1_18,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x48000+0x2424)++0x03 line.long 0x00 "TXPFCM01_18,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x48000+0x242C)++0x03 line.long 0x00 "FLCL1_18,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x48000+0x2C00)++0x03 line.long 0x00 "CFG1_18,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x48000+0x2C04)++0x07 line.long 0x00 "ST1_18,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_18,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree "Port 19" group.long (0x4C000+0x2004)++0x03 line.long 0x00 "CGED1_19,Frame Checksum Generation End Data Register" bitfld.long 0x00 3.--7. " CGI ,Checksum generation ignore" "Calculate for whole frame,Eliminate last byte,Eliminate last 2 bytes,Eliminate last 3 bytes,Eliminate last 4 bytes,Eliminate last 5 bytes,Eliminate last 6 bytes,Eliminate last 7 bytes,Eliminate last 8 bytes,Eliminate last 9 bytes,Eliminate last 10 bytes,Eliminate last 11 bytes,Eliminate last 12 bytes,Eliminate last 13 bytes,Eliminate last 14 bytes,Eliminate last 15 bytes,Eliminate last 16 bytes,?..." group.long (0x4C000+0x2014)++0x03 line.long 0x00 "PFS1_19,Port FIFO Size Register" hexmask.long.word 0x00 22.--31. 1. " IFSZ ,Internal FIFO size" group.long (0x4C000+0x201C)++0x03 line.long 0x00 "DP1_19,Port FIFO Size Register" hexmask.long.byte 0x00 24.--31. 1. " MXD ,Max DMA" group.long (0x4C000+0x2424)++0x03 line.long 0x00 "TXPFCM01_19,Tx Priority Based Flow Control Mapping Register" bitfld.long 0x00 28.--31. " CEVM[7] ,PFC class enable vector (CEV) bit 7 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " [6] ,PFC Class Enable Vector (CEV) bit 6 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " [5] ,PFC Class Enable Vector (CEV) bit 5 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " [4] ,PFC Class Enable Vector (CEV) bit 4 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " [3] ,PFC Class Enable Vector (CEV) bit 3 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " [2] ,PFC Class Enable Vector (CEV) bit 2 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " [1] ,PFC Class Enable Vector (CEV) bit 1 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " [0] ,PFC Class Enable Vector (CEV) bit 0 to QMan Traffic Class (TC) mapping" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x4C000+0x242C)++0x03 line.long 0x00 "FLCL1_19,FIFO Parameters Register" hexmask.long.byte 0x00 24.--31. 1. " FLCL ,FIFO low comfort level" hexmask.long.byte 0x00 8.--15. 1. " EMFR ,Egress maximum frames in FD queue" group.long (0x4C000+0x2C00)++0x03 line.long 0x00 "CFG1_19,WRIOP Egress Configuration Register" bitfld.long 0x00 2. " FM ,Flush mode" "Keep mode,Discard mode" bitfld.long 0x00 0. " EN ,Port enable" "Disabled,Enabled" rgroup.long (0x4C000+0x2C04)++0x07 line.long 0x00 "ST1_19,Status Register" hexmask.long.byte 0x00 24.--31. 1. " NTSKS ,Number of tasks" bitfld.long 0x00 0. " BSY ,Port busy" "Not busy,Busy" line.long 0x04 "TP1_19,Task Parameters Register" hexmask.long.byte 0x04 0.--7. 1. " MXT ,Maximum number of tasks" tree.end tree.end endian.le width 0x0B tree.end tree.end tree "CTLU (Classifier And Table Lookup Unit)" tree "Ingress" tree "WRIOP CTLU Interface Profile Record Detailed Description" base ad:0x08B80000 width 12. endian.be group.long 0x0++0x2B line.long 0x00 "CIDEF0_0 ,Interface Profile 0_0 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_0 ,Interface Profile 1_0 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_0 ,Interface Profile 2_0 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_0 ,Interface Profile 3_0 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_0 ,Interface Profile 4_0 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_0 ,Interface Profile 5_0 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_0 ,Interface Profile 6_0 Default Values Register" line.long 0x1C "CIDEF7_0 ,Interface Profile 7_0 Default Values Register" line.long 0x20 "CEDEF0_0 ,Interface Profile 0_0 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_0 ,Interface Profile 1_0 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_0 ,Interface Profile 2_0 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x400++0x2B line.long 0x00 "CIDEF0_1 ,Interface Profile 0_1 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_1 ,Interface Profile 1_1 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_1 ,Interface Profile 2_1 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_1 ,Interface Profile 3_1 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_1 ,Interface Profile 4_1 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_1 ,Interface Profile 5_1 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_1 ,Interface Profile 6_1 Default Values Register" line.long 0x1C "CIDEF7_1 ,Interface Profile 7_1 Default Values Register" line.long 0x20 "CEDEF0_1 ,Interface Profile 0_1 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_1 ,Interface Profile 1_1 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_1 ,Interface Profile 2_1 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x800++0x2B line.long 0x00 "CIDEF0_2 ,Interface Profile 0_2 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_2 ,Interface Profile 1_2 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_2 ,Interface Profile 2_2 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_2 ,Interface Profile 3_2 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_2 ,Interface Profile 4_2 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_2 ,Interface Profile 5_2 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_2 ,Interface Profile 6_2 Default Values Register" line.long 0x1C "CIDEF7_2 ,Interface Profile 7_2 Default Values Register" line.long 0x20 "CEDEF0_2 ,Interface Profile 0_2 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_2 ,Interface Profile 1_2 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_2 ,Interface Profile 2_2 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xC00++0x2B line.long 0x00 "CIDEF0_3 ,Interface Profile 0_3 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_3 ,Interface Profile 1_3 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_3 ,Interface Profile 2_3 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_3 ,Interface Profile 3_3 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_3 ,Interface Profile 4_3 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_3 ,Interface Profile 5_3 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_3 ,Interface Profile 6_3 Default Values Register" line.long 0x1C "CIDEF7_3 ,Interface Profile 7_3 Default Values Register" line.long 0x20 "CEDEF0_3 ,Interface Profile 0_3 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_3 ,Interface Profile 1_3 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_3 ,Interface Profile 2_3 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1000++0x2B line.long 0x00 "CIDEF0_4 ,Interface Profile 0_4 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_4 ,Interface Profile 1_4 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_4 ,Interface Profile 2_4 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_4 ,Interface Profile 3_4 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_4 ,Interface Profile 4_4 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_4 ,Interface Profile 5_4 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_4 ,Interface Profile 6_4 Default Values Register" line.long 0x1C "CIDEF7_4 ,Interface Profile 7_4 Default Values Register" line.long 0x20 "CEDEF0_4 ,Interface Profile 0_4 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_4 ,Interface Profile 1_4 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_4 ,Interface Profile 2_4 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1400++0x2B line.long 0x00 "CIDEF0_5 ,Interface Profile 0_5 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_5 ,Interface Profile 1_5 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_5 ,Interface Profile 2_5 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_5 ,Interface Profile 3_5 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_5 ,Interface Profile 4_5 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_5 ,Interface Profile 5_5 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_5 ,Interface Profile 6_5 Default Values Register" line.long 0x1C "CIDEF7_5 ,Interface Profile 7_5 Default Values Register" line.long 0x20 "CEDEF0_5 ,Interface Profile 0_5 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_5 ,Interface Profile 1_5 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_5 ,Interface Profile 2_5 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1800++0x2B line.long 0x00 "CIDEF0_6 ,Interface Profile 0_6 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_6 ,Interface Profile 1_6 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_6 ,Interface Profile 2_6 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_6 ,Interface Profile 3_6 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_6 ,Interface Profile 4_6 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_6 ,Interface Profile 5_6 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_6 ,Interface Profile 6_6 Default Values Register" line.long 0x1C "CIDEF7_6 ,Interface Profile 7_6 Default Values Register" line.long 0x20 "CEDEF0_6 ,Interface Profile 0_6 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_6 ,Interface Profile 1_6 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_6 ,Interface Profile 2_6 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1C00++0x2B line.long 0x00 "CIDEF0_7 ,Interface Profile 0_7 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_7 ,Interface Profile 1_7 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_7 ,Interface Profile 2_7 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_7 ,Interface Profile 3_7 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_7 ,Interface Profile 4_7 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_7 ,Interface Profile 5_7 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_7 ,Interface Profile 6_7 Default Values Register" line.long 0x1C "CIDEF7_7 ,Interface Profile 7_7 Default Values Register" line.long 0x20 "CEDEF0_7 ,Interface Profile 0_7 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_7 ,Interface Profile 1_7 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_7 ,Interface Profile 2_7 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2000++0x2B line.long 0x00 "CIDEF0_8 ,Interface Profile 0_8 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_8 ,Interface Profile 1_8 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_8 ,Interface Profile 2_8 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_8 ,Interface Profile 3_8 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_8 ,Interface Profile 4_8 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_8 ,Interface Profile 5_8 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_8 ,Interface Profile 6_8 Default Values Register" line.long 0x1C "CIDEF7_8 ,Interface Profile 7_8 Default Values Register" line.long 0x20 "CEDEF0_8 ,Interface Profile 0_8 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_8 ,Interface Profile 1_8 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_8 ,Interface Profile 2_8 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2400++0x2B line.long 0x00 "CIDEF0_9 ,Interface Profile 0_9 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_9 ,Interface Profile 1_9 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_9 ,Interface Profile 2_9 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_9 ,Interface Profile 3_9 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_9 ,Interface Profile 4_9 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_9 ,Interface Profile 5_9 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_9 ,Interface Profile 6_9 Default Values Register" line.long 0x1C "CIDEF7_9 ,Interface Profile 7_9 Default Values Register" line.long 0x20 "CEDEF0_9 ,Interface Profile 0_9 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_9 ,Interface Profile 1_9 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_9 ,Interface Profile 2_9 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2800++0x2B line.long 0x00 "CIDEF0_10 ,Interface Profile 0_10 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_10 ,Interface Profile 1_10 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_10 ,Interface Profile 2_10 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_10 ,Interface Profile 3_10 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_10 ,Interface Profile 4_10 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_10 ,Interface Profile 5_10 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_10 ,Interface Profile 6_10 Default Values Register" line.long 0x1C "CIDEF7_10 ,Interface Profile 7_10 Default Values Register" line.long 0x20 "CEDEF0_10 ,Interface Profile 0_10 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_10 ,Interface Profile 1_10 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_10 ,Interface Profile 2_10 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2C00++0x2B line.long 0x00 "CIDEF0_11 ,Interface Profile 0_11 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_11 ,Interface Profile 1_11 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_11 ,Interface Profile 2_11 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_11 ,Interface Profile 3_11 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_11 ,Interface Profile 4_11 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_11 ,Interface Profile 5_11 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_11 ,Interface Profile 6_11 Default Values Register" line.long 0x1C "CIDEF7_11 ,Interface Profile 7_11 Default Values Register" line.long 0x20 "CEDEF0_11 ,Interface Profile 0_11 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_11 ,Interface Profile 1_11 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_11 ,Interface Profile 2_11 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3000++0x2B line.long 0x00 "CIDEF0_12 ,Interface Profile 0_12 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_12 ,Interface Profile 1_12 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_12 ,Interface Profile 2_12 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_12 ,Interface Profile 3_12 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_12 ,Interface Profile 4_12 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_12 ,Interface Profile 5_12 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_12 ,Interface Profile 6_12 Default Values Register" line.long 0x1C "CIDEF7_12 ,Interface Profile 7_12 Default Values Register" line.long 0x20 "CEDEF0_12 ,Interface Profile 0_12 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_12 ,Interface Profile 1_12 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_12 ,Interface Profile 2_12 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3400++0x2B line.long 0x00 "CIDEF0_13 ,Interface Profile 0_13 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_13 ,Interface Profile 1_13 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_13 ,Interface Profile 2_13 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_13 ,Interface Profile 3_13 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_13 ,Interface Profile 4_13 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_13 ,Interface Profile 5_13 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_13 ,Interface Profile 6_13 Default Values Register" line.long 0x1C "CIDEF7_13 ,Interface Profile 7_13 Default Values Register" line.long 0x20 "CEDEF0_13 ,Interface Profile 0_13 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_13 ,Interface Profile 1_13 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_13 ,Interface Profile 2_13 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3800++0x2B line.long 0x00 "CIDEF0_14 ,Interface Profile 0_14 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_14 ,Interface Profile 1_14 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_14 ,Interface Profile 2_14 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_14 ,Interface Profile 3_14 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_14 ,Interface Profile 4_14 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_14 ,Interface Profile 5_14 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_14 ,Interface Profile 6_14 Default Values Register" line.long 0x1C "CIDEF7_14 ,Interface Profile 7_14 Default Values Register" line.long 0x20 "CEDEF0_14 ,Interface Profile 0_14 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_14 ,Interface Profile 1_14 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_14 ,Interface Profile 2_14 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3C00++0x2B line.long 0x00 "CIDEF0_15 ,Interface Profile 0_15 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_15 ,Interface Profile 1_15 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_15 ,Interface Profile 2_15 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_15 ,Interface Profile 3_15 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_15 ,Interface Profile 4_15 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_15 ,Interface Profile 5_15 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_15 ,Interface Profile 6_15 Default Values Register" line.long 0x1C "CIDEF7_15 ,Interface Profile 7_15 Default Values Register" line.long 0x20 "CEDEF0_15 ,Interface Profile 0_15 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_15 ,Interface Profile 1_15 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_15 ,Interface Profile 2_15 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x4000++0x2B line.long 0x00 "CIDEF0_16 ,Interface Profile 0_16 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_16 ,Interface Profile 1_16 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_16 ,Interface Profile 2_16 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_16 ,Interface Profile 3_16 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_16 ,Interface Profile 4_16 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_16 ,Interface Profile 5_16 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_16 ,Interface Profile 6_16 Default Values Register" line.long 0x1C "CIDEF7_16 ,Interface Profile 7_16 Default Values Register" line.long 0x20 "CEDEF0_16 ,Interface Profile 0_16 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_16 ,Interface Profile 1_16 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_16 ,Interface Profile 2_16 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x4400++0x2B line.long 0x00 "CIDEF0_17 ,Interface Profile 0_17 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_17 ,Interface Profile 1_17 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_17 ,Interface Profile 2_17 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_17 ,Interface Profile 3_17 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_17 ,Interface Profile 4_17 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_17 ,Interface Profile 5_17 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_17 ,Interface Profile 6_17 Default Values Register" line.long 0x1C "CIDEF7_17 ,Interface Profile 7_17 Default Values Register" line.long 0x20 "CEDEF0_17 ,Interface Profile 0_17 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_17 ,Interface Profile 1_17 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_17 ,Interface Profile 2_17 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x4800++0x2B line.long 0x00 "CIDEF0_18 ,Interface Profile 0_18 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_18 ,Interface Profile 1_18 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_18 ,Interface Profile 2_18 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_18 ,Interface Profile 3_18 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_18 ,Interface Profile 4_18 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_18 ,Interface Profile 5_18 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_18 ,Interface Profile 6_18 Default Values Register" line.long 0x1C "CIDEF7_18 ,Interface Profile 7_18 Default Values Register" line.long 0x20 "CEDEF0_18 ,Interface Profile 0_18 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_18 ,Interface Profile 1_18 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_18 ,Interface Profile 2_18 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x4C00++0x2B line.long 0x00 "CIDEF0_19 ,Interface Profile 0_19 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_19 ,Interface Profile 1_19 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_19 ,Interface Profile 2_19 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_19 ,Interface Profile 3_19 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_19 ,Interface Profile 4_19 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_19 ,Interface Profile 5_19 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_19 ,Interface Profile 6_19 Default Values Register" line.long 0x1C "CIDEF7_19 ,Interface Profile 7_19 Default Values Register" line.long 0x20 "CEDEF0_19 ,Interface Profile 0_19 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_19 ,Interface Profile 1_19 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_19 ,Interface Profile 2_19 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x5000++0x2B line.long 0x00 "CIDEF0_20 ,Interface Profile 0_20 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_20 ,Interface Profile 1_20 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_20 ,Interface Profile 2_20 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_20 ,Interface Profile 3_20 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_20 ,Interface Profile 4_20 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_20 ,Interface Profile 5_20 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_20 ,Interface Profile 6_20 Default Values Register" line.long 0x1C "CIDEF7_20 ,Interface Profile 7_20 Default Values Register" line.long 0x20 "CEDEF0_20 ,Interface Profile 0_20 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_20 ,Interface Profile 1_20 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_20 ,Interface Profile 2_20 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x5400++0x2B line.long 0x00 "CIDEF0_21 ,Interface Profile 0_21 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_21 ,Interface Profile 1_21 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_21 ,Interface Profile 2_21 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_21 ,Interface Profile 3_21 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_21 ,Interface Profile 4_21 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_21 ,Interface Profile 5_21 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_21 ,Interface Profile 6_21 Default Values Register" line.long 0x1C "CIDEF7_21 ,Interface Profile 7_21 Default Values Register" line.long 0x20 "CEDEF0_21 ,Interface Profile 0_21 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_21 ,Interface Profile 1_21 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_21 ,Interface Profile 2_21 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x5800++0x2B line.long 0x00 "CIDEF0_22 ,Interface Profile 0_22 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_22 ,Interface Profile 1_22 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_22 ,Interface Profile 2_22 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_22 ,Interface Profile 3_22 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_22 ,Interface Profile 4_22 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_22 ,Interface Profile 5_22 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_22 ,Interface Profile 6_22 Default Values Register" line.long 0x1C "CIDEF7_22 ,Interface Profile 7_22 Default Values Register" line.long 0x20 "CEDEF0_22 ,Interface Profile 0_22 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_22 ,Interface Profile 1_22 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_22 ,Interface Profile 2_22 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x5C00++0x2B line.long 0x00 "CIDEF0_23 ,Interface Profile 0_23 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_23 ,Interface Profile 1_23 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_23 ,Interface Profile 2_23 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_23 ,Interface Profile 3_23 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_23 ,Interface Profile 4_23 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_23 ,Interface Profile 5_23 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_23 ,Interface Profile 6_23 Default Values Register" line.long 0x1C "CIDEF7_23 ,Interface Profile 7_23 Default Values Register" line.long 0x20 "CEDEF0_23 ,Interface Profile 0_23 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_23 ,Interface Profile 1_23 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_23 ,Interface Profile 2_23 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x6000++0x2B line.long 0x00 "CIDEF0_24 ,Interface Profile 0_24 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_24 ,Interface Profile 1_24 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_24 ,Interface Profile 2_24 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_24 ,Interface Profile 3_24 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_24 ,Interface Profile 4_24 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_24 ,Interface Profile 5_24 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_24 ,Interface Profile 6_24 Default Values Register" line.long 0x1C "CIDEF7_24 ,Interface Profile 7_24 Default Values Register" line.long 0x20 "CEDEF0_24 ,Interface Profile 0_24 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_24 ,Interface Profile 1_24 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_24 ,Interface Profile 2_24 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x6400++0x2B line.long 0x00 "CIDEF0_25 ,Interface Profile 0_25 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_25 ,Interface Profile 1_25 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_25 ,Interface Profile 2_25 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_25 ,Interface Profile 3_25 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_25 ,Interface Profile 4_25 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_25 ,Interface Profile 5_25 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_25 ,Interface Profile 6_25 Default Values Register" line.long 0x1C "CIDEF7_25 ,Interface Profile 7_25 Default Values Register" line.long 0x20 "CEDEF0_25 ,Interface Profile 0_25 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_25 ,Interface Profile 1_25 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_25 ,Interface Profile 2_25 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x6800++0x2B line.long 0x00 "CIDEF0_26 ,Interface Profile 0_26 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_26 ,Interface Profile 1_26 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_26 ,Interface Profile 2_26 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_26 ,Interface Profile 3_26 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_26 ,Interface Profile 4_26 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_26 ,Interface Profile 5_26 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_26 ,Interface Profile 6_26 Default Values Register" line.long 0x1C "CIDEF7_26 ,Interface Profile 7_26 Default Values Register" line.long 0x20 "CEDEF0_26 ,Interface Profile 0_26 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_26 ,Interface Profile 1_26 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_26 ,Interface Profile 2_26 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x6C00++0x2B line.long 0x00 "CIDEF0_27 ,Interface Profile 0_27 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_27 ,Interface Profile 1_27 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_27 ,Interface Profile 2_27 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_27 ,Interface Profile 3_27 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_27 ,Interface Profile 4_27 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_27 ,Interface Profile 5_27 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_27 ,Interface Profile 6_27 Default Values Register" line.long 0x1C "CIDEF7_27 ,Interface Profile 7_27 Default Values Register" line.long 0x20 "CEDEF0_27 ,Interface Profile 0_27 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_27 ,Interface Profile 1_27 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_27 ,Interface Profile 2_27 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x7000++0x2B line.long 0x00 "CIDEF0_28 ,Interface Profile 0_28 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_28 ,Interface Profile 1_28 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_28 ,Interface Profile 2_28 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_28 ,Interface Profile 3_28 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_28 ,Interface Profile 4_28 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_28 ,Interface Profile 5_28 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_28 ,Interface Profile 6_28 Default Values Register" line.long 0x1C "CIDEF7_28 ,Interface Profile 7_28 Default Values Register" line.long 0x20 "CEDEF0_28 ,Interface Profile 0_28 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_28 ,Interface Profile 1_28 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_28 ,Interface Profile 2_28 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x7400++0x2B line.long 0x00 "CIDEF0_29 ,Interface Profile 0_29 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_29 ,Interface Profile 1_29 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_29 ,Interface Profile 2_29 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_29 ,Interface Profile 3_29 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_29 ,Interface Profile 4_29 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_29 ,Interface Profile 5_29 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_29 ,Interface Profile 6_29 Default Values Register" line.long 0x1C "CIDEF7_29 ,Interface Profile 7_29 Default Values Register" line.long 0x20 "CEDEF0_29 ,Interface Profile 0_29 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_29 ,Interface Profile 1_29 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_29 ,Interface Profile 2_29 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x7800++0x2B line.long 0x00 "CIDEF0_30 ,Interface Profile 0_30 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_30 ,Interface Profile 1_30 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_30 ,Interface Profile 2_30 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_30 ,Interface Profile 3_30 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_30 ,Interface Profile 4_30 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_30 ,Interface Profile 5_30 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_30 ,Interface Profile 6_30 Default Values Register" line.long 0x1C "CIDEF7_30 ,Interface Profile 7_30 Default Values Register" line.long 0x20 "CEDEF0_30 ,Interface Profile 0_30 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_30 ,Interface Profile 1_30 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_30 ,Interface Profile 2_30 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x7C00++0x2B line.long 0x00 "CIDEF0_31 ,Interface Profile 0_31 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_31 ,Interface Profile 1_31 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_31 ,Interface Profile 2_31 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_31 ,Interface Profile 3_31 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_31 ,Interface Profile 4_31 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_31 ,Interface Profile 5_31 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_31 ,Interface Profile 6_31 Default Values Register" line.long 0x1C "CIDEF7_31 ,Interface Profile 7_31 Default Values Register" line.long 0x20 "CEDEF0_31 ,Interface Profile 0_31 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_31 ,Interface Profile 1_31 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_31 ,Interface Profile 2_31 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x8000++0x2B line.long 0x00 "CIDEF0_32 ,Interface Profile 0_32 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_32 ,Interface Profile 1_32 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_32 ,Interface Profile 2_32 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_32 ,Interface Profile 3_32 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_32 ,Interface Profile 4_32 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_32 ,Interface Profile 5_32 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_32 ,Interface Profile 6_32 Default Values Register" line.long 0x1C "CIDEF7_32 ,Interface Profile 7_32 Default Values Register" line.long 0x20 "CEDEF0_32 ,Interface Profile 0_32 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_32 ,Interface Profile 1_32 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_32 ,Interface Profile 2_32 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x8400++0x2B line.long 0x00 "CIDEF0_33 ,Interface Profile 0_33 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_33 ,Interface Profile 1_33 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_33 ,Interface Profile 2_33 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_33 ,Interface Profile 3_33 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_33 ,Interface Profile 4_33 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_33 ,Interface Profile 5_33 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_33 ,Interface Profile 6_33 Default Values Register" line.long 0x1C "CIDEF7_33 ,Interface Profile 7_33 Default Values Register" line.long 0x20 "CEDEF0_33 ,Interface Profile 0_33 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_33 ,Interface Profile 1_33 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_33 ,Interface Profile 2_33 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x8800++0x2B line.long 0x00 "CIDEF0_34 ,Interface Profile 0_34 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_34 ,Interface Profile 1_34 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_34 ,Interface Profile 2_34 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_34 ,Interface Profile 3_34 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_34 ,Interface Profile 4_34 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_34 ,Interface Profile 5_34 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_34 ,Interface Profile 6_34 Default Values Register" line.long 0x1C "CIDEF7_34 ,Interface Profile 7_34 Default Values Register" line.long 0x20 "CEDEF0_34 ,Interface Profile 0_34 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_34 ,Interface Profile 1_34 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_34 ,Interface Profile 2_34 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x8C00++0x2B line.long 0x00 "CIDEF0_35 ,Interface Profile 0_35 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_35 ,Interface Profile 1_35 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_35 ,Interface Profile 2_35 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_35 ,Interface Profile 3_35 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_35 ,Interface Profile 4_35 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_35 ,Interface Profile 5_35 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_35 ,Interface Profile 6_35 Default Values Register" line.long 0x1C "CIDEF7_35 ,Interface Profile 7_35 Default Values Register" line.long 0x20 "CEDEF0_35 ,Interface Profile 0_35 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_35 ,Interface Profile 1_35 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_35 ,Interface Profile 2_35 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x9000++0x2B line.long 0x00 "CIDEF0_36 ,Interface Profile 0_36 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_36 ,Interface Profile 1_36 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_36 ,Interface Profile 2_36 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_36 ,Interface Profile 3_36 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_36 ,Interface Profile 4_36 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_36 ,Interface Profile 5_36 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_36 ,Interface Profile 6_36 Default Values Register" line.long 0x1C "CIDEF7_36 ,Interface Profile 7_36 Default Values Register" line.long 0x20 "CEDEF0_36 ,Interface Profile 0_36 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_36 ,Interface Profile 1_36 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_36 ,Interface Profile 2_36 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x9400++0x2B line.long 0x00 "CIDEF0_37 ,Interface Profile 0_37 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_37 ,Interface Profile 1_37 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_37 ,Interface Profile 2_37 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_37 ,Interface Profile 3_37 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_37 ,Interface Profile 4_37 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_37 ,Interface Profile 5_37 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_37 ,Interface Profile 6_37 Default Values Register" line.long 0x1C "CIDEF7_37 ,Interface Profile 7_37 Default Values Register" line.long 0x20 "CEDEF0_37 ,Interface Profile 0_37 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_37 ,Interface Profile 1_37 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_37 ,Interface Profile 2_37 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x9800++0x2B line.long 0x00 "CIDEF0_38 ,Interface Profile 0_38 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_38 ,Interface Profile 1_38 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_38 ,Interface Profile 2_38 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_38 ,Interface Profile 3_38 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_38 ,Interface Profile 4_38 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_38 ,Interface Profile 5_38 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_38 ,Interface Profile 6_38 Default Values Register" line.long 0x1C "CIDEF7_38 ,Interface Profile 7_38 Default Values Register" line.long 0x20 "CEDEF0_38 ,Interface Profile 0_38 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_38 ,Interface Profile 1_38 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_38 ,Interface Profile 2_38 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x9C00++0x2B line.long 0x00 "CIDEF0_39 ,Interface Profile 0_39 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_39 ,Interface Profile 1_39 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_39 ,Interface Profile 2_39 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_39 ,Interface Profile 3_39 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_39 ,Interface Profile 4_39 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_39 ,Interface Profile 5_39 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_39 ,Interface Profile 6_39 Default Values Register" line.long 0x1C "CIDEF7_39 ,Interface Profile 7_39 Default Values Register" line.long 0x20 "CEDEF0_39 ,Interface Profile 0_39 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_39 ,Interface Profile 1_39 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_39 ,Interface Profile 2_39 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xA000++0x2B line.long 0x00 "CIDEF0_40 ,Interface Profile 0_40 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_40 ,Interface Profile 1_40 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_40 ,Interface Profile 2_40 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_40 ,Interface Profile 3_40 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_40 ,Interface Profile 4_40 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_40 ,Interface Profile 5_40 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_40 ,Interface Profile 6_40 Default Values Register" line.long 0x1C "CIDEF7_40 ,Interface Profile 7_40 Default Values Register" line.long 0x20 "CEDEF0_40 ,Interface Profile 0_40 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_40 ,Interface Profile 1_40 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_40 ,Interface Profile 2_40 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xA400++0x2B line.long 0x00 "CIDEF0_41 ,Interface Profile 0_41 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_41 ,Interface Profile 1_41 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_41 ,Interface Profile 2_41 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_41 ,Interface Profile 3_41 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_41 ,Interface Profile 4_41 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_41 ,Interface Profile 5_41 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_41 ,Interface Profile 6_41 Default Values Register" line.long 0x1C "CIDEF7_41 ,Interface Profile 7_41 Default Values Register" line.long 0x20 "CEDEF0_41 ,Interface Profile 0_41 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_41 ,Interface Profile 1_41 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_41 ,Interface Profile 2_41 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xA800++0x2B line.long 0x00 "CIDEF0_42 ,Interface Profile 0_42 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_42 ,Interface Profile 1_42 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_42 ,Interface Profile 2_42 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_42 ,Interface Profile 3_42 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_42 ,Interface Profile 4_42 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_42 ,Interface Profile 5_42 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_42 ,Interface Profile 6_42 Default Values Register" line.long 0x1C "CIDEF7_42 ,Interface Profile 7_42 Default Values Register" line.long 0x20 "CEDEF0_42 ,Interface Profile 0_42 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_42 ,Interface Profile 1_42 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_42 ,Interface Profile 2_42 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xAC00++0x2B line.long 0x00 "CIDEF0_43 ,Interface Profile 0_43 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_43 ,Interface Profile 1_43 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_43 ,Interface Profile 2_43 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_43 ,Interface Profile 3_43 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_43 ,Interface Profile 4_43 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_43 ,Interface Profile 5_43 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_43 ,Interface Profile 6_43 Default Values Register" line.long 0x1C "CIDEF7_43 ,Interface Profile 7_43 Default Values Register" line.long 0x20 "CEDEF0_43 ,Interface Profile 0_43 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_43 ,Interface Profile 1_43 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_43 ,Interface Profile 2_43 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xB000++0x2B line.long 0x00 "CIDEF0_44 ,Interface Profile 0_44 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_44 ,Interface Profile 1_44 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_44 ,Interface Profile 2_44 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_44 ,Interface Profile 3_44 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_44 ,Interface Profile 4_44 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_44 ,Interface Profile 5_44 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_44 ,Interface Profile 6_44 Default Values Register" line.long 0x1C "CIDEF7_44 ,Interface Profile 7_44 Default Values Register" line.long 0x20 "CEDEF0_44 ,Interface Profile 0_44 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_44 ,Interface Profile 1_44 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_44 ,Interface Profile 2_44 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xB400++0x2B line.long 0x00 "CIDEF0_45 ,Interface Profile 0_45 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_45 ,Interface Profile 1_45 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_45 ,Interface Profile 2_45 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_45 ,Interface Profile 3_45 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_45 ,Interface Profile 4_45 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_45 ,Interface Profile 5_45 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_45 ,Interface Profile 6_45 Default Values Register" line.long 0x1C "CIDEF7_45 ,Interface Profile 7_45 Default Values Register" line.long 0x20 "CEDEF0_45 ,Interface Profile 0_45 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_45 ,Interface Profile 1_45 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_45 ,Interface Profile 2_45 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xB800++0x2B line.long 0x00 "CIDEF0_46 ,Interface Profile 0_46 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_46 ,Interface Profile 1_46 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_46 ,Interface Profile 2_46 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_46 ,Interface Profile 3_46 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_46 ,Interface Profile 4_46 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_46 ,Interface Profile 5_46 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_46 ,Interface Profile 6_46 Default Values Register" line.long 0x1C "CIDEF7_46 ,Interface Profile 7_46 Default Values Register" line.long 0x20 "CEDEF0_46 ,Interface Profile 0_46 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_46 ,Interface Profile 1_46 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_46 ,Interface Profile 2_46 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xBC00++0x2B line.long 0x00 "CIDEF0_47 ,Interface Profile 0_47 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_47 ,Interface Profile 1_47 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_47 ,Interface Profile 2_47 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_47 ,Interface Profile 3_47 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_47 ,Interface Profile 4_47 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_47 ,Interface Profile 5_47 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_47 ,Interface Profile 6_47 Default Values Register" line.long 0x1C "CIDEF7_47 ,Interface Profile 7_47 Default Values Register" line.long 0x20 "CEDEF0_47 ,Interface Profile 0_47 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_47 ,Interface Profile 1_47 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_47 ,Interface Profile 2_47 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xC000++0x2B line.long 0x00 "CIDEF0_48 ,Interface Profile 0_48 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_48 ,Interface Profile 1_48 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_48 ,Interface Profile 2_48 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_48 ,Interface Profile 3_48 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_48 ,Interface Profile 4_48 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_48 ,Interface Profile 5_48 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_48 ,Interface Profile 6_48 Default Values Register" line.long 0x1C "CIDEF7_48 ,Interface Profile 7_48 Default Values Register" line.long 0x20 "CEDEF0_48 ,Interface Profile 0_48 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_48 ,Interface Profile 1_48 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_48 ,Interface Profile 2_48 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xC400++0x2B line.long 0x00 "CIDEF0_49 ,Interface Profile 0_49 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_49 ,Interface Profile 1_49 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_49 ,Interface Profile 2_49 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_49 ,Interface Profile 3_49 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_49 ,Interface Profile 4_49 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_49 ,Interface Profile 5_49 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_49 ,Interface Profile 6_49 Default Values Register" line.long 0x1C "CIDEF7_49 ,Interface Profile 7_49 Default Values Register" line.long 0x20 "CEDEF0_49 ,Interface Profile 0_49 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_49 ,Interface Profile 1_49 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_49 ,Interface Profile 2_49 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xC800++0x2B line.long 0x00 "CIDEF0_50 ,Interface Profile 0_50 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_50 ,Interface Profile 1_50 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_50 ,Interface Profile 2_50 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_50 ,Interface Profile 3_50 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_50 ,Interface Profile 4_50 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_50 ,Interface Profile 5_50 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_50 ,Interface Profile 6_50 Default Values Register" line.long 0x1C "CIDEF7_50 ,Interface Profile 7_50 Default Values Register" line.long 0x20 "CEDEF0_50 ,Interface Profile 0_50 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_50 ,Interface Profile 1_50 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_50 ,Interface Profile 2_50 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xCC00++0x2B line.long 0x00 "CIDEF0_51 ,Interface Profile 0_51 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_51 ,Interface Profile 1_51 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_51 ,Interface Profile 2_51 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_51 ,Interface Profile 3_51 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_51 ,Interface Profile 4_51 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_51 ,Interface Profile 5_51 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_51 ,Interface Profile 6_51 Default Values Register" line.long 0x1C "CIDEF7_51 ,Interface Profile 7_51 Default Values Register" line.long 0x20 "CEDEF0_51 ,Interface Profile 0_51 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_51 ,Interface Profile 1_51 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_51 ,Interface Profile 2_51 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xD000++0x2B line.long 0x00 "CIDEF0_52 ,Interface Profile 0_52 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_52 ,Interface Profile 1_52 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_52 ,Interface Profile 2_52 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_52 ,Interface Profile 3_52 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_52 ,Interface Profile 4_52 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_52 ,Interface Profile 5_52 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_52 ,Interface Profile 6_52 Default Values Register" line.long 0x1C "CIDEF7_52 ,Interface Profile 7_52 Default Values Register" line.long 0x20 "CEDEF0_52 ,Interface Profile 0_52 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_52 ,Interface Profile 1_52 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_52 ,Interface Profile 2_52 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xD400++0x2B line.long 0x00 "CIDEF0_53 ,Interface Profile 0_53 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_53 ,Interface Profile 1_53 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_53 ,Interface Profile 2_53 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_53 ,Interface Profile 3_53 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_53 ,Interface Profile 4_53 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_53 ,Interface Profile 5_53 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_53 ,Interface Profile 6_53 Default Values Register" line.long 0x1C "CIDEF7_53 ,Interface Profile 7_53 Default Values Register" line.long 0x20 "CEDEF0_53 ,Interface Profile 0_53 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_53 ,Interface Profile 1_53 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_53 ,Interface Profile 2_53 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xD800++0x2B line.long 0x00 "CIDEF0_54 ,Interface Profile 0_54 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_54 ,Interface Profile 1_54 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_54 ,Interface Profile 2_54 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_54 ,Interface Profile 3_54 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_54 ,Interface Profile 4_54 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_54 ,Interface Profile 5_54 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_54 ,Interface Profile 6_54 Default Values Register" line.long 0x1C "CIDEF7_54 ,Interface Profile 7_54 Default Values Register" line.long 0x20 "CEDEF0_54 ,Interface Profile 0_54 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_54 ,Interface Profile 1_54 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_54 ,Interface Profile 2_54 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xDC00++0x2B line.long 0x00 "CIDEF0_55 ,Interface Profile 0_55 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_55 ,Interface Profile 1_55 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_55 ,Interface Profile 2_55 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_55 ,Interface Profile 3_55 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_55 ,Interface Profile 4_55 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_55 ,Interface Profile 5_55 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_55 ,Interface Profile 6_55 Default Values Register" line.long 0x1C "CIDEF7_55 ,Interface Profile 7_55 Default Values Register" line.long 0x20 "CEDEF0_55 ,Interface Profile 0_55 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_55 ,Interface Profile 1_55 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_55 ,Interface Profile 2_55 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xE000++0x2B line.long 0x00 "CIDEF0_56 ,Interface Profile 0_56 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_56 ,Interface Profile 1_56 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_56 ,Interface Profile 2_56 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_56 ,Interface Profile 3_56 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_56 ,Interface Profile 4_56 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_56 ,Interface Profile 5_56 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_56 ,Interface Profile 6_56 Default Values Register" line.long 0x1C "CIDEF7_56 ,Interface Profile 7_56 Default Values Register" line.long 0x20 "CEDEF0_56 ,Interface Profile 0_56 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_56 ,Interface Profile 1_56 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_56 ,Interface Profile 2_56 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xE400++0x2B line.long 0x00 "CIDEF0_57 ,Interface Profile 0_57 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_57 ,Interface Profile 1_57 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_57 ,Interface Profile 2_57 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_57 ,Interface Profile 3_57 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_57 ,Interface Profile 4_57 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_57 ,Interface Profile 5_57 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_57 ,Interface Profile 6_57 Default Values Register" line.long 0x1C "CIDEF7_57 ,Interface Profile 7_57 Default Values Register" line.long 0x20 "CEDEF0_57 ,Interface Profile 0_57 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_57 ,Interface Profile 1_57 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_57 ,Interface Profile 2_57 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xE800++0x2B line.long 0x00 "CIDEF0_58 ,Interface Profile 0_58 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_58 ,Interface Profile 1_58 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_58 ,Interface Profile 2_58 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_58 ,Interface Profile 3_58 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_58 ,Interface Profile 4_58 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_58 ,Interface Profile 5_58 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_58 ,Interface Profile 6_58 Default Values Register" line.long 0x1C "CIDEF7_58 ,Interface Profile 7_58 Default Values Register" line.long 0x20 "CEDEF0_58 ,Interface Profile 0_58 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_58 ,Interface Profile 1_58 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_58 ,Interface Profile 2_58 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xEC00++0x2B line.long 0x00 "CIDEF0_59 ,Interface Profile 0_59 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_59 ,Interface Profile 1_59 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_59 ,Interface Profile 2_59 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_59 ,Interface Profile 3_59 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_59 ,Interface Profile 4_59 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_59 ,Interface Profile 5_59 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_59 ,Interface Profile 6_59 Default Values Register" line.long 0x1C "CIDEF7_59 ,Interface Profile 7_59 Default Values Register" line.long 0x20 "CEDEF0_59 ,Interface Profile 0_59 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_59 ,Interface Profile 1_59 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_59 ,Interface Profile 2_59 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xF000++0x2B line.long 0x00 "CIDEF0_60 ,Interface Profile 0_60 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_60 ,Interface Profile 1_60 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_60 ,Interface Profile 2_60 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_60 ,Interface Profile 3_60 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_60 ,Interface Profile 4_60 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_60 ,Interface Profile 5_60 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_60 ,Interface Profile 6_60 Default Values Register" line.long 0x1C "CIDEF7_60 ,Interface Profile 7_60 Default Values Register" line.long 0x20 "CEDEF0_60 ,Interface Profile 0_60 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_60 ,Interface Profile 1_60 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_60 ,Interface Profile 2_60 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xF400++0x2B line.long 0x00 "CIDEF0_61 ,Interface Profile 0_61 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_61 ,Interface Profile 1_61 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_61 ,Interface Profile 2_61 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_61 ,Interface Profile 3_61 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_61 ,Interface Profile 4_61 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_61 ,Interface Profile 5_61 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_61 ,Interface Profile 6_61 Default Values Register" line.long 0x1C "CIDEF7_61 ,Interface Profile 7_61 Default Values Register" line.long 0x20 "CEDEF0_61 ,Interface Profile 0_61 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_61 ,Interface Profile 1_61 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_61 ,Interface Profile 2_61 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xF800++0x2B line.long 0x00 "CIDEF0_62 ,Interface Profile 0_62 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_62 ,Interface Profile 1_62 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_62 ,Interface Profile 2_62 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_62 ,Interface Profile 3_62 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_62 ,Interface Profile 4_62 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_62 ,Interface Profile 5_62 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_62 ,Interface Profile 6_62 Default Values Register" line.long 0x1C "CIDEF7_62 ,Interface Profile 7_62 Default Values Register" line.long 0x20 "CEDEF0_62 ,Interface Profile 0_62 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_62 ,Interface Profile 1_62 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_62 ,Interface Profile 2_62 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xFC00++0x2B line.long 0x00 "CIDEF0_63 ,Interface Profile 0_63 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_63 ,Interface Profile 1_63 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_63 ,Interface Profile 2_63 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_63 ,Interface Profile 3_63 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_63 ,Interface Profile 4_63 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_63 ,Interface Profile 5_63 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_63 ,Interface Profile 6_63 Default Values Register" line.long 0x1C "CIDEF7_63 ,Interface Profile 7_63 Default Values Register" line.long 0x20 "CEDEF0_63 ,Interface Profile 0_63 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_63 ,Interface Profile 1_63 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_63 ,Interface Profile 2_63 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x10000++0x2B line.long 0x00 "CIDEF0_64 ,Interface Profile 0_64 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_64 ,Interface Profile 1_64 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_64 ,Interface Profile 2_64 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_64 ,Interface Profile 3_64 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_64 ,Interface Profile 4_64 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_64 ,Interface Profile 5_64 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_64 ,Interface Profile 6_64 Default Values Register" line.long 0x1C "CIDEF7_64 ,Interface Profile 7_64 Default Values Register" line.long 0x20 "CEDEF0_64 ,Interface Profile 0_64 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_64 ,Interface Profile 1_64 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_64 ,Interface Profile 2_64 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x10400++0x2B line.long 0x00 "CIDEF0_65 ,Interface Profile 0_65 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_65 ,Interface Profile 1_65 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_65 ,Interface Profile 2_65 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_65 ,Interface Profile 3_65 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_65 ,Interface Profile 4_65 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_65 ,Interface Profile 5_65 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_65 ,Interface Profile 6_65 Default Values Register" line.long 0x1C "CIDEF7_65 ,Interface Profile 7_65 Default Values Register" line.long 0x20 "CEDEF0_65 ,Interface Profile 0_65 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_65 ,Interface Profile 1_65 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_65 ,Interface Profile 2_65 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x10800++0x2B line.long 0x00 "CIDEF0_66 ,Interface Profile 0_66 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_66 ,Interface Profile 1_66 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_66 ,Interface Profile 2_66 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_66 ,Interface Profile 3_66 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_66 ,Interface Profile 4_66 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_66 ,Interface Profile 5_66 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_66 ,Interface Profile 6_66 Default Values Register" line.long 0x1C "CIDEF7_66 ,Interface Profile 7_66 Default Values Register" line.long 0x20 "CEDEF0_66 ,Interface Profile 0_66 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_66 ,Interface Profile 1_66 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_66 ,Interface Profile 2_66 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x10C00++0x2B line.long 0x00 "CIDEF0_67 ,Interface Profile 0_67 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_67 ,Interface Profile 1_67 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_67 ,Interface Profile 2_67 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_67 ,Interface Profile 3_67 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_67 ,Interface Profile 4_67 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_67 ,Interface Profile 5_67 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_67 ,Interface Profile 6_67 Default Values Register" line.long 0x1C "CIDEF7_67 ,Interface Profile 7_67 Default Values Register" line.long 0x20 "CEDEF0_67 ,Interface Profile 0_67 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_67 ,Interface Profile 1_67 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_67 ,Interface Profile 2_67 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x11000++0x2B line.long 0x00 "CIDEF0_68 ,Interface Profile 0_68 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_68 ,Interface Profile 1_68 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_68 ,Interface Profile 2_68 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_68 ,Interface Profile 3_68 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_68 ,Interface Profile 4_68 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_68 ,Interface Profile 5_68 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_68 ,Interface Profile 6_68 Default Values Register" line.long 0x1C "CIDEF7_68 ,Interface Profile 7_68 Default Values Register" line.long 0x20 "CEDEF0_68 ,Interface Profile 0_68 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_68 ,Interface Profile 1_68 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_68 ,Interface Profile 2_68 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x11400++0x2B line.long 0x00 "CIDEF0_69 ,Interface Profile 0_69 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_69 ,Interface Profile 1_69 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_69 ,Interface Profile 2_69 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_69 ,Interface Profile 3_69 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_69 ,Interface Profile 4_69 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_69 ,Interface Profile 5_69 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_69 ,Interface Profile 6_69 Default Values Register" line.long 0x1C "CIDEF7_69 ,Interface Profile 7_69 Default Values Register" line.long 0x20 "CEDEF0_69 ,Interface Profile 0_69 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_69 ,Interface Profile 1_69 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_69 ,Interface Profile 2_69 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x11800++0x2B line.long 0x00 "CIDEF0_70 ,Interface Profile 0_70 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_70 ,Interface Profile 1_70 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_70 ,Interface Profile 2_70 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_70 ,Interface Profile 3_70 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_70 ,Interface Profile 4_70 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_70 ,Interface Profile 5_70 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_70 ,Interface Profile 6_70 Default Values Register" line.long 0x1C "CIDEF7_70 ,Interface Profile 7_70 Default Values Register" line.long 0x20 "CEDEF0_70 ,Interface Profile 0_70 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_70 ,Interface Profile 1_70 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_70 ,Interface Profile 2_70 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x11C00++0x2B line.long 0x00 "CIDEF0_71 ,Interface Profile 0_71 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_71 ,Interface Profile 1_71 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_71 ,Interface Profile 2_71 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_71 ,Interface Profile 3_71 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_71 ,Interface Profile 4_71 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_71 ,Interface Profile 5_71 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_71 ,Interface Profile 6_71 Default Values Register" line.long 0x1C "CIDEF7_71 ,Interface Profile 7_71 Default Values Register" line.long 0x20 "CEDEF0_71 ,Interface Profile 0_71 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_71 ,Interface Profile 1_71 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_71 ,Interface Profile 2_71 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x12000++0x2B line.long 0x00 "CIDEF0_72 ,Interface Profile 0_72 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_72 ,Interface Profile 1_72 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_72 ,Interface Profile 2_72 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_72 ,Interface Profile 3_72 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_72 ,Interface Profile 4_72 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_72 ,Interface Profile 5_72 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_72 ,Interface Profile 6_72 Default Values Register" line.long 0x1C "CIDEF7_72 ,Interface Profile 7_72 Default Values Register" line.long 0x20 "CEDEF0_72 ,Interface Profile 0_72 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_72 ,Interface Profile 1_72 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_72 ,Interface Profile 2_72 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x12400++0x2B line.long 0x00 "CIDEF0_73 ,Interface Profile 0_73 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_73 ,Interface Profile 1_73 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_73 ,Interface Profile 2_73 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_73 ,Interface Profile 3_73 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_73 ,Interface Profile 4_73 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_73 ,Interface Profile 5_73 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_73 ,Interface Profile 6_73 Default Values Register" line.long 0x1C "CIDEF7_73 ,Interface Profile 7_73 Default Values Register" line.long 0x20 "CEDEF0_73 ,Interface Profile 0_73 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_73 ,Interface Profile 1_73 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_73 ,Interface Profile 2_73 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x12800++0x2B line.long 0x00 "CIDEF0_74 ,Interface Profile 0_74 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_74 ,Interface Profile 1_74 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_74 ,Interface Profile 2_74 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_74 ,Interface Profile 3_74 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_74 ,Interface Profile 4_74 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_74 ,Interface Profile 5_74 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_74 ,Interface Profile 6_74 Default Values Register" line.long 0x1C "CIDEF7_74 ,Interface Profile 7_74 Default Values Register" line.long 0x20 "CEDEF0_74 ,Interface Profile 0_74 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_74 ,Interface Profile 1_74 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_74 ,Interface Profile 2_74 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x12C00++0x2B line.long 0x00 "CIDEF0_75 ,Interface Profile 0_75 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_75 ,Interface Profile 1_75 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_75 ,Interface Profile 2_75 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_75 ,Interface Profile 3_75 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_75 ,Interface Profile 4_75 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_75 ,Interface Profile 5_75 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_75 ,Interface Profile 6_75 Default Values Register" line.long 0x1C "CIDEF7_75 ,Interface Profile 7_75 Default Values Register" line.long 0x20 "CEDEF0_75 ,Interface Profile 0_75 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_75 ,Interface Profile 1_75 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_75 ,Interface Profile 2_75 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x13000++0x2B line.long 0x00 "CIDEF0_76 ,Interface Profile 0_76 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_76 ,Interface Profile 1_76 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_76 ,Interface Profile 2_76 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_76 ,Interface Profile 3_76 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_76 ,Interface Profile 4_76 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_76 ,Interface Profile 5_76 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_76 ,Interface Profile 6_76 Default Values Register" line.long 0x1C "CIDEF7_76 ,Interface Profile 7_76 Default Values Register" line.long 0x20 "CEDEF0_76 ,Interface Profile 0_76 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_76 ,Interface Profile 1_76 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_76 ,Interface Profile 2_76 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x13400++0x2B line.long 0x00 "CIDEF0_77 ,Interface Profile 0_77 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_77 ,Interface Profile 1_77 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_77 ,Interface Profile 2_77 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_77 ,Interface Profile 3_77 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_77 ,Interface Profile 4_77 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_77 ,Interface Profile 5_77 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_77 ,Interface Profile 6_77 Default Values Register" line.long 0x1C "CIDEF7_77 ,Interface Profile 7_77 Default Values Register" line.long 0x20 "CEDEF0_77 ,Interface Profile 0_77 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_77 ,Interface Profile 1_77 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_77 ,Interface Profile 2_77 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x13800++0x2B line.long 0x00 "CIDEF0_78 ,Interface Profile 0_78 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_78 ,Interface Profile 1_78 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_78 ,Interface Profile 2_78 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_78 ,Interface Profile 3_78 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_78 ,Interface Profile 4_78 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_78 ,Interface Profile 5_78 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_78 ,Interface Profile 6_78 Default Values Register" line.long 0x1C "CIDEF7_78 ,Interface Profile 7_78 Default Values Register" line.long 0x20 "CEDEF0_78 ,Interface Profile 0_78 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_78 ,Interface Profile 1_78 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_78 ,Interface Profile 2_78 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x13C00++0x2B line.long 0x00 "CIDEF0_79 ,Interface Profile 0_79 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_79 ,Interface Profile 1_79 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_79 ,Interface Profile 2_79 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_79 ,Interface Profile 3_79 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_79 ,Interface Profile 4_79 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_79 ,Interface Profile 5_79 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_79 ,Interface Profile 6_79 Default Values Register" line.long 0x1C "CIDEF7_79 ,Interface Profile 7_79 Default Values Register" line.long 0x20 "CEDEF0_79 ,Interface Profile 0_79 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_79 ,Interface Profile 1_79 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_79 ,Interface Profile 2_79 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x14000++0x2B line.long 0x00 "CIDEF0_80 ,Interface Profile 0_80 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_80 ,Interface Profile 1_80 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_80 ,Interface Profile 2_80 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_80 ,Interface Profile 3_80 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_80 ,Interface Profile 4_80 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_80 ,Interface Profile 5_80 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_80 ,Interface Profile 6_80 Default Values Register" line.long 0x1C "CIDEF7_80 ,Interface Profile 7_80 Default Values Register" line.long 0x20 "CEDEF0_80 ,Interface Profile 0_80 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_80 ,Interface Profile 1_80 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_80 ,Interface Profile 2_80 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x14400++0x2B line.long 0x00 "CIDEF0_81 ,Interface Profile 0_81 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_81 ,Interface Profile 1_81 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_81 ,Interface Profile 2_81 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_81 ,Interface Profile 3_81 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_81 ,Interface Profile 4_81 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_81 ,Interface Profile 5_81 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_81 ,Interface Profile 6_81 Default Values Register" line.long 0x1C "CIDEF7_81 ,Interface Profile 7_81 Default Values Register" line.long 0x20 "CEDEF0_81 ,Interface Profile 0_81 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_81 ,Interface Profile 1_81 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_81 ,Interface Profile 2_81 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x14800++0x2B line.long 0x00 "CIDEF0_82 ,Interface Profile 0_82 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_82 ,Interface Profile 1_82 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_82 ,Interface Profile 2_82 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_82 ,Interface Profile 3_82 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_82 ,Interface Profile 4_82 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_82 ,Interface Profile 5_82 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_82 ,Interface Profile 6_82 Default Values Register" line.long 0x1C "CIDEF7_82 ,Interface Profile 7_82 Default Values Register" line.long 0x20 "CEDEF0_82 ,Interface Profile 0_82 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_82 ,Interface Profile 1_82 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_82 ,Interface Profile 2_82 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x14C00++0x2B line.long 0x00 "CIDEF0_83 ,Interface Profile 0_83 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_83 ,Interface Profile 1_83 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_83 ,Interface Profile 2_83 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_83 ,Interface Profile 3_83 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_83 ,Interface Profile 4_83 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_83 ,Interface Profile 5_83 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_83 ,Interface Profile 6_83 Default Values Register" line.long 0x1C "CIDEF7_83 ,Interface Profile 7_83 Default Values Register" line.long 0x20 "CEDEF0_83 ,Interface Profile 0_83 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_83 ,Interface Profile 1_83 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_83 ,Interface Profile 2_83 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x15000++0x2B line.long 0x00 "CIDEF0_84 ,Interface Profile 0_84 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_84 ,Interface Profile 1_84 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_84 ,Interface Profile 2_84 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_84 ,Interface Profile 3_84 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_84 ,Interface Profile 4_84 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_84 ,Interface Profile 5_84 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_84 ,Interface Profile 6_84 Default Values Register" line.long 0x1C "CIDEF7_84 ,Interface Profile 7_84 Default Values Register" line.long 0x20 "CEDEF0_84 ,Interface Profile 0_84 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_84 ,Interface Profile 1_84 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_84 ,Interface Profile 2_84 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x15400++0x2B line.long 0x00 "CIDEF0_85 ,Interface Profile 0_85 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_85 ,Interface Profile 1_85 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_85 ,Interface Profile 2_85 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_85 ,Interface Profile 3_85 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_85 ,Interface Profile 4_85 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_85 ,Interface Profile 5_85 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_85 ,Interface Profile 6_85 Default Values Register" line.long 0x1C "CIDEF7_85 ,Interface Profile 7_85 Default Values Register" line.long 0x20 "CEDEF0_85 ,Interface Profile 0_85 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_85 ,Interface Profile 1_85 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_85 ,Interface Profile 2_85 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x15800++0x2B line.long 0x00 "CIDEF0_86 ,Interface Profile 0_86 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_86 ,Interface Profile 1_86 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_86 ,Interface Profile 2_86 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_86 ,Interface Profile 3_86 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_86 ,Interface Profile 4_86 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_86 ,Interface Profile 5_86 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_86 ,Interface Profile 6_86 Default Values Register" line.long 0x1C "CIDEF7_86 ,Interface Profile 7_86 Default Values Register" line.long 0x20 "CEDEF0_86 ,Interface Profile 0_86 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_86 ,Interface Profile 1_86 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_86 ,Interface Profile 2_86 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x15C00++0x2B line.long 0x00 "CIDEF0_87 ,Interface Profile 0_87 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_87 ,Interface Profile 1_87 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_87 ,Interface Profile 2_87 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_87 ,Interface Profile 3_87 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_87 ,Interface Profile 4_87 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_87 ,Interface Profile 5_87 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_87 ,Interface Profile 6_87 Default Values Register" line.long 0x1C "CIDEF7_87 ,Interface Profile 7_87 Default Values Register" line.long 0x20 "CEDEF0_87 ,Interface Profile 0_87 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_87 ,Interface Profile 1_87 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_87 ,Interface Profile 2_87 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x16000++0x2B line.long 0x00 "CIDEF0_88 ,Interface Profile 0_88 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_88 ,Interface Profile 1_88 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_88 ,Interface Profile 2_88 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_88 ,Interface Profile 3_88 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_88 ,Interface Profile 4_88 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_88 ,Interface Profile 5_88 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_88 ,Interface Profile 6_88 Default Values Register" line.long 0x1C "CIDEF7_88 ,Interface Profile 7_88 Default Values Register" line.long 0x20 "CEDEF0_88 ,Interface Profile 0_88 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_88 ,Interface Profile 1_88 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_88 ,Interface Profile 2_88 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x16400++0x2B line.long 0x00 "CIDEF0_89 ,Interface Profile 0_89 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_89 ,Interface Profile 1_89 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_89 ,Interface Profile 2_89 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_89 ,Interface Profile 3_89 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_89 ,Interface Profile 4_89 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_89 ,Interface Profile 5_89 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_89 ,Interface Profile 6_89 Default Values Register" line.long 0x1C "CIDEF7_89 ,Interface Profile 7_89 Default Values Register" line.long 0x20 "CEDEF0_89 ,Interface Profile 0_89 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_89 ,Interface Profile 1_89 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_89 ,Interface Profile 2_89 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x16800++0x2B line.long 0x00 "CIDEF0_90 ,Interface Profile 0_90 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_90 ,Interface Profile 1_90 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_90 ,Interface Profile 2_90 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_90 ,Interface Profile 3_90 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_90 ,Interface Profile 4_90 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_90 ,Interface Profile 5_90 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_90 ,Interface Profile 6_90 Default Values Register" line.long 0x1C "CIDEF7_90 ,Interface Profile 7_90 Default Values Register" line.long 0x20 "CEDEF0_90 ,Interface Profile 0_90 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_90 ,Interface Profile 1_90 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_90 ,Interface Profile 2_90 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x16C00++0x2B line.long 0x00 "CIDEF0_91 ,Interface Profile 0_91 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_91 ,Interface Profile 1_91 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_91 ,Interface Profile 2_91 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_91 ,Interface Profile 3_91 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_91 ,Interface Profile 4_91 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_91 ,Interface Profile 5_91 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_91 ,Interface Profile 6_91 Default Values Register" line.long 0x1C "CIDEF7_91 ,Interface Profile 7_91 Default Values Register" line.long 0x20 "CEDEF0_91 ,Interface Profile 0_91 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_91 ,Interface Profile 1_91 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_91 ,Interface Profile 2_91 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x17000++0x2B line.long 0x00 "CIDEF0_92 ,Interface Profile 0_92 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_92 ,Interface Profile 1_92 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_92 ,Interface Profile 2_92 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_92 ,Interface Profile 3_92 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_92 ,Interface Profile 4_92 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_92 ,Interface Profile 5_92 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_92 ,Interface Profile 6_92 Default Values Register" line.long 0x1C "CIDEF7_92 ,Interface Profile 7_92 Default Values Register" line.long 0x20 "CEDEF0_92 ,Interface Profile 0_92 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_92 ,Interface Profile 1_92 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_92 ,Interface Profile 2_92 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x17400++0x2B line.long 0x00 "CIDEF0_93 ,Interface Profile 0_93 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_93 ,Interface Profile 1_93 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_93 ,Interface Profile 2_93 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_93 ,Interface Profile 3_93 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_93 ,Interface Profile 4_93 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_93 ,Interface Profile 5_93 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_93 ,Interface Profile 6_93 Default Values Register" line.long 0x1C "CIDEF7_93 ,Interface Profile 7_93 Default Values Register" line.long 0x20 "CEDEF0_93 ,Interface Profile 0_93 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_93 ,Interface Profile 1_93 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_93 ,Interface Profile 2_93 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x17800++0x2B line.long 0x00 "CIDEF0_94 ,Interface Profile 0_94 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_94 ,Interface Profile 1_94 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_94 ,Interface Profile 2_94 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_94 ,Interface Profile 3_94 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_94 ,Interface Profile 4_94 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_94 ,Interface Profile 5_94 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_94 ,Interface Profile 6_94 Default Values Register" line.long 0x1C "CIDEF7_94 ,Interface Profile 7_94 Default Values Register" line.long 0x20 "CEDEF0_94 ,Interface Profile 0_94 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_94 ,Interface Profile 1_94 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_94 ,Interface Profile 2_94 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x17C00++0x2B line.long 0x00 "CIDEF0_95 ,Interface Profile 0_95 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_95 ,Interface Profile 1_95 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_95 ,Interface Profile 2_95 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_95 ,Interface Profile 3_95 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_95 ,Interface Profile 4_95 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_95 ,Interface Profile 5_95 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_95 ,Interface Profile 6_95 Default Values Register" line.long 0x1C "CIDEF7_95 ,Interface Profile 7_95 Default Values Register" line.long 0x20 "CEDEF0_95 ,Interface Profile 0_95 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_95 ,Interface Profile 1_95 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_95 ,Interface Profile 2_95 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x18000++0x2B line.long 0x00 "CIDEF0_96 ,Interface Profile 0_96 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_96 ,Interface Profile 1_96 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_96 ,Interface Profile 2_96 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_96 ,Interface Profile 3_96 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_96 ,Interface Profile 4_96 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_96 ,Interface Profile 5_96 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_96 ,Interface Profile 6_96 Default Values Register" line.long 0x1C "CIDEF7_96 ,Interface Profile 7_96 Default Values Register" line.long 0x20 "CEDEF0_96 ,Interface Profile 0_96 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_96 ,Interface Profile 1_96 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_96 ,Interface Profile 2_96 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x18400++0x2B line.long 0x00 "CIDEF0_97 ,Interface Profile 0_97 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_97 ,Interface Profile 1_97 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_97 ,Interface Profile 2_97 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_97 ,Interface Profile 3_97 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_97 ,Interface Profile 4_97 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_97 ,Interface Profile 5_97 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_97 ,Interface Profile 6_97 Default Values Register" line.long 0x1C "CIDEF7_97 ,Interface Profile 7_97 Default Values Register" line.long 0x20 "CEDEF0_97 ,Interface Profile 0_97 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_97 ,Interface Profile 1_97 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_97 ,Interface Profile 2_97 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x18800++0x2B line.long 0x00 "CIDEF0_98 ,Interface Profile 0_98 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_98 ,Interface Profile 1_98 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_98 ,Interface Profile 2_98 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_98 ,Interface Profile 3_98 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_98 ,Interface Profile 4_98 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_98 ,Interface Profile 5_98 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_98 ,Interface Profile 6_98 Default Values Register" line.long 0x1C "CIDEF7_98 ,Interface Profile 7_98 Default Values Register" line.long 0x20 "CEDEF0_98 ,Interface Profile 0_98 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_98 ,Interface Profile 1_98 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_98 ,Interface Profile 2_98 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x18C00++0x2B line.long 0x00 "CIDEF0_99 ,Interface Profile 0_99 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_99 ,Interface Profile 1_99 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_99 ,Interface Profile 2_99 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_99 ,Interface Profile 3_99 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_99 ,Interface Profile 4_99 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_99 ,Interface Profile 5_99 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_99 ,Interface Profile 6_99 Default Values Register" line.long 0x1C "CIDEF7_99 ,Interface Profile 7_99 Default Values Register" line.long 0x20 "CEDEF0_99 ,Interface Profile 0_99 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_99 ,Interface Profile 1_99 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_99 ,Interface Profile 2_99 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x19000++0x2B line.long 0x00 "CIDEF0_100,Interface Profile 0_100 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_100,Interface Profile 1_100 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_100,Interface Profile 2_100 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_100,Interface Profile 3_100 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_100,Interface Profile 4_100 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_100,Interface Profile 5_100 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_100,Interface Profile 6_100 Default Values Register" line.long 0x1C "CIDEF7_100,Interface Profile 7_100 Default Values Register" line.long 0x20 "CEDEF0_100,Interface Profile 0_100 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_100,Interface Profile 1_100 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_100,Interface Profile 2_100 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x19400++0x2B line.long 0x00 "CIDEF0_101,Interface Profile 0_101 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_101,Interface Profile 1_101 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_101,Interface Profile 2_101 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_101,Interface Profile 3_101 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_101,Interface Profile 4_101 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_101,Interface Profile 5_101 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_101,Interface Profile 6_101 Default Values Register" line.long 0x1C "CIDEF7_101,Interface Profile 7_101 Default Values Register" line.long 0x20 "CEDEF0_101,Interface Profile 0_101 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_101,Interface Profile 1_101 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_101,Interface Profile 2_101 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x19800++0x2B line.long 0x00 "CIDEF0_102,Interface Profile 0_102 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_102,Interface Profile 1_102 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_102,Interface Profile 2_102 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_102,Interface Profile 3_102 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_102,Interface Profile 4_102 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_102,Interface Profile 5_102 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_102,Interface Profile 6_102 Default Values Register" line.long 0x1C "CIDEF7_102,Interface Profile 7_102 Default Values Register" line.long 0x20 "CEDEF0_102,Interface Profile 0_102 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_102,Interface Profile 1_102 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_102,Interface Profile 2_102 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x19C00++0x2B line.long 0x00 "CIDEF0_103,Interface Profile 0_103 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_103,Interface Profile 1_103 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_103,Interface Profile 2_103 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_103,Interface Profile 3_103 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_103,Interface Profile 4_103 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_103,Interface Profile 5_103 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_103,Interface Profile 6_103 Default Values Register" line.long 0x1C "CIDEF7_103,Interface Profile 7_103 Default Values Register" line.long 0x20 "CEDEF0_103,Interface Profile 0_103 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_103,Interface Profile 1_103 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_103,Interface Profile 2_103 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1A000++0x2B line.long 0x00 "CIDEF0_104,Interface Profile 0_104 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_104,Interface Profile 1_104 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_104,Interface Profile 2_104 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_104,Interface Profile 3_104 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_104,Interface Profile 4_104 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_104,Interface Profile 5_104 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_104,Interface Profile 6_104 Default Values Register" line.long 0x1C "CIDEF7_104,Interface Profile 7_104 Default Values Register" line.long 0x20 "CEDEF0_104,Interface Profile 0_104 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_104,Interface Profile 1_104 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_104,Interface Profile 2_104 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1A400++0x2B line.long 0x00 "CIDEF0_105,Interface Profile 0_105 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_105,Interface Profile 1_105 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_105,Interface Profile 2_105 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_105,Interface Profile 3_105 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_105,Interface Profile 4_105 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_105,Interface Profile 5_105 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_105,Interface Profile 6_105 Default Values Register" line.long 0x1C "CIDEF7_105,Interface Profile 7_105 Default Values Register" line.long 0x20 "CEDEF0_105,Interface Profile 0_105 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_105,Interface Profile 1_105 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_105,Interface Profile 2_105 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1A800++0x2B line.long 0x00 "CIDEF0_106,Interface Profile 0_106 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_106,Interface Profile 1_106 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_106,Interface Profile 2_106 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_106,Interface Profile 3_106 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_106,Interface Profile 4_106 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_106,Interface Profile 5_106 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_106,Interface Profile 6_106 Default Values Register" line.long 0x1C "CIDEF7_106,Interface Profile 7_106 Default Values Register" line.long 0x20 "CEDEF0_106,Interface Profile 0_106 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_106,Interface Profile 1_106 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_106,Interface Profile 2_106 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1AC00++0x2B line.long 0x00 "CIDEF0_107,Interface Profile 0_107 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_107,Interface Profile 1_107 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_107,Interface Profile 2_107 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_107,Interface Profile 3_107 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_107,Interface Profile 4_107 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_107,Interface Profile 5_107 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_107,Interface Profile 6_107 Default Values Register" line.long 0x1C "CIDEF7_107,Interface Profile 7_107 Default Values Register" line.long 0x20 "CEDEF0_107,Interface Profile 0_107 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_107,Interface Profile 1_107 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_107,Interface Profile 2_107 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1B000++0x2B line.long 0x00 "CIDEF0_108,Interface Profile 0_108 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_108,Interface Profile 1_108 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_108,Interface Profile 2_108 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_108,Interface Profile 3_108 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_108,Interface Profile 4_108 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_108,Interface Profile 5_108 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_108,Interface Profile 6_108 Default Values Register" line.long 0x1C "CIDEF7_108,Interface Profile 7_108 Default Values Register" line.long 0x20 "CEDEF0_108,Interface Profile 0_108 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_108,Interface Profile 1_108 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_108,Interface Profile 2_108 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1B400++0x2B line.long 0x00 "CIDEF0_109,Interface Profile 0_109 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_109,Interface Profile 1_109 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_109,Interface Profile 2_109 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_109,Interface Profile 3_109 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_109,Interface Profile 4_109 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_109,Interface Profile 5_109 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_109,Interface Profile 6_109 Default Values Register" line.long 0x1C "CIDEF7_109,Interface Profile 7_109 Default Values Register" line.long 0x20 "CEDEF0_109,Interface Profile 0_109 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_109,Interface Profile 1_109 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_109,Interface Profile 2_109 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1B800++0x2B line.long 0x00 "CIDEF0_110,Interface Profile 0_110 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_110,Interface Profile 1_110 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_110,Interface Profile 2_110 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_110,Interface Profile 3_110 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_110,Interface Profile 4_110 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_110,Interface Profile 5_110 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_110,Interface Profile 6_110 Default Values Register" line.long 0x1C "CIDEF7_110,Interface Profile 7_110 Default Values Register" line.long 0x20 "CEDEF0_110,Interface Profile 0_110 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_110,Interface Profile 1_110 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_110,Interface Profile 2_110 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1BC00++0x2B line.long 0x00 "CIDEF0_111,Interface Profile 0_111 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_111,Interface Profile 1_111 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_111,Interface Profile 2_111 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_111,Interface Profile 3_111 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_111,Interface Profile 4_111 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_111,Interface Profile 5_111 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_111,Interface Profile 6_111 Default Values Register" line.long 0x1C "CIDEF7_111,Interface Profile 7_111 Default Values Register" line.long 0x20 "CEDEF0_111,Interface Profile 0_111 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_111,Interface Profile 1_111 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_111,Interface Profile 2_111 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1C000++0x2B line.long 0x00 "CIDEF0_112,Interface Profile 0_112 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_112,Interface Profile 1_112 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_112,Interface Profile 2_112 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_112,Interface Profile 3_112 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_112,Interface Profile 4_112 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_112,Interface Profile 5_112 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_112,Interface Profile 6_112 Default Values Register" line.long 0x1C "CIDEF7_112,Interface Profile 7_112 Default Values Register" line.long 0x20 "CEDEF0_112,Interface Profile 0_112 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_112,Interface Profile 1_112 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_112,Interface Profile 2_112 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1C400++0x2B line.long 0x00 "CIDEF0_113,Interface Profile 0_113 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_113,Interface Profile 1_113 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_113,Interface Profile 2_113 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_113,Interface Profile 3_113 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_113,Interface Profile 4_113 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_113,Interface Profile 5_113 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_113,Interface Profile 6_113 Default Values Register" line.long 0x1C "CIDEF7_113,Interface Profile 7_113 Default Values Register" line.long 0x20 "CEDEF0_113,Interface Profile 0_113 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_113,Interface Profile 1_113 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_113,Interface Profile 2_113 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1C800++0x2B line.long 0x00 "CIDEF0_114,Interface Profile 0_114 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_114,Interface Profile 1_114 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_114,Interface Profile 2_114 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_114,Interface Profile 3_114 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_114,Interface Profile 4_114 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_114,Interface Profile 5_114 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_114,Interface Profile 6_114 Default Values Register" line.long 0x1C "CIDEF7_114,Interface Profile 7_114 Default Values Register" line.long 0x20 "CEDEF0_114,Interface Profile 0_114 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_114,Interface Profile 1_114 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_114,Interface Profile 2_114 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1CC00++0x2B line.long 0x00 "CIDEF0_115,Interface Profile 0_115 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_115,Interface Profile 1_115 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_115,Interface Profile 2_115 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_115,Interface Profile 3_115 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_115,Interface Profile 4_115 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_115,Interface Profile 5_115 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_115,Interface Profile 6_115 Default Values Register" line.long 0x1C "CIDEF7_115,Interface Profile 7_115 Default Values Register" line.long 0x20 "CEDEF0_115,Interface Profile 0_115 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_115,Interface Profile 1_115 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_115,Interface Profile 2_115 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1D000++0x2B line.long 0x00 "CIDEF0_116,Interface Profile 0_116 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_116,Interface Profile 1_116 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_116,Interface Profile 2_116 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_116,Interface Profile 3_116 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_116,Interface Profile 4_116 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_116,Interface Profile 5_116 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_116,Interface Profile 6_116 Default Values Register" line.long 0x1C "CIDEF7_116,Interface Profile 7_116 Default Values Register" line.long 0x20 "CEDEF0_116,Interface Profile 0_116 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_116,Interface Profile 1_116 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_116,Interface Profile 2_116 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1D400++0x2B line.long 0x00 "CIDEF0_117,Interface Profile 0_117 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_117,Interface Profile 1_117 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_117,Interface Profile 2_117 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_117,Interface Profile 3_117 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_117,Interface Profile 4_117 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_117,Interface Profile 5_117 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_117,Interface Profile 6_117 Default Values Register" line.long 0x1C "CIDEF7_117,Interface Profile 7_117 Default Values Register" line.long 0x20 "CEDEF0_117,Interface Profile 0_117 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_117,Interface Profile 1_117 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_117,Interface Profile 2_117 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1D800++0x2B line.long 0x00 "CIDEF0_118,Interface Profile 0_118 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_118,Interface Profile 1_118 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_118,Interface Profile 2_118 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_118,Interface Profile 3_118 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_118,Interface Profile 4_118 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_118,Interface Profile 5_118 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_118,Interface Profile 6_118 Default Values Register" line.long 0x1C "CIDEF7_118,Interface Profile 7_118 Default Values Register" line.long 0x20 "CEDEF0_118,Interface Profile 0_118 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_118,Interface Profile 1_118 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_118,Interface Profile 2_118 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1DC00++0x2B line.long 0x00 "CIDEF0_119,Interface Profile 0_119 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_119,Interface Profile 1_119 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_119,Interface Profile 2_119 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_119,Interface Profile 3_119 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_119,Interface Profile 4_119 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_119,Interface Profile 5_119 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_119,Interface Profile 6_119 Default Values Register" line.long 0x1C "CIDEF7_119,Interface Profile 7_119 Default Values Register" line.long 0x20 "CEDEF0_119,Interface Profile 0_119 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_119,Interface Profile 1_119 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_119,Interface Profile 2_119 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1E000++0x2B line.long 0x00 "CIDEF0_120,Interface Profile 0_120 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_120,Interface Profile 1_120 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_120,Interface Profile 2_120 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_120,Interface Profile 3_120 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_120,Interface Profile 4_120 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_120,Interface Profile 5_120 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_120,Interface Profile 6_120 Default Values Register" line.long 0x1C "CIDEF7_120,Interface Profile 7_120 Default Values Register" line.long 0x20 "CEDEF0_120,Interface Profile 0_120 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_120,Interface Profile 1_120 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_120,Interface Profile 2_120 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1E400++0x2B line.long 0x00 "CIDEF0_121,Interface Profile 0_121 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_121,Interface Profile 1_121 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_121,Interface Profile 2_121 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_121,Interface Profile 3_121 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_121,Interface Profile 4_121 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_121,Interface Profile 5_121 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_121,Interface Profile 6_121 Default Values Register" line.long 0x1C "CIDEF7_121,Interface Profile 7_121 Default Values Register" line.long 0x20 "CEDEF0_121,Interface Profile 0_121 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_121,Interface Profile 1_121 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_121,Interface Profile 2_121 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1E800++0x2B line.long 0x00 "CIDEF0_122,Interface Profile 0_122 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_122,Interface Profile 1_122 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_122,Interface Profile 2_122 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_122,Interface Profile 3_122 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_122,Interface Profile 4_122 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_122,Interface Profile 5_122 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_122,Interface Profile 6_122 Default Values Register" line.long 0x1C "CIDEF7_122,Interface Profile 7_122 Default Values Register" line.long 0x20 "CEDEF0_122,Interface Profile 0_122 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_122,Interface Profile 1_122 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_122,Interface Profile 2_122 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1EC00++0x2B line.long 0x00 "CIDEF0_123,Interface Profile 0_123 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_123,Interface Profile 1_123 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_123,Interface Profile 2_123 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_123,Interface Profile 3_123 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_123,Interface Profile 4_123 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_123,Interface Profile 5_123 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_123,Interface Profile 6_123 Default Values Register" line.long 0x1C "CIDEF7_123,Interface Profile 7_123 Default Values Register" line.long 0x20 "CEDEF0_123,Interface Profile 0_123 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_123,Interface Profile 1_123 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_123,Interface Profile 2_123 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1F000++0x2B line.long 0x00 "CIDEF0_124,Interface Profile 0_124 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_124,Interface Profile 1_124 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_124,Interface Profile 2_124 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_124,Interface Profile 3_124 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_124,Interface Profile 4_124 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_124,Interface Profile 5_124 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_124,Interface Profile 6_124 Default Values Register" line.long 0x1C "CIDEF7_124,Interface Profile 7_124 Default Values Register" line.long 0x20 "CEDEF0_124,Interface Profile 0_124 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_124,Interface Profile 1_124 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_124,Interface Profile 2_124 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1F400++0x2B line.long 0x00 "CIDEF0_125,Interface Profile 0_125 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_125,Interface Profile 1_125 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_125,Interface Profile 2_125 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_125,Interface Profile 3_125 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_125,Interface Profile 4_125 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_125,Interface Profile 5_125 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_125,Interface Profile 6_125 Default Values Register" line.long 0x1C "CIDEF7_125,Interface Profile 7_125 Default Values Register" line.long 0x20 "CEDEF0_125,Interface Profile 0_125 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_125,Interface Profile 1_125 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_125,Interface Profile 2_125 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1F800++0x2B line.long 0x00 "CIDEF0_126,Interface Profile 0_126 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_126,Interface Profile 1_126 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_126,Interface Profile 2_126 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_126,Interface Profile 3_126 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_126,Interface Profile 4_126 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_126,Interface Profile 5_126 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_126,Interface Profile 6_126 Default Values Register" line.long 0x1C "CIDEF7_126,Interface Profile 7_126 Default Values Register" line.long 0x20 "CEDEF0_126,Interface Profile 0_126 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_126,Interface Profile 1_126 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_126,Interface Profile 2_126 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1FC00++0x2B line.long 0x00 "CIDEF0_127,Interface Profile 0_127 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_127,Interface Profile 1_127 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_127,Interface Profile 2_127 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_127,Interface Profile 3_127 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_127,Interface Profile 4_127 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_127,Interface Profile 5_127 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_127,Interface Profile 6_127 Default Values Register" line.long 0x1C "CIDEF7_127,Interface Profile 7_127 Default Values Register" line.long 0x20 "CEDEF0_127,Interface Profile 0_127 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_127,Interface Profile 1_127 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_127,Interface Profile 2_127 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x20000++0x2B line.long 0x00 "CIDEF0_128,Interface Profile 0_128 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_128,Interface Profile 1_128 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_128,Interface Profile 2_128 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_128,Interface Profile 3_128 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_128,Interface Profile 4_128 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_128,Interface Profile 5_128 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_128,Interface Profile 6_128 Default Values Register" line.long 0x1C "CIDEF7_128,Interface Profile 7_128 Default Values Register" line.long 0x20 "CEDEF0_128,Interface Profile 0_128 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_128,Interface Profile 1_128 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_128,Interface Profile 2_128 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x20400++0x2B line.long 0x00 "CIDEF0_129,Interface Profile 0_129 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_129,Interface Profile 1_129 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_129,Interface Profile 2_129 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_129,Interface Profile 3_129 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_129,Interface Profile 4_129 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_129,Interface Profile 5_129 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_129,Interface Profile 6_129 Default Values Register" line.long 0x1C "CIDEF7_129,Interface Profile 7_129 Default Values Register" line.long 0x20 "CEDEF0_129,Interface Profile 0_129 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_129,Interface Profile 1_129 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_129,Interface Profile 2_129 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x20800++0x2B line.long 0x00 "CIDEF0_130,Interface Profile 0_130 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_130,Interface Profile 1_130 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_130,Interface Profile 2_130 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_130,Interface Profile 3_130 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_130,Interface Profile 4_130 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_130,Interface Profile 5_130 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_130,Interface Profile 6_130 Default Values Register" line.long 0x1C "CIDEF7_130,Interface Profile 7_130 Default Values Register" line.long 0x20 "CEDEF0_130,Interface Profile 0_130 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_130,Interface Profile 1_130 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_130,Interface Profile 2_130 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x20C00++0x2B line.long 0x00 "CIDEF0_131,Interface Profile 0_131 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_131,Interface Profile 1_131 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_131,Interface Profile 2_131 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_131,Interface Profile 3_131 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_131,Interface Profile 4_131 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_131,Interface Profile 5_131 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_131,Interface Profile 6_131 Default Values Register" line.long 0x1C "CIDEF7_131,Interface Profile 7_131 Default Values Register" line.long 0x20 "CEDEF0_131,Interface Profile 0_131 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_131,Interface Profile 1_131 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_131,Interface Profile 2_131 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x21000++0x2B line.long 0x00 "CIDEF0_132,Interface Profile 0_132 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_132,Interface Profile 1_132 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_132,Interface Profile 2_132 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_132,Interface Profile 3_132 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_132,Interface Profile 4_132 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_132,Interface Profile 5_132 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_132,Interface Profile 6_132 Default Values Register" line.long 0x1C "CIDEF7_132,Interface Profile 7_132 Default Values Register" line.long 0x20 "CEDEF0_132,Interface Profile 0_132 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_132,Interface Profile 1_132 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_132,Interface Profile 2_132 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x21400++0x2B line.long 0x00 "CIDEF0_133,Interface Profile 0_133 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_133,Interface Profile 1_133 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_133,Interface Profile 2_133 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_133,Interface Profile 3_133 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_133,Interface Profile 4_133 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_133,Interface Profile 5_133 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_133,Interface Profile 6_133 Default Values Register" line.long 0x1C "CIDEF7_133,Interface Profile 7_133 Default Values Register" line.long 0x20 "CEDEF0_133,Interface Profile 0_133 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_133,Interface Profile 1_133 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_133,Interface Profile 2_133 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x21800++0x2B line.long 0x00 "CIDEF0_134,Interface Profile 0_134 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_134,Interface Profile 1_134 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_134,Interface Profile 2_134 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_134,Interface Profile 3_134 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_134,Interface Profile 4_134 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_134,Interface Profile 5_134 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_134,Interface Profile 6_134 Default Values Register" line.long 0x1C "CIDEF7_134,Interface Profile 7_134 Default Values Register" line.long 0x20 "CEDEF0_134,Interface Profile 0_134 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_134,Interface Profile 1_134 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_134,Interface Profile 2_134 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x21C00++0x2B line.long 0x00 "CIDEF0_135,Interface Profile 0_135 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_135,Interface Profile 1_135 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_135,Interface Profile 2_135 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_135,Interface Profile 3_135 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_135,Interface Profile 4_135 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_135,Interface Profile 5_135 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_135,Interface Profile 6_135 Default Values Register" line.long 0x1C "CIDEF7_135,Interface Profile 7_135 Default Values Register" line.long 0x20 "CEDEF0_135,Interface Profile 0_135 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_135,Interface Profile 1_135 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_135,Interface Profile 2_135 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x22000++0x2B line.long 0x00 "CIDEF0_136,Interface Profile 0_136 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_136,Interface Profile 1_136 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_136,Interface Profile 2_136 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_136,Interface Profile 3_136 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_136,Interface Profile 4_136 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_136,Interface Profile 5_136 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_136,Interface Profile 6_136 Default Values Register" line.long 0x1C "CIDEF7_136,Interface Profile 7_136 Default Values Register" line.long 0x20 "CEDEF0_136,Interface Profile 0_136 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_136,Interface Profile 1_136 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_136,Interface Profile 2_136 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x22400++0x2B line.long 0x00 "CIDEF0_137,Interface Profile 0_137 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_137,Interface Profile 1_137 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_137,Interface Profile 2_137 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_137,Interface Profile 3_137 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_137,Interface Profile 4_137 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_137,Interface Profile 5_137 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_137,Interface Profile 6_137 Default Values Register" line.long 0x1C "CIDEF7_137,Interface Profile 7_137 Default Values Register" line.long 0x20 "CEDEF0_137,Interface Profile 0_137 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_137,Interface Profile 1_137 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_137,Interface Profile 2_137 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x22800++0x2B line.long 0x00 "CIDEF0_138,Interface Profile 0_138 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_138,Interface Profile 1_138 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_138,Interface Profile 2_138 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_138,Interface Profile 3_138 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_138,Interface Profile 4_138 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_138,Interface Profile 5_138 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_138,Interface Profile 6_138 Default Values Register" line.long 0x1C "CIDEF7_138,Interface Profile 7_138 Default Values Register" line.long 0x20 "CEDEF0_138,Interface Profile 0_138 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_138,Interface Profile 1_138 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_138,Interface Profile 2_138 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x22C00++0x2B line.long 0x00 "CIDEF0_139,Interface Profile 0_139 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_139,Interface Profile 1_139 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_139,Interface Profile 2_139 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_139,Interface Profile 3_139 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_139,Interface Profile 4_139 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_139,Interface Profile 5_139 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_139,Interface Profile 6_139 Default Values Register" line.long 0x1C "CIDEF7_139,Interface Profile 7_139 Default Values Register" line.long 0x20 "CEDEF0_139,Interface Profile 0_139 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_139,Interface Profile 1_139 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_139,Interface Profile 2_139 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x23000++0x2B line.long 0x00 "CIDEF0_140,Interface Profile 0_140 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_140,Interface Profile 1_140 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_140,Interface Profile 2_140 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_140,Interface Profile 3_140 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_140,Interface Profile 4_140 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_140,Interface Profile 5_140 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_140,Interface Profile 6_140 Default Values Register" line.long 0x1C "CIDEF7_140,Interface Profile 7_140 Default Values Register" line.long 0x20 "CEDEF0_140,Interface Profile 0_140 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_140,Interface Profile 1_140 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_140,Interface Profile 2_140 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x23400++0x2B line.long 0x00 "CIDEF0_141,Interface Profile 0_141 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_141,Interface Profile 1_141 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_141,Interface Profile 2_141 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_141,Interface Profile 3_141 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_141,Interface Profile 4_141 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_141,Interface Profile 5_141 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_141,Interface Profile 6_141 Default Values Register" line.long 0x1C "CIDEF7_141,Interface Profile 7_141 Default Values Register" line.long 0x20 "CEDEF0_141,Interface Profile 0_141 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_141,Interface Profile 1_141 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_141,Interface Profile 2_141 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x23800++0x2B line.long 0x00 "CIDEF0_142,Interface Profile 0_142 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_142,Interface Profile 1_142 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_142,Interface Profile 2_142 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_142,Interface Profile 3_142 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_142,Interface Profile 4_142 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_142,Interface Profile 5_142 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_142,Interface Profile 6_142 Default Values Register" line.long 0x1C "CIDEF7_142,Interface Profile 7_142 Default Values Register" line.long 0x20 "CEDEF0_142,Interface Profile 0_142 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_142,Interface Profile 1_142 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_142,Interface Profile 2_142 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x23C00++0x2B line.long 0x00 "CIDEF0_143,Interface Profile 0_143 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_143,Interface Profile 1_143 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_143,Interface Profile 2_143 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_143,Interface Profile 3_143 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_143,Interface Profile 4_143 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_143,Interface Profile 5_143 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_143,Interface Profile 6_143 Default Values Register" line.long 0x1C "CIDEF7_143,Interface Profile 7_143 Default Values Register" line.long 0x20 "CEDEF0_143,Interface Profile 0_143 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_143,Interface Profile 1_143 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_143,Interface Profile 2_143 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x24000++0x2B line.long 0x00 "CIDEF0_144,Interface Profile 0_144 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_144,Interface Profile 1_144 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_144,Interface Profile 2_144 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_144,Interface Profile 3_144 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_144,Interface Profile 4_144 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_144,Interface Profile 5_144 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_144,Interface Profile 6_144 Default Values Register" line.long 0x1C "CIDEF7_144,Interface Profile 7_144 Default Values Register" line.long 0x20 "CEDEF0_144,Interface Profile 0_144 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_144,Interface Profile 1_144 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_144,Interface Profile 2_144 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x24400++0x2B line.long 0x00 "CIDEF0_145,Interface Profile 0_145 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_145,Interface Profile 1_145 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_145,Interface Profile 2_145 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_145,Interface Profile 3_145 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_145,Interface Profile 4_145 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_145,Interface Profile 5_145 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_145,Interface Profile 6_145 Default Values Register" line.long 0x1C "CIDEF7_145,Interface Profile 7_145 Default Values Register" line.long 0x20 "CEDEF0_145,Interface Profile 0_145 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_145,Interface Profile 1_145 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_145,Interface Profile 2_145 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x24800++0x2B line.long 0x00 "CIDEF0_146,Interface Profile 0_146 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_146,Interface Profile 1_146 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_146,Interface Profile 2_146 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_146,Interface Profile 3_146 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_146,Interface Profile 4_146 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_146,Interface Profile 5_146 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_146,Interface Profile 6_146 Default Values Register" line.long 0x1C "CIDEF7_146,Interface Profile 7_146 Default Values Register" line.long 0x20 "CEDEF0_146,Interface Profile 0_146 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_146,Interface Profile 1_146 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_146,Interface Profile 2_146 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x24C00++0x2B line.long 0x00 "CIDEF0_147,Interface Profile 0_147 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_147,Interface Profile 1_147 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_147,Interface Profile 2_147 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_147,Interface Profile 3_147 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_147,Interface Profile 4_147 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_147,Interface Profile 5_147 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_147,Interface Profile 6_147 Default Values Register" line.long 0x1C "CIDEF7_147,Interface Profile 7_147 Default Values Register" line.long 0x20 "CEDEF0_147,Interface Profile 0_147 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_147,Interface Profile 1_147 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_147,Interface Profile 2_147 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x25000++0x2B line.long 0x00 "CIDEF0_148,Interface Profile 0_148 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_148,Interface Profile 1_148 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_148,Interface Profile 2_148 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_148,Interface Profile 3_148 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_148,Interface Profile 4_148 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_148,Interface Profile 5_148 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_148,Interface Profile 6_148 Default Values Register" line.long 0x1C "CIDEF7_148,Interface Profile 7_148 Default Values Register" line.long 0x20 "CEDEF0_148,Interface Profile 0_148 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_148,Interface Profile 1_148 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_148,Interface Profile 2_148 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x25400++0x2B line.long 0x00 "CIDEF0_149,Interface Profile 0_149 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_149,Interface Profile 1_149 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_149,Interface Profile 2_149 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_149,Interface Profile 3_149 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_149,Interface Profile 4_149 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_149,Interface Profile 5_149 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_149,Interface Profile 6_149 Default Values Register" line.long 0x1C "CIDEF7_149,Interface Profile 7_149 Default Values Register" line.long 0x20 "CEDEF0_149,Interface Profile 0_149 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_149,Interface Profile 1_149 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_149,Interface Profile 2_149 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x25800++0x2B line.long 0x00 "CIDEF0_150,Interface Profile 0_150 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_150,Interface Profile 1_150 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_150,Interface Profile 2_150 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_150,Interface Profile 3_150 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_150,Interface Profile 4_150 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_150,Interface Profile 5_150 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_150,Interface Profile 6_150 Default Values Register" line.long 0x1C "CIDEF7_150,Interface Profile 7_150 Default Values Register" line.long 0x20 "CEDEF0_150,Interface Profile 0_150 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_150,Interface Profile 1_150 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_150,Interface Profile 2_150 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x25C00++0x2B line.long 0x00 "CIDEF0_151,Interface Profile 0_151 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_151,Interface Profile 1_151 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_151,Interface Profile 2_151 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_151,Interface Profile 3_151 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_151,Interface Profile 4_151 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_151,Interface Profile 5_151 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_151,Interface Profile 6_151 Default Values Register" line.long 0x1C "CIDEF7_151,Interface Profile 7_151 Default Values Register" line.long 0x20 "CEDEF0_151,Interface Profile 0_151 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_151,Interface Profile 1_151 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_151,Interface Profile 2_151 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x26000++0x2B line.long 0x00 "CIDEF0_152,Interface Profile 0_152 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_152,Interface Profile 1_152 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_152,Interface Profile 2_152 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_152,Interface Profile 3_152 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_152,Interface Profile 4_152 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_152,Interface Profile 5_152 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_152,Interface Profile 6_152 Default Values Register" line.long 0x1C "CIDEF7_152,Interface Profile 7_152 Default Values Register" line.long 0x20 "CEDEF0_152,Interface Profile 0_152 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_152,Interface Profile 1_152 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_152,Interface Profile 2_152 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x26400++0x2B line.long 0x00 "CIDEF0_153,Interface Profile 0_153 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_153,Interface Profile 1_153 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_153,Interface Profile 2_153 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_153,Interface Profile 3_153 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_153,Interface Profile 4_153 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_153,Interface Profile 5_153 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_153,Interface Profile 6_153 Default Values Register" line.long 0x1C "CIDEF7_153,Interface Profile 7_153 Default Values Register" line.long 0x20 "CEDEF0_153,Interface Profile 0_153 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_153,Interface Profile 1_153 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_153,Interface Profile 2_153 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x26800++0x2B line.long 0x00 "CIDEF0_154,Interface Profile 0_154 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_154,Interface Profile 1_154 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_154,Interface Profile 2_154 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_154,Interface Profile 3_154 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_154,Interface Profile 4_154 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_154,Interface Profile 5_154 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_154,Interface Profile 6_154 Default Values Register" line.long 0x1C "CIDEF7_154,Interface Profile 7_154 Default Values Register" line.long 0x20 "CEDEF0_154,Interface Profile 0_154 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_154,Interface Profile 1_154 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_154,Interface Profile 2_154 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x26C00++0x2B line.long 0x00 "CIDEF0_155,Interface Profile 0_155 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_155,Interface Profile 1_155 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_155,Interface Profile 2_155 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_155,Interface Profile 3_155 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_155,Interface Profile 4_155 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_155,Interface Profile 5_155 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_155,Interface Profile 6_155 Default Values Register" line.long 0x1C "CIDEF7_155,Interface Profile 7_155 Default Values Register" line.long 0x20 "CEDEF0_155,Interface Profile 0_155 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_155,Interface Profile 1_155 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_155,Interface Profile 2_155 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x27000++0x2B line.long 0x00 "CIDEF0_156,Interface Profile 0_156 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_156,Interface Profile 1_156 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_156,Interface Profile 2_156 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_156,Interface Profile 3_156 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_156,Interface Profile 4_156 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_156,Interface Profile 5_156 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_156,Interface Profile 6_156 Default Values Register" line.long 0x1C "CIDEF7_156,Interface Profile 7_156 Default Values Register" line.long 0x20 "CEDEF0_156,Interface Profile 0_156 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_156,Interface Profile 1_156 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_156,Interface Profile 2_156 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x27400++0x2B line.long 0x00 "CIDEF0_157,Interface Profile 0_157 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_157,Interface Profile 1_157 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_157,Interface Profile 2_157 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_157,Interface Profile 3_157 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_157,Interface Profile 4_157 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_157,Interface Profile 5_157 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_157,Interface Profile 6_157 Default Values Register" line.long 0x1C "CIDEF7_157,Interface Profile 7_157 Default Values Register" line.long 0x20 "CEDEF0_157,Interface Profile 0_157 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_157,Interface Profile 1_157 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_157,Interface Profile 2_157 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x27800++0x2B line.long 0x00 "CIDEF0_158,Interface Profile 0_158 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_158,Interface Profile 1_158 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_158,Interface Profile 2_158 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_158,Interface Profile 3_158 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_158,Interface Profile 4_158 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_158,Interface Profile 5_158 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_158,Interface Profile 6_158 Default Values Register" line.long 0x1C "CIDEF7_158,Interface Profile 7_158 Default Values Register" line.long 0x20 "CEDEF0_158,Interface Profile 0_158 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_158,Interface Profile 1_158 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_158,Interface Profile 2_158 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x27C00++0x2B line.long 0x00 "CIDEF0_159,Interface Profile 0_159 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_159,Interface Profile 1_159 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_159,Interface Profile 2_159 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_159,Interface Profile 3_159 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_159,Interface Profile 4_159 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_159,Interface Profile 5_159 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_159,Interface Profile 6_159 Default Values Register" line.long 0x1C "CIDEF7_159,Interface Profile 7_159 Default Values Register" line.long 0x20 "CEDEF0_159,Interface Profile 0_159 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_159,Interface Profile 1_159 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_159,Interface Profile 2_159 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x28000++0x2B line.long 0x00 "CIDEF0_160,Interface Profile 0_160 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_160,Interface Profile 1_160 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_160,Interface Profile 2_160 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_160,Interface Profile 3_160 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_160,Interface Profile 4_160 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_160,Interface Profile 5_160 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_160,Interface Profile 6_160 Default Values Register" line.long 0x1C "CIDEF7_160,Interface Profile 7_160 Default Values Register" line.long 0x20 "CEDEF0_160,Interface Profile 0_160 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_160,Interface Profile 1_160 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_160,Interface Profile 2_160 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x28400++0x2B line.long 0x00 "CIDEF0_161,Interface Profile 0_161 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_161,Interface Profile 1_161 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_161,Interface Profile 2_161 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_161,Interface Profile 3_161 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_161,Interface Profile 4_161 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_161,Interface Profile 5_161 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_161,Interface Profile 6_161 Default Values Register" line.long 0x1C "CIDEF7_161,Interface Profile 7_161 Default Values Register" line.long 0x20 "CEDEF0_161,Interface Profile 0_161 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_161,Interface Profile 1_161 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_161,Interface Profile 2_161 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x28800++0x2B line.long 0x00 "CIDEF0_162,Interface Profile 0_162 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_162,Interface Profile 1_162 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_162,Interface Profile 2_162 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_162,Interface Profile 3_162 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_162,Interface Profile 4_162 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_162,Interface Profile 5_162 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_162,Interface Profile 6_162 Default Values Register" line.long 0x1C "CIDEF7_162,Interface Profile 7_162 Default Values Register" line.long 0x20 "CEDEF0_162,Interface Profile 0_162 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_162,Interface Profile 1_162 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_162,Interface Profile 2_162 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x28C00++0x2B line.long 0x00 "CIDEF0_163,Interface Profile 0_163 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_163,Interface Profile 1_163 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_163,Interface Profile 2_163 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_163,Interface Profile 3_163 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_163,Interface Profile 4_163 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_163,Interface Profile 5_163 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_163,Interface Profile 6_163 Default Values Register" line.long 0x1C "CIDEF7_163,Interface Profile 7_163 Default Values Register" line.long 0x20 "CEDEF0_163,Interface Profile 0_163 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_163,Interface Profile 1_163 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_163,Interface Profile 2_163 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x29000++0x2B line.long 0x00 "CIDEF0_164,Interface Profile 0_164 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_164,Interface Profile 1_164 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_164,Interface Profile 2_164 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_164,Interface Profile 3_164 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_164,Interface Profile 4_164 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_164,Interface Profile 5_164 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_164,Interface Profile 6_164 Default Values Register" line.long 0x1C "CIDEF7_164,Interface Profile 7_164 Default Values Register" line.long 0x20 "CEDEF0_164,Interface Profile 0_164 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_164,Interface Profile 1_164 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_164,Interface Profile 2_164 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x29400++0x2B line.long 0x00 "CIDEF0_165,Interface Profile 0_165 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_165,Interface Profile 1_165 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_165,Interface Profile 2_165 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_165,Interface Profile 3_165 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_165,Interface Profile 4_165 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_165,Interface Profile 5_165 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_165,Interface Profile 6_165 Default Values Register" line.long 0x1C "CIDEF7_165,Interface Profile 7_165 Default Values Register" line.long 0x20 "CEDEF0_165,Interface Profile 0_165 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_165,Interface Profile 1_165 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_165,Interface Profile 2_165 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x29800++0x2B line.long 0x00 "CIDEF0_166,Interface Profile 0_166 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_166,Interface Profile 1_166 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_166,Interface Profile 2_166 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_166,Interface Profile 3_166 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_166,Interface Profile 4_166 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_166,Interface Profile 5_166 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_166,Interface Profile 6_166 Default Values Register" line.long 0x1C "CIDEF7_166,Interface Profile 7_166 Default Values Register" line.long 0x20 "CEDEF0_166,Interface Profile 0_166 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_166,Interface Profile 1_166 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_166,Interface Profile 2_166 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x29C00++0x2B line.long 0x00 "CIDEF0_167,Interface Profile 0_167 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_167,Interface Profile 1_167 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_167,Interface Profile 2_167 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_167,Interface Profile 3_167 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_167,Interface Profile 4_167 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_167,Interface Profile 5_167 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_167,Interface Profile 6_167 Default Values Register" line.long 0x1C "CIDEF7_167,Interface Profile 7_167 Default Values Register" line.long 0x20 "CEDEF0_167,Interface Profile 0_167 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_167,Interface Profile 1_167 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_167,Interface Profile 2_167 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2A000++0x2B line.long 0x00 "CIDEF0_168,Interface Profile 0_168 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_168,Interface Profile 1_168 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_168,Interface Profile 2_168 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_168,Interface Profile 3_168 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_168,Interface Profile 4_168 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_168,Interface Profile 5_168 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_168,Interface Profile 6_168 Default Values Register" line.long 0x1C "CIDEF7_168,Interface Profile 7_168 Default Values Register" line.long 0x20 "CEDEF0_168,Interface Profile 0_168 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_168,Interface Profile 1_168 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_168,Interface Profile 2_168 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2A400++0x2B line.long 0x00 "CIDEF0_169,Interface Profile 0_169 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_169,Interface Profile 1_169 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_169,Interface Profile 2_169 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_169,Interface Profile 3_169 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_169,Interface Profile 4_169 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_169,Interface Profile 5_169 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_169,Interface Profile 6_169 Default Values Register" line.long 0x1C "CIDEF7_169,Interface Profile 7_169 Default Values Register" line.long 0x20 "CEDEF0_169,Interface Profile 0_169 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_169,Interface Profile 1_169 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_169,Interface Profile 2_169 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2A800++0x2B line.long 0x00 "CIDEF0_170,Interface Profile 0_170 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_170,Interface Profile 1_170 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_170,Interface Profile 2_170 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_170,Interface Profile 3_170 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_170,Interface Profile 4_170 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_170,Interface Profile 5_170 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_170,Interface Profile 6_170 Default Values Register" line.long 0x1C "CIDEF7_170,Interface Profile 7_170 Default Values Register" line.long 0x20 "CEDEF0_170,Interface Profile 0_170 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_170,Interface Profile 1_170 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_170,Interface Profile 2_170 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2AC00++0x2B line.long 0x00 "CIDEF0_171,Interface Profile 0_171 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_171,Interface Profile 1_171 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_171,Interface Profile 2_171 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_171,Interface Profile 3_171 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_171,Interface Profile 4_171 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_171,Interface Profile 5_171 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_171,Interface Profile 6_171 Default Values Register" line.long 0x1C "CIDEF7_171,Interface Profile 7_171 Default Values Register" line.long 0x20 "CEDEF0_171,Interface Profile 0_171 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_171,Interface Profile 1_171 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_171,Interface Profile 2_171 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2B000++0x2B line.long 0x00 "CIDEF0_172,Interface Profile 0_172 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_172,Interface Profile 1_172 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_172,Interface Profile 2_172 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_172,Interface Profile 3_172 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_172,Interface Profile 4_172 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_172,Interface Profile 5_172 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_172,Interface Profile 6_172 Default Values Register" line.long 0x1C "CIDEF7_172,Interface Profile 7_172 Default Values Register" line.long 0x20 "CEDEF0_172,Interface Profile 0_172 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_172,Interface Profile 1_172 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_172,Interface Profile 2_172 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2B400++0x2B line.long 0x00 "CIDEF0_173,Interface Profile 0_173 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_173,Interface Profile 1_173 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_173,Interface Profile 2_173 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_173,Interface Profile 3_173 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_173,Interface Profile 4_173 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_173,Interface Profile 5_173 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_173,Interface Profile 6_173 Default Values Register" line.long 0x1C "CIDEF7_173,Interface Profile 7_173 Default Values Register" line.long 0x20 "CEDEF0_173,Interface Profile 0_173 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_173,Interface Profile 1_173 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_173,Interface Profile 2_173 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2B800++0x2B line.long 0x00 "CIDEF0_174,Interface Profile 0_174 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_174,Interface Profile 1_174 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_174,Interface Profile 2_174 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_174,Interface Profile 3_174 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_174,Interface Profile 4_174 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_174,Interface Profile 5_174 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_174,Interface Profile 6_174 Default Values Register" line.long 0x1C "CIDEF7_174,Interface Profile 7_174 Default Values Register" line.long 0x20 "CEDEF0_174,Interface Profile 0_174 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_174,Interface Profile 1_174 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_174,Interface Profile 2_174 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2BC00++0x2B line.long 0x00 "CIDEF0_175,Interface Profile 0_175 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_175,Interface Profile 1_175 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_175,Interface Profile 2_175 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_175,Interface Profile 3_175 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_175,Interface Profile 4_175 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_175,Interface Profile 5_175 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_175,Interface Profile 6_175 Default Values Register" line.long 0x1C "CIDEF7_175,Interface Profile 7_175 Default Values Register" line.long 0x20 "CEDEF0_175,Interface Profile 0_175 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_175,Interface Profile 1_175 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_175,Interface Profile 2_175 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2C000++0x2B line.long 0x00 "CIDEF0_176,Interface Profile 0_176 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_176,Interface Profile 1_176 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_176,Interface Profile 2_176 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_176,Interface Profile 3_176 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_176,Interface Profile 4_176 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_176,Interface Profile 5_176 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_176,Interface Profile 6_176 Default Values Register" line.long 0x1C "CIDEF7_176,Interface Profile 7_176 Default Values Register" line.long 0x20 "CEDEF0_176,Interface Profile 0_176 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_176,Interface Profile 1_176 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_176,Interface Profile 2_176 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2C400++0x2B line.long 0x00 "CIDEF0_177,Interface Profile 0_177 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_177,Interface Profile 1_177 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_177,Interface Profile 2_177 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_177,Interface Profile 3_177 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_177,Interface Profile 4_177 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_177,Interface Profile 5_177 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_177,Interface Profile 6_177 Default Values Register" line.long 0x1C "CIDEF7_177,Interface Profile 7_177 Default Values Register" line.long 0x20 "CEDEF0_177,Interface Profile 0_177 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_177,Interface Profile 1_177 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_177,Interface Profile 2_177 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2C800++0x2B line.long 0x00 "CIDEF0_178,Interface Profile 0_178 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_178,Interface Profile 1_178 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_178,Interface Profile 2_178 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_178,Interface Profile 3_178 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_178,Interface Profile 4_178 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_178,Interface Profile 5_178 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_178,Interface Profile 6_178 Default Values Register" line.long 0x1C "CIDEF7_178,Interface Profile 7_178 Default Values Register" line.long 0x20 "CEDEF0_178,Interface Profile 0_178 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_178,Interface Profile 1_178 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_178,Interface Profile 2_178 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2CC00++0x2B line.long 0x00 "CIDEF0_179,Interface Profile 0_179 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_179,Interface Profile 1_179 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_179,Interface Profile 2_179 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_179,Interface Profile 3_179 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_179,Interface Profile 4_179 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_179,Interface Profile 5_179 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_179,Interface Profile 6_179 Default Values Register" line.long 0x1C "CIDEF7_179,Interface Profile 7_179 Default Values Register" line.long 0x20 "CEDEF0_179,Interface Profile 0_179 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_179,Interface Profile 1_179 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_179,Interface Profile 2_179 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2D000++0x2B line.long 0x00 "CIDEF0_180,Interface Profile 0_180 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_180,Interface Profile 1_180 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_180,Interface Profile 2_180 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_180,Interface Profile 3_180 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_180,Interface Profile 4_180 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_180,Interface Profile 5_180 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_180,Interface Profile 6_180 Default Values Register" line.long 0x1C "CIDEF7_180,Interface Profile 7_180 Default Values Register" line.long 0x20 "CEDEF0_180,Interface Profile 0_180 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_180,Interface Profile 1_180 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_180,Interface Profile 2_180 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2D400++0x2B line.long 0x00 "CIDEF0_181,Interface Profile 0_181 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_181,Interface Profile 1_181 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_181,Interface Profile 2_181 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_181,Interface Profile 3_181 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_181,Interface Profile 4_181 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_181,Interface Profile 5_181 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_181,Interface Profile 6_181 Default Values Register" line.long 0x1C "CIDEF7_181,Interface Profile 7_181 Default Values Register" line.long 0x20 "CEDEF0_181,Interface Profile 0_181 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_181,Interface Profile 1_181 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_181,Interface Profile 2_181 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2D800++0x2B line.long 0x00 "CIDEF0_182,Interface Profile 0_182 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_182,Interface Profile 1_182 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_182,Interface Profile 2_182 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_182,Interface Profile 3_182 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_182,Interface Profile 4_182 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_182,Interface Profile 5_182 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_182,Interface Profile 6_182 Default Values Register" line.long 0x1C "CIDEF7_182,Interface Profile 7_182 Default Values Register" line.long 0x20 "CEDEF0_182,Interface Profile 0_182 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_182,Interface Profile 1_182 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_182,Interface Profile 2_182 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2DC00++0x2B line.long 0x00 "CIDEF0_183,Interface Profile 0_183 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_183,Interface Profile 1_183 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_183,Interface Profile 2_183 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_183,Interface Profile 3_183 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_183,Interface Profile 4_183 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_183,Interface Profile 5_183 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_183,Interface Profile 6_183 Default Values Register" line.long 0x1C "CIDEF7_183,Interface Profile 7_183 Default Values Register" line.long 0x20 "CEDEF0_183,Interface Profile 0_183 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_183,Interface Profile 1_183 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_183,Interface Profile 2_183 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2E000++0x2B line.long 0x00 "CIDEF0_184,Interface Profile 0_184 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_184,Interface Profile 1_184 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_184,Interface Profile 2_184 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_184,Interface Profile 3_184 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_184,Interface Profile 4_184 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_184,Interface Profile 5_184 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_184,Interface Profile 6_184 Default Values Register" line.long 0x1C "CIDEF7_184,Interface Profile 7_184 Default Values Register" line.long 0x20 "CEDEF0_184,Interface Profile 0_184 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_184,Interface Profile 1_184 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_184,Interface Profile 2_184 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2E400++0x2B line.long 0x00 "CIDEF0_185,Interface Profile 0_185 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_185,Interface Profile 1_185 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_185,Interface Profile 2_185 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_185,Interface Profile 3_185 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_185,Interface Profile 4_185 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_185,Interface Profile 5_185 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_185,Interface Profile 6_185 Default Values Register" line.long 0x1C "CIDEF7_185,Interface Profile 7_185 Default Values Register" line.long 0x20 "CEDEF0_185,Interface Profile 0_185 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_185,Interface Profile 1_185 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_185,Interface Profile 2_185 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2E800++0x2B line.long 0x00 "CIDEF0_186,Interface Profile 0_186 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_186,Interface Profile 1_186 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_186,Interface Profile 2_186 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_186,Interface Profile 3_186 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_186,Interface Profile 4_186 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_186,Interface Profile 5_186 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_186,Interface Profile 6_186 Default Values Register" line.long 0x1C "CIDEF7_186,Interface Profile 7_186 Default Values Register" line.long 0x20 "CEDEF0_186,Interface Profile 0_186 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_186,Interface Profile 1_186 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_186,Interface Profile 2_186 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2EC00++0x2B line.long 0x00 "CIDEF0_187,Interface Profile 0_187 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_187,Interface Profile 1_187 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_187,Interface Profile 2_187 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_187,Interface Profile 3_187 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_187,Interface Profile 4_187 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_187,Interface Profile 5_187 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_187,Interface Profile 6_187 Default Values Register" line.long 0x1C "CIDEF7_187,Interface Profile 7_187 Default Values Register" line.long 0x20 "CEDEF0_187,Interface Profile 0_187 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_187,Interface Profile 1_187 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_187,Interface Profile 2_187 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2F000++0x2B line.long 0x00 "CIDEF0_188,Interface Profile 0_188 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_188,Interface Profile 1_188 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_188,Interface Profile 2_188 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_188,Interface Profile 3_188 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_188,Interface Profile 4_188 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_188,Interface Profile 5_188 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_188,Interface Profile 6_188 Default Values Register" line.long 0x1C "CIDEF7_188,Interface Profile 7_188 Default Values Register" line.long 0x20 "CEDEF0_188,Interface Profile 0_188 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_188,Interface Profile 1_188 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_188,Interface Profile 2_188 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2F400++0x2B line.long 0x00 "CIDEF0_189,Interface Profile 0_189 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_189,Interface Profile 1_189 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_189,Interface Profile 2_189 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_189,Interface Profile 3_189 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_189,Interface Profile 4_189 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_189,Interface Profile 5_189 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_189,Interface Profile 6_189 Default Values Register" line.long 0x1C "CIDEF7_189,Interface Profile 7_189 Default Values Register" line.long 0x20 "CEDEF0_189,Interface Profile 0_189 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_189,Interface Profile 1_189 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_189,Interface Profile 2_189 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2F800++0x2B line.long 0x00 "CIDEF0_190,Interface Profile 0_190 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_190,Interface Profile 1_190 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_190,Interface Profile 2_190 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_190,Interface Profile 3_190 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_190,Interface Profile 4_190 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_190,Interface Profile 5_190 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_190,Interface Profile 6_190 Default Values Register" line.long 0x1C "CIDEF7_190,Interface Profile 7_190 Default Values Register" line.long 0x20 "CEDEF0_190,Interface Profile 0_190 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_190,Interface Profile 1_190 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_190,Interface Profile 2_190 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2FC00++0x2B line.long 0x00 "CIDEF0_191,Interface Profile 0_191 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_191,Interface Profile 1_191 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_191,Interface Profile 2_191 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_191,Interface Profile 3_191 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_191,Interface Profile 4_191 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_191,Interface Profile 5_191 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_191,Interface Profile 6_191 Default Values Register" line.long 0x1C "CIDEF7_191,Interface Profile 7_191 Default Values Register" line.long 0x20 "CEDEF0_191,Interface Profile 0_191 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_191,Interface Profile 1_191 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_191,Interface Profile 2_191 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x30000++0x2B line.long 0x00 "CIDEF0_192,Interface Profile 0_192 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_192,Interface Profile 1_192 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_192,Interface Profile 2_192 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_192,Interface Profile 3_192 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_192,Interface Profile 4_192 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_192,Interface Profile 5_192 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_192,Interface Profile 6_192 Default Values Register" line.long 0x1C "CIDEF7_192,Interface Profile 7_192 Default Values Register" line.long 0x20 "CEDEF0_192,Interface Profile 0_192 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_192,Interface Profile 1_192 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_192,Interface Profile 2_192 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x30400++0x2B line.long 0x00 "CIDEF0_193,Interface Profile 0_193 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_193,Interface Profile 1_193 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_193,Interface Profile 2_193 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_193,Interface Profile 3_193 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_193,Interface Profile 4_193 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_193,Interface Profile 5_193 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_193,Interface Profile 6_193 Default Values Register" line.long 0x1C "CIDEF7_193,Interface Profile 7_193 Default Values Register" line.long 0x20 "CEDEF0_193,Interface Profile 0_193 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_193,Interface Profile 1_193 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_193,Interface Profile 2_193 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x30800++0x2B line.long 0x00 "CIDEF0_194,Interface Profile 0_194 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_194,Interface Profile 1_194 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_194,Interface Profile 2_194 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_194,Interface Profile 3_194 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_194,Interface Profile 4_194 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_194,Interface Profile 5_194 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_194,Interface Profile 6_194 Default Values Register" line.long 0x1C "CIDEF7_194,Interface Profile 7_194 Default Values Register" line.long 0x20 "CEDEF0_194,Interface Profile 0_194 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_194,Interface Profile 1_194 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_194,Interface Profile 2_194 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x30C00++0x2B line.long 0x00 "CIDEF0_195,Interface Profile 0_195 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_195,Interface Profile 1_195 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_195,Interface Profile 2_195 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_195,Interface Profile 3_195 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_195,Interface Profile 4_195 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_195,Interface Profile 5_195 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_195,Interface Profile 6_195 Default Values Register" line.long 0x1C "CIDEF7_195,Interface Profile 7_195 Default Values Register" line.long 0x20 "CEDEF0_195,Interface Profile 0_195 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_195,Interface Profile 1_195 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_195,Interface Profile 2_195 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x31000++0x2B line.long 0x00 "CIDEF0_196,Interface Profile 0_196 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_196,Interface Profile 1_196 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_196,Interface Profile 2_196 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_196,Interface Profile 3_196 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_196,Interface Profile 4_196 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_196,Interface Profile 5_196 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_196,Interface Profile 6_196 Default Values Register" line.long 0x1C "CIDEF7_196,Interface Profile 7_196 Default Values Register" line.long 0x20 "CEDEF0_196,Interface Profile 0_196 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_196,Interface Profile 1_196 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_196,Interface Profile 2_196 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x31400++0x2B line.long 0x00 "CIDEF0_197,Interface Profile 0_197 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_197,Interface Profile 1_197 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_197,Interface Profile 2_197 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_197,Interface Profile 3_197 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_197,Interface Profile 4_197 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_197,Interface Profile 5_197 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_197,Interface Profile 6_197 Default Values Register" line.long 0x1C "CIDEF7_197,Interface Profile 7_197 Default Values Register" line.long 0x20 "CEDEF0_197,Interface Profile 0_197 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_197,Interface Profile 1_197 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_197,Interface Profile 2_197 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x31800++0x2B line.long 0x00 "CIDEF0_198,Interface Profile 0_198 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_198,Interface Profile 1_198 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_198,Interface Profile 2_198 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_198,Interface Profile 3_198 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_198,Interface Profile 4_198 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_198,Interface Profile 5_198 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_198,Interface Profile 6_198 Default Values Register" line.long 0x1C "CIDEF7_198,Interface Profile 7_198 Default Values Register" line.long 0x20 "CEDEF0_198,Interface Profile 0_198 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_198,Interface Profile 1_198 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_198,Interface Profile 2_198 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x31C00++0x2B line.long 0x00 "CIDEF0_199,Interface Profile 0_199 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_199,Interface Profile 1_199 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_199,Interface Profile 2_199 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_199,Interface Profile 3_199 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_199,Interface Profile 4_199 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_199,Interface Profile 5_199 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_199,Interface Profile 6_199 Default Values Register" line.long 0x1C "CIDEF7_199,Interface Profile 7_199 Default Values Register" line.long 0x20 "CEDEF0_199,Interface Profile 0_199 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_199,Interface Profile 1_199 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_199,Interface Profile 2_199 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x32000++0x2B line.long 0x00 "CIDEF0_200,Interface Profile 0_200 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_200,Interface Profile 1_200 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_200,Interface Profile 2_200 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_200,Interface Profile 3_200 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_200,Interface Profile 4_200 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_200,Interface Profile 5_200 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_200,Interface Profile 6_200 Default Values Register" line.long 0x1C "CIDEF7_200,Interface Profile 7_200 Default Values Register" line.long 0x20 "CEDEF0_200,Interface Profile 0_200 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_200,Interface Profile 1_200 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_200,Interface Profile 2_200 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x32400++0x2B line.long 0x00 "CIDEF0_201,Interface Profile 0_201 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_201,Interface Profile 1_201 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_201,Interface Profile 2_201 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_201,Interface Profile 3_201 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_201,Interface Profile 4_201 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_201,Interface Profile 5_201 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_201,Interface Profile 6_201 Default Values Register" line.long 0x1C "CIDEF7_201,Interface Profile 7_201 Default Values Register" line.long 0x20 "CEDEF0_201,Interface Profile 0_201 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_201,Interface Profile 1_201 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_201,Interface Profile 2_201 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x32800++0x2B line.long 0x00 "CIDEF0_202,Interface Profile 0_202 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_202,Interface Profile 1_202 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_202,Interface Profile 2_202 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_202,Interface Profile 3_202 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_202,Interface Profile 4_202 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_202,Interface Profile 5_202 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_202,Interface Profile 6_202 Default Values Register" line.long 0x1C "CIDEF7_202,Interface Profile 7_202 Default Values Register" line.long 0x20 "CEDEF0_202,Interface Profile 0_202 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_202,Interface Profile 1_202 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_202,Interface Profile 2_202 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x32C00++0x2B line.long 0x00 "CIDEF0_203,Interface Profile 0_203 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_203,Interface Profile 1_203 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_203,Interface Profile 2_203 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_203,Interface Profile 3_203 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_203,Interface Profile 4_203 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_203,Interface Profile 5_203 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_203,Interface Profile 6_203 Default Values Register" line.long 0x1C "CIDEF7_203,Interface Profile 7_203 Default Values Register" line.long 0x20 "CEDEF0_203,Interface Profile 0_203 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_203,Interface Profile 1_203 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_203,Interface Profile 2_203 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x33000++0x2B line.long 0x00 "CIDEF0_204,Interface Profile 0_204 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_204,Interface Profile 1_204 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_204,Interface Profile 2_204 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_204,Interface Profile 3_204 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_204,Interface Profile 4_204 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_204,Interface Profile 5_204 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_204,Interface Profile 6_204 Default Values Register" line.long 0x1C "CIDEF7_204,Interface Profile 7_204 Default Values Register" line.long 0x20 "CEDEF0_204,Interface Profile 0_204 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_204,Interface Profile 1_204 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_204,Interface Profile 2_204 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x33400++0x2B line.long 0x00 "CIDEF0_205,Interface Profile 0_205 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_205,Interface Profile 1_205 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_205,Interface Profile 2_205 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_205,Interface Profile 3_205 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_205,Interface Profile 4_205 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_205,Interface Profile 5_205 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_205,Interface Profile 6_205 Default Values Register" line.long 0x1C "CIDEF7_205,Interface Profile 7_205 Default Values Register" line.long 0x20 "CEDEF0_205,Interface Profile 0_205 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_205,Interface Profile 1_205 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_205,Interface Profile 2_205 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x33800++0x2B line.long 0x00 "CIDEF0_206,Interface Profile 0_206 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_206,Interface Profile 1_206 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_206,Interface Profile 2_206 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_206,Interface Profile 3_206 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_206,Interface Profile 4_206 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_206,Interface Profile 5_206 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_206,Interface Profile 6_206 Default Values Register" line.long 0x1C "CIDEF7_206,Interface Profile 7_206 Default Values Register" line.long 0x20 "CEDEF0_206,Interface Profile 0_206 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_206,Interface Profile 1_206 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_206,Interface Profile 2_206 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x33C00++0x2B line.long 0x00 "CIDEF0_207,Interface Profile 0_207 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_207,Interface Profile 1_207 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_207,Interface Profile 2_207 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_207,Interface Profile 3_207 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_207,Interface Profile 4_207 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_207,Interface Profile 5_207 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_207,Interface Profile 6_207 Default Values Register" line.long 0x1C "CIDEF7_207,Interface Profile 7_207 Default Values Register" line.long 0x20 "CEDEF0_207,Interface Profile 0_207 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_207,Interface Profile 1_207 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_207,Interface Profile 2_207 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x34000++0x2B line.long 0x00 "CIDEF0_208,Interface Profile 0_208 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_208,Interface Profile 1_208 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_208,Interface Profile 2_208 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_208,Interface Profile 3_208 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_208,Interface Profile 4_208 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_208,Interface Profile 5_208 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_208,Interface Profile 6_208 Default Values Register" line.long 0x1C "CIDEF7_208,Interface Profile 7_208 Default Values Register" line.long 0x20 "CEDEF0_208,Interface Profile 0_208 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_208,Interface Profile 1_208 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_208,Interface Profile 2_208 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x34400++0x2B line.long 0x00 "CIDEF0_209,Interface Profile 0_209 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_209,Interface Profile 1_209 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_209,Interface Profile 2_209 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_209,Interface Profile 3_209 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_209,Interface Profile 4_209 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_209,Interface Profile 5_209 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_209,Interface Profile 6_209 Default Values Register" line.long 0x1C "CIDEF7_209,Interface Profile 7_209 Default Values Register" line.long 0x20 "CEDEF0_209,Interface Profile 0_209 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_209,Interface Profile 1_209 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_209,Interface Profile 2_209 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x34800++0x2B line.long 0x00 "CIDEF0_210,Interface Profile 0_210 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_210,Interface Profile 1_210 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_210,Interface Profile 2_210 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_210,Interface Profile 3_210 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_210,Interface Profile 4_210 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_210,Interface Profile 5_210 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_210,Interface Profile 6_210 Default Values Register" line.long 0x1C "CIDEF7_210,Interface Profile 7_210 Default Values Register" line.long 0x20 "CEDEF0_210,Interface Profile 0_210 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_210,Interface Profile 1_210 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_210,Interface Profile 2_210 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x34C00++0x2B line.long 0x00 "CIDEF0_211,Interface Profile 0_211 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_211,Interface Profile 1_211 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_211,Interface Profile 2_211 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_211,Interface Profile 3_211 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_211,Interface Profile 4_211 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_211,Interface Profile 5_211 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_211,Interface Profile 6_211 Default Values Register" line.long 0x1C "CIDEF7_211,Interface Profile 7_211 Default Values Register" line.long 0x20 "CEDEF0_211,Interface Profile 0_211 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_211,Interface Profile 1_211 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_211,Interface Profile 2_211 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x35000++0x2B line.long 0x00 "CIDEF0_212,Interface Profile 0_212 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_212,Interface Profile 1_212 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_212,Interface Profile 2_212 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_212,Interface Profile 3_212 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_212,Interface Profile 4_212 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_212,Interface Profile 5_212 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_212,Interface Profile 6_212 Default Values Register" line.long 0x1C "CIDEF7_212,Interface Profile 7_212 Default Values Register" line.long 0x20 "CEDEF0_212,Interface Profile 0_212 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_212,Interface Profile 1_212 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_212,Interface Profile 2_212 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x35400++0x2B line.long 0x00 "CIDEF0_213,Interface Profile 0_213 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_213,Interface Profile 1_213 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_213,Interface Profile 2_213 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_213,Interface Profile 3_213 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_213,Interface Profile 4_213 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_213,Interface Profile 5_213 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_213,Interface Profile 6_213 Default Values Register" line.long 0x1C "CIDEF7_213,Interface Profile 7_213 Default Values Register" line.long 0x20 "CEDEF0_213,Interface Profile 0_213 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_213,Interface Profile 1_213 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_213,Interface Profile 2_213 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x35800++0x2B line.long 0x00 "CIDEF0_214,Interface Profile 0_214 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_214,Interface Profile 1_214 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_214,Interface Profile 2_214 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_214,Interface Profile 3_214 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_214,Interface Profile 4_214 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_214,Interface Profile 5_214 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_214,Interface Profile 6_214 Default Values Register" line.long 0x1C "CIDEF7_214,Interface Profile 7_214 Default Values Register" line.long 0x20 "CEDEF0_214,Interface Profile 0_214 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_214,Interface Profile 1_214 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_214,Interface Profile 2_214 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x35C00++0x2B line.long 0x00 "CIDEF0_215,Interface Profile 0_215 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_215,Interface Profile 1_215 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_215,Interface Profile 2_215 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_215,Interface Profile 3_215 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_215,Interface Profile 4_215 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_215,Interface Profile 5_215 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_215,Interface Profile 6_215 Default Values Register" line.long 0x1C "CIDEF7_215,Interface Profile 7_215 Default Values Register" line.long 0x20 "CEDEF0_215,Interface Profile 0_215 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_215,Interface Profile 1_215 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_215,Interface Profile 2_215 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x36000++0x2B line.long 0x00 "CIDEF0_216,Interface Profile 0_216 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_216,Interface Profile 1_216 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_216,Interface Profile 2_216 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_216,Interface Profile 3_216 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_216,Interface Profile 4_216 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_216,Interface Profile 5_216 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_216,Interface Profile 6_216 Default Values Register" line.long 0x1C "CIDEF7_216,Interface Profile 7_216 Default Values Register" line.long 0x20 "CEDEF0_216,Interface Profile 0_216 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_216,Interface Profile 1_216 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_216,Interface Profile 2_216 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x36400++0x2B line.long 0x00 "CIDEF0_217,Interface Profile 0_217 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_217,Interface Profile 1_217 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_217,Interface Profile 2_217 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_217,Interface Profile 3_217 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_217,Interface Profile 4_217 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_217,Interface Profile 5_217 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_217,Interface Profile 6_217 Default Values Register" line.long 0x1C "CIDEF7_217,Interface Profile 7_217 Default Values Register" line.long 0x20 "CEDEF0_217,Interface Profile 0_217 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_217,Interface Profile 1_217 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_217,Interface Profile 2_217 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x36800++0x2B line.long 0x00 "CIDEF0_218,Interface Profile 0_218 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_218,Interface Profile 1_218 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_218,Interface Profile 2_218 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_218,Interface Profile 3_218 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_218,Interface Profile 4_218 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_218,Interface Profile 5_218 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_218,Interface Profile 6_218 Default Values Register" line.long 0x1C "CIDEF7_218,Interface Profile 7_218 Default Values Register" line.long 0x20 "CEDEF0_218,Interface Profile 0_218 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_218,Interface Profile 1_218 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_218,Interface Profile 2_218 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x36C00++0x2B line.long 0x00 "CIDEF0_219,Interface Profile 0_219 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_219,Interface Profile 1_219 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_219,Interface Profile 2_219 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_219,Interface Profile 3_219 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_219,Interface Profile 4_219 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_219,Interface Profile 5_219 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_219,Interface Profile 6_219 Default Values Register" line.long 0x1C "CIDEF7_219,Interface Profile 7_219 Default Values Register" line.long 0x20 "CEDEF0_219,Interface Profile 0_219 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_219,Interface Profile 1_219 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_219,Interface Profile 2_219 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x37000++0x2B line.long 0x00 "CIDEF0_220,Interface Profile 0_220 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_220,Interface Profile 1_220 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_220,Interface Profile 2_220 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_220,Interface Profile 3_220 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_220,Interface Profile 4_220 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_220,Interface Profile 5_220 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_220,Interface Profile 6_220 Default Values Register" line.long 0x1C "CIDEF7_220,Interface Profile 7_220 Default Values Register" line.long 0x20 "CEDEF0_220,Interface Profile 0_220 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_220,Interface Profile 1_220 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_220,Interface Profile 2_220 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x37400++0x2B line.long 0x00 "CIDEF0_221,Interface Profile 0_221 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_221,Interface Profile 1_221 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_221,Interface Profile 2_221 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_221,Interface Profile 3_221 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_221,Interface Profile 4_221 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_221,Interface Profile 5_221 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_221,Interface Profile 6_221 Default Values Register" line.long 0x1C "CIDEF7_221,Interface Profile 7_221 Default Values Register" line.long 0x20 "CEDEF0_221,Interface Profile 0_221 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_221,Interface Profile 1_221 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_221,Interface Profile 2_221 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x37800++0x2B line.long 0x00 "CIDEF0_222,Interface Profile 0_222 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_222,Interface Profile 1_222 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_222,Interface Profile 2_222 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_222,Interface Profile 3_222 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_222,Interface Profile 4_222 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_222,Interface Profile 5_222 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_222,Interface Profile 6_222 Default Values Register" line.long 0x1C "CIDEF7_222,Interface Profile 7_222 Default Values Register" line.long 0x20 "CEDEF0_222,Interface Profile 0_222 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_222,Interface Profile 1_222 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_222,Interface Profile 2_222 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x37C00++0x2B line.long 0x00 "CIDEF0_223,Interface Profile 0_223 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_223,Interface Profile 1_223 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_223,Interface Profile 2_223 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_223,Interface Profile 3_223 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_223,Interface Profile 4_223 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_223,Interface Profile 5_223 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_223,Interface Profile 6_223 Default Values Register" line.long 0x1C "CIDEF7_223,Interface Profile 7_223 Default Values Register" line.long 0x20 "CEDEF0_223,Interface Profile 0_223 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_223,Interface Profile 1_223 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_223,Interface Profile 2_223 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x38000++0x2B line.long 0x00 "CIDEF0_224,Interface Profile 0_224 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_224,Interface Profile 1_224 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_224,Interface Profile 2_224 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_224,Interface Profile 3_224 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_224,Interface Profile 4_224 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_224,Interface Profile 5_224 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_224,Interface Profile 6_224 Default Values Register" line.long 0x1C "CIDEF7_224,Interface Profile 7_224 Default Values Register" line.long 0x20 "CEDEF0_224,Interface Profile 0_224 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_224,Interface Profile 1_224 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_224,Interface Profile 2_224 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x38400++0x2B line.long 0x00 "CIDEF0_225,Interface Profile 0_225 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_225,Interface Profile 1_225 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_225,Interface Profile 2_225 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_225,Interface Profile 3_225 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_225,Interface Profile 4_225 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_225,Interface Profile 5_225 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_225,Interface Profile 6_225 Default Values Register" line.long 0x1C "CIDEF7_225,Interface Profile 7_225 Default Values Register" line.long 0x20 "CEDEF0_225,Interface Profile 0_225 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_225,Interface Profile 1_225 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_225,Interface Profile 2_225 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x38800++0x2B line.long 0x00 "CIDEF0_226,Interface Profile 0_226 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_226,Interface Profile 1_226 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_226,Interface Profile 2_226 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_226,Interface Profile 3_226 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_226,Interface Profile 4_226 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_226,Interface Profile 5_226 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_226,Interface Profile 6_226 Default Values Register" line.long 0x1C "CIDEF7_226,Interface Profile 7_226 Default Values Register" line.long 0x20 "CEDEF0_226,Interface Profile 0_226 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_226,Interface Profile 1_226 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_226,Interface Profile 2_226 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x38C00++0x2B line.long 0x00 "CIDEF0_227,Interface Profile 0_227 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_227,Interface Profile 1_227 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_227,Interface Profile 2_227 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_227,Interface Profile 3_227 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_227,Interface Profile 4_227 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_227,Interface Profile 5_227 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_227,Interface Profile 6_227 Default Values Register" line.long 0x1C "CIDEF7_227,Interface Profile 7_227 Default Values Register" line.long 0x20 "CEDEF0_227,Interface Profile 0_227 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_227,Interface Profile 1_227 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_227,Interface Profile 2_227 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x39000++0x2B line.long 0x00 "CIDEF0_228,Interface Profile 0_228 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_228,Interface Profile 1_228 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_228,Interface Profile 2_228 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_228,Interface Profile 3_228 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_228,Interface Profile 4_228 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_228,Interface Profile 5_228 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_228,Interface Profile 6_228 Default Values Register" line.long 0x1C "CIDEF7_228,Interface Profile 7_228 Default Values Register" line.long 0x20 "CEDEF0_228,Interface Profile 0_228 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_228,Interface Profile 1_228 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_228,Interface Profile 2_228 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x39400++0x2B line.long 0x00 "CIDEF0_229,Interface Profile 0_229 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_229,Interface Profile 1_229 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_229,Interface Profile 2_229 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_229,Interface Profile 3_229 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_229,Interface Profile 4_229 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_229,Interface Profile 5_229 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_229,Interface Profile 6_229 Default Values Register" line.long 0x1C "CIDEF7_229,Interface Profile 7_229 Default Values Register" line.long 0x20 "CEDEF0_229,Interface Profile 0_229 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_229,Interface Profile 1_229 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_229,Interface Profile 2_229 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x39800++0x2B line.long 0x00 "CIDEF0_230,Interface Profile 0_230 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_230,Interface Profile 1_230 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_230,Interface Profile 2_230 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_230,Interface Profile 3_230 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_230,Interface Profile 4_230 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_230,Interface Profile 5_230 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_230,Interface Profile 6_230 Default Values Register" line.long 0x1C "CIDEF7_230,Interface Profile 7_230 Default Values Register" line.long 0x20 "CEDEF0_230,Interface Profile 0_230 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_230,Interface Profile 1_230 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_230,Interface Profile 2_230 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x39C00++0x2B line.long 0x00 "CIDEF0_231,Interface Profile 0_231 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_231,Interface Profile 1_231 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_231,Interface Profile 2_231 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_231,Interface Profile 3_231 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_231,Interface Profile 4_231 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_231,Interface Profile 5_231 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_231,Interface Profile 6_231 Default Values Register" line.long 0x1C "CIDEF7_231,Interface Profile 7_231 Default Values Register" line.long 0x20 "CEDEF0_231,Interface Profile 0_231 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_231,Interface Profile 1_231 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_231,Interface Profile 2_231 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3A000++0x2B line.long 0x00 "CIDEF0_232,Interface Profile 0_232 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_232,Interface Profile 1_232 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_232,Interface Profile 2_232 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_232,Interface Profile 3_232 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_232,Interface Profile 4_232 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_232,Interface Profile 5_232 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_232,Interface Profile 6_232 Default Values Register" line.long 0x1C "CIDEF7_232,Interface Profile 7_232 Default Values Register" line.long 0x20 "CEDEF0_232,Interface Profile 0_232 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_232,Interface Profile 1_232 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_232,Interface Profile 2_232 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3A400++0x2B line.long 0x00 "CIDEF0_233,Interface Profile 0_233 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_233,Interface Profile 1_233 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_233,Interface Profile 2_233 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_233,Interface Profile 3_233 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_233,Interface Profile 4_233 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_233,Interface Profile 5_233 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_233,Interface Profile 6_233 Default Values Register" line.long 0x1C "CIDEF7_233,Interface Profile 7_233 Default Values Register" line.long 0x20 "CEDEF0_233,Interface Profile 0_233 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_233,Interface Profile 1_233 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_233,Interface Profile 2_233 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3A800++0x2B line.long 0x00 "CIDEF0_234,Interface Profile 0_234 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_234,Interface Profile 1_234 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_234,Interface Profile 2_234 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_234,Interface Profile 3_234 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_234,Interface Profile 4_234 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_234,Interface Profile 5_234 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_234,Interface Profile 6_234 Default Values Register" line.long 0x1C "CIDEF7_234,Interface Profile 7_234 Default Values Register" line.long 0x20 "CEDEF0_234,Interface Profile 0_234 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_234,Interface Profile 1_234 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_234,Interface Profile 2_234 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3AC00++0x2B line.long 0x00 "CIDEF0_235,Interface Profile 0_235 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_235,Interface Profile 1_235 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_235,Interface Profile 2_235 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_235,Interface Profile 3_235 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_235,Interface Profile 4_235 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_235,Interface Profile 5_235 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_235,Interface Profile 6_235 Default Values Register" line.long 0x1C "CIDEF7_235,Interface Profile 7_235 Default Values Register" line.long 0x20 "CEDEF0_235,Interface Profile 0_235 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_235,Interface Profile 1_235 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_235,Interface Profile 2_235 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3B000++0x2B line.long 0x00 "CIDEF0_236,Interface Profile 0_236 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_236,Interface Profile 1_236 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_236,Interface Profile 2_236 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_236,Interface Profile 3_236 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_236,Interface Profile 4_236 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_236,Interface Profile 5_236 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_236,Interface Profile 6_236 Default Values Register" line.long 0x1C "CIDEF7_236,Interface Profile 7_236 Default Values Register" line.long 0x20 "CEDEF0_236,Interface Profile 0_236 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_236,Interface Profile 1_236 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_236,Interface Profile 2_236 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3B400++0x2B line.long 0x00 "CIDEF0_237,Interface Profile 0_237 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_237,Interface Profile 1_237 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_237,Interface Profile 2_237 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_237,Interface Profile 3_237 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_237,Interface Profile 4_237 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_237,Interface Profile 5_237 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_237,Interface Profile 6_237 Default Values Register" line.long 0x1C "CIDEF7_237,Interface Profile 7_237 Default Values Register" line.long 0x20 "CEDEF0_237,Interface Profile 0_237 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_237,Interface Profile 1_237 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_237,Interface Profile 2_237 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3B800++0x2B line.long 0x00 "CIDEF0_238,Interface Profile 0_238 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_238,Interface Profile 1_238 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_238,Interface Profile 2_238 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_238,Interface Profile 3_238 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_238,Interface Profile 4_238 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_238,Interface Profile 5_238 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_238,Interface Profile 6_238 Default Values Register" line.long 0x1C "CIDEF7_238,Interface Profile 7_238 Default Values Register" line.long 0x20 "CEDEF0_238,Interface Profile 0_238 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_238,Interface Profile 1_238 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_238,Interface Profile 2_238 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3BC00++0x2B line.long 0x00 "CIDEF0_239,Interface Profile 0_239 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_239,Interface Profile 1_239 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_239,Interface Profile 2_239 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_239,Interface Profile 3_239 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_239,Interface Profile 4_239 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_239,Interface Profile 5_239 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_239,Interface Profile 6_239 Default Values Register" line.long 0x1C "CIDEF7_239,Interface Profile 7_239 Default Values Register" line.long 0x20 "CEDEF0_239,Interface Profile 0_239 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_239,Interface Profile 1_239 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_239,Interface Profile 2_239 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3C000++0x2B line.long 0x00 "CIDEF0_240,Interface Profile 0_240 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_240,Interface Profile 1_240 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_240,Interface Profile 2_240 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_240,Interface Profile 3_240 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_240,Interface Profile 4_240 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_240,Interface Profile 5_240 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_240,Interface Profile 6_240 Default Values Register" line.long 0x1C "CIDEF7_240,Interface Profile 7_240 Default Values Register" line.long 0x20 "CEDEF0_240,Interface Profile 0_240 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_240,Interface Profile 1_240 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_240,Interface Profile 2_240 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3C400++0x2B line.long 0x00 "CIDEF0_241,Interface Profile 0_241 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_241,Interface Profile 1_241 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_241,Interface Profile 2_241 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_241,Interface Profile 3_241 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_241,Interface Profile 4_241 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_241,Interface Profile 5_241 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_241,Interface Profile 6_241 Default Values Register" line.long 0x1C "CIDEF7_241,Interface Profile 7_241 Default Values Register" line.long 0x20 "CEDEF0_241,Interface Profile 0_241 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_241,Interface Profile 1_241 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_241,Interface Profile 2_241 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3C800++0x2B line.long 0x00 "CIDEF0_242,Interface Profile 0_242 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_242,Interface Profile 1_242 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_242,Interface Profile 2_242 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_242,Interface Profile 3_242 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_242,Interface Profile 4_242 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_242,Interface Profile 5_242 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_242,Interface Profile 6_242 Default Values Register" line.long 0x1C "CIDEF7_242,Interface Profile 7_242 Default Values Register" line.long 0x20 "CEDEF0_242,Interface Profile 0_242 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_242,Interface Profile 1_242 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_242,Interface Profile 2_242 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3CC00++0x2B line.long 0x00 "CIDEF0_243,Interface Profile 0_243 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_243,Interface Profile 1_243 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_243,Interface Profile 2_243 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_243,Interface Profile 3_243 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_243,Interface Profile 4_243 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_243,Interface Profile 5_243 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_243,Interface Profile 6_243 Default Values Register" line.long 0x1C "CIDEF7_243,Interface Profile 7_243 Default Values Register" line.long 0x20 "CEDEF0_243,Interface Profile 0_243 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_243,Interface Profile 1_243 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_243,Interface Profile 2_243 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3D000++0x2B line.long 0x00 "CIDEF0_244,Interface Profile 0_244 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_244,Interface Profile 1_244 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_244,Interface Profile 2_244 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_244,Interface Profile 3_244 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_244,Interface Profile 4_244 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_244,Interface Profile 5_244 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_244,Interface Profile 6_244 Default Values Register" line.long 0x1C "CIDEF7_244,Interface Profile 7_244 Default Values Register" line.long 0x20 "CEDEF0_244,Interface Profile 0_244 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_244,Interface Profile 1_244 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_244,Interface Profile 2_244 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3D400++0x2B line.long 0x00 "CIDEF0_245,Interface Profile 0_245 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_245,Interface Profile 1_245 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_245,Interface Profile 2_245 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_245,Interface Profile 3_245 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_245,Interface Profile 4_245 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_245,Interface Profile 5_245 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_245,Interface Profile 6_245 Default Values Register" line.long 0x1C "CIDEF7_245,Interface Profile 7_245 Default Values Register" line.long 0x20 "CEDEF0_245,Interface Profile 0_245 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_245,Interface Profile 1_245 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_245,Interface Profile 2_245 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3D800++0x2B line.long 0x00 "CIDEF0_246,Interface Profile 0_246 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_246,Interface Profile 1_246 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_246,Interface Profile 2_246 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_246,Interface Profile 3_246 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_246,Interface Profile 4_246 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_246,Interface Profile 5_246 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_246,Interface Profile 6_246 Default Values Register" line.long 0x1C "CIDEF7_246,Interface Profile 7_246 Default Values Register" line.long 0x20 "CEDEF0_246,Interface Profile 0_246 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_246,Interface Profile 1_246 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_246,Interface Profile 2_246 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3DC00++0x2B line.long 0x00 "CIDEF0_247,Interface Profile 0_247 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_247,Interface Profile 1_247 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_247,Interface Profile 2_247 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_247,Interface Profile 3_247 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_247,Interface Profile 4_247 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_247,Interface Profile 5_247 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_247,Interface Profile 6_247 Default Values Register" line.long 0x1C "CIDEF7_247,Interface Profile 7_247 Default Values Register" line.long 0x20 "CEDEF0_247,Interface Profile 0_247 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_247,Interface Profile 1_247 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_247,Interface Profile 2_247 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3E000++0x2B line.long 0x00 "CIDEF0_248,Interface Profile 0_248 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_248,Interface Profile 1_248 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_248,Interface Profile 2_248 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_248,Interface Profile 3_248 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_248,Interface Profile 4_248 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_248,Interface Profile 5_248 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_248,Interface Profile 6_248 Default Values Register" line.long 0x1C "CIDEF7_248,Interface Profile 7_248 Default Values Register" line.long 0x20 "CEDEF0_248,Interface Profile 0_248 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_248,Interface Profile 1_248 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_248,Interface Profile 2_248 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3E400++0x2B line.long 0x00 "CIDEF0_249,Interface Profile 0_249 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_249,Interface Profile 1_249 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_249,Interface Profile 2_249 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_249,Interface Profile 3_249 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_249,Interface Profile 4_249 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_249,Interface Profile 5_249 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_249,Interface Profile 6_249 Default Values Register" line.long 0x1C "CIDEF7_249,Interface Profile 7_249 Default Values Register" line.long 0x20 "CEDEF0_249,Interface Profile 0_249 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_249,Interface Profile 1_249 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_249,Interface Profile 2_249 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3E800++0x2B line.long 0x00 "CIDEF0_250,Interface Profile 0_250 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_250,Interface Profile 1_250 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_250,Interface Profile 2_250 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_250,Interface Profile 3_250 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_250,Interface Profile 4_250 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_250,Interface Profile 5_250 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_250,Interface Profile 6_250 Default Values Register" line.long 0x1C "CIDEF7_250,Interface Profile 7_250 Default Values Register" line.long 0x20 "CEDEF0_250,Interface Profile 0_250 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_250,Interface Profile 1_250 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_250,Interface Profile 2_250 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3EC00++0x2B line.long 0x00 "CIDEF0_251,Interface Profile 0_251 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_251,Interface Profile 1_251 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_251,Interface Profile 2_251 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_251,Interface Profile 3_251 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_251,Interface Profile 4_251 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_251,Interface Profile 5_251 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_251,Interface Profile 6_251 Default Values Register" line.long 0x1C "CIDEF7_251,Interface Profile 7_251 Default Values Register" line.long 0x20 "CEDEF0_251,Interface Profile 0_251 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_251,Interface Profile 1_251 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_251,Interface Profile 2_251 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3F000++0x2B line.long 0x00 "CIDEF0_252,Interface Profile 0_252 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_252,Interface Profile 1_252 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_252,Interface Profile 2_252 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_252,Interface Profile 3_252 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_252,Interface Profile 4_252 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_252,Interface Profile 5_252 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_252,Interface Profile 6_252 Default Values Register" line.long 0x1C "CIDEF7_252,Interface Profile 7_252 Default Values Register" line.long 0x20 "CEDEF0_252,Interface Profile 0_252 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_252,Interface Profile 1_252 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_252,Interface Profile 2_252 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3F400++0x2B line.long 0x00 "CIDEF0_253,Interface Profile 0_253 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_253,Interface Profile 1_253 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_253,Interface Profile 2_253 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_253,Interface Profile 3_253 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_253,Interface Profile 4_253 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_253,Interface Profile 5_253 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_253,Interface Profile 6_253 Default Values Register" line.long 0x1C "CIDEF7_253,Interface Profile 7_253 Default Values Register" line.long 0x20 "CEDEF0_253,Interface Profile 0_253 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_253,Interface Profile 1_253 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_253,Interface Profile 2_253 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3F800++0x2B line.long 0x00 "CIDEF0_254,Interface Profile 0_254 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_254,Interface Profile 1_254 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_254,Interface Profile 2_254 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_254,Interface Profile 3_254 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_254,Interface Profile 4_254 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_254,Interface Profile 5_254 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_254,Interface Profile 6_254 Default Values Register" line.long 0x1C "CIDEF7_254,Interface Profile 7_254 Default Values Register" line.long 0x20 "CEDEF0_254,Interface Profile 0_254 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_254,Interface Profile 1_254 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_254,Interface Profile 2_254 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3FC00++0x2B line.long 0x00 "CIDEF0_255,Interface Profile 0_255 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_255,Interface Profile 1_255 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_255,Interface Profile 2_255 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_255,Interface Profile 3_255 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_255,Interface Profile 4_255 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_255,Interface Profile 5_255 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_255,Interface Profile 6_255 Default Values Register" line.long 0x1C "CIDEF7_255,Interface Profile 7_255 Default Values Register" line.long 0x20 "CEDEF0_255,Interface Profile 0_255 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_255,Interface Profile 1_255 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_255,Interface Profile 2_255 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" endian.le width 0x0B tree.end tree "CTLU Detailed Programming Model" base ad:0x08B80000 width 19. endian.be tree "CTLU Control Registers" group.long 0x1000++0x0B line.long 0x00 "CMODE,CTLU Mode Register" bitfld.long 0x00 0. " CINIT ,CTLU init" "Not initialized,Initialized" line.long 0x04 "CTIMESTAMP_WINDOW,CTLU Timestamp Window Register" bitfld.long 0x04 27.--31. " TWINDOW ,Timestamp window" "[32-63],[31-62],[30-61],[29-60],[28-59],[27-58],[26-57],[25-56],[24-55],[23-54],[22-53],[21-52],[20-51],[19-50],[18-49],[17-48],[16-47],[15-46],[14-45],[13-44],[12-43],[11-42],[10-41],[9-40],[8-39],[7-38],[6-37],[5-36],[4-35],[3-34],[2-33],[1-32]" line.long 0x08 "CMNLTH,CTLU Maximum Number Of Lookups Threshold" hexmask.long.byte 0x08 24.--31. 1. " MNLTH ,Maximum key composition and table lookups 'loops' threshold" group.long 0x1018++0x03 line.long 0x00 "CLLCK,CTLU Local Lock Register" bitfld.long 0x00 16. " GLFG ,Acceleration disable" "No,Yes" bitfld.long 0x00 0. " CLLCK ,CTLU memory fill margin" "Local,Non-local" rgroup.long 0x101C++0x03 line.long 0x00 "CINITDONE,CTLU Initialization Completed Register" bitfld.long 0x00 0. " CINITDONE ,CTLU initialization completed" "Not completed,Completed" tree.end width 10. tree "CTLU Memory Access Attribute Registers" group.long (0x1408+0x0)++0x03 line.long 0x00 "CTSIZE_0,Total CTLU Tables Size Register" hexmask.long 0x00 4.--31. 1. " CTSIZE ,Memory allocated for lookup tables size" rgroup.long (0x1410+0x0)++0x03 line.long 0x00 "CTNCE_0,CTLU Number Of Committed Entries In Table Register" group.long (0x1408+0x20)++0x03 line.long 0x00 "CTSIZE_1,Total CTLU Tables Size Register" hexmask.long 0x00 4.--31. 1. " CTSIZE ,Memory allocated for lookup tables size" rgroup.long (0x1410+0x20)++0x03 line.long 0x00 "CTNCE_1,CTLU Number Of Committed Entries In Table Register" rgroup.long (0x1414+0x20)++0x03 line.long 0x00 "CTNEE_1,CTLU Number Of Excess Entries In Table Register" group.long (0x1408+0x40)++0x03 line.long 0x00 "CTSIZE_2,Total CTLU Tables Size Register" hexmask.long 0x00 4.--31. 1. " CTSIZE ,Memory allocated for lookup tables size" rgroup.long (0x1410+0x40)++0x03 line.long 0x00 "CTNCE_2,CTLU Number Of Committed Entries In Table Register" rgroup.long (0x1414+0x40)++0x03 line.long 0x00 "CTNEE_2,CTLU Number Of Excess Entries In Table Register" group.long (0x1408+0x60)++0x03 line.long 0x00 "CTSIZE_3,Total CTLU Tables Size Register" hexmask.long 0x00 4.--31. 1. " CTSIZE ,Memory allocated for lookup tables size" rgroup.long (0x1410+0x60)++0x03 line.long 0x00 "CTNCE_3,CTLU Number Of Committed Entries In Table Register" rgroup.long (0x1414+0x60)++0x03 line.long 0x00 "CTNEE_3,CTLU Number Of Excess Entries In Table Register" tree.end width 13. tree "CTLU Key Composition Registers" group.long (0x2000+0x0)++0x07 line.long 0x00 "CKRHCNT_0,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_0,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x8)++0x07 line.long 0x00 "CKRHCNT_1,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_1,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x10)++0x07 line.long 0x00 "CKRHCNT_2,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_2,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x18)++0x07 line.long 0x00 "CKRHCNT_3,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_3,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x20)++0x07 line.long 0x00 "CKRHCNT_4,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_4,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x28)++0x07 line.long 0x00 "CKRHCNT_5,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_5,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x30)++0x07 line.long 0x00 "CKRHCNT_6,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_6,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x38)++0x07 line.long 0x00 "CKRHCNT_7,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_7,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x40)++0x07 line.long 0x00 "CKRHCNT_8,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_8,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x48)++0x07 line.long 0x00 "CKRHCNT_9,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_9,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x50)++0x07 line.long 0x00 "CKRHCNT_10,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_10,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x58)++0x07 line.long 0x00 "CKRHCNT_11,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_11,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x60)++0x07 line.long 0x00 "CKRHCNT_12,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_12,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x68)++0x07 line.long 0x00 "CKRHCNT_13,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_13,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x70)++0x07 line.long 0x00 "CKRHCNT_14,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_14,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x78)++0x07 line.long 0x00 "CKRHCNT_15,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_15,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x80)++0x07 line.long 0x00 "CKRHCNT_16,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_16,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x88)++0x07 line.long 0x00 "CKRHCNT_17,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_17,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x90)++0x07 line.long 0x00 "CKRHCNT_18,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_18,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x98)++0x07 line.long 0x00 "CKRHCNT_19,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_19,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xA0)++0x07 line.long 0x00 "CKRHCNT_20,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_20,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xA8)++0x07 line.long 0x00 "CKRHCNT_21,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_21,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xB0)++0x07 line.long 0x00 "CKRHCNT_22,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_22,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xB8)++0x07 line.long 0x00 "CKRHCNT_23,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_23,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xC0)++0x07 line.long 0x00 "CKRHCNT_24,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_24,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xC8)++0x07 line.long 0x00 "CKRHCNT_25,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_25,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xD0)++0x07 line.long 0x00 "CKRHCNT_26,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_26,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xD8)++0x07 line.long 0x00 "CKRHCNT_27,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_27,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xE0)++0x07 line.long 0x00 "CKRHCNT_28,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_28,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xE8)++0x07 line.long 0x00 "CKRHCNT_29,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_29,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xF0)++0x07 line.long 0x00 "CKRHCNT_30,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_30,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0xF8)++0x07 line.long 0x00 "CKRHCNT_31,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_31,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x100)++0x07 line.long 0x00 "CKRHCNT_32,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_32,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x108)++0x07 line.long 0x00 "CKRHCNT_33,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_33,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x110)++0x07 line.long 0x00 "CKRHCNT_34,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_34,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x118)++0x07 line.long 0x00 "CKRHCNT_35,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_35,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x120)++0x07 line.long 0x00 "CKRHCNT_36,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_36,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x128)++0x07 line.long 0x00 "CKRHCNT_37,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_37,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x130)++0x07 line.long 0x00 "CKRHCNT_38,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_38,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x138)++0x07 line.long 0x00 "CKRHCNT_39,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_39,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x140)++0x07 line.long 0x00 "CKRHCNT_40,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_40,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x148)++0x07 line.long 0x00 "CKRHCNT_41,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_41,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x150)++0x07 line.long 0x00 "CKRHCNT_42,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_42,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x158)++0x07 line.long 0x00 "CKRHCNT_43,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_43,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x160)++0x07 line.long 0x00 "CKRHCNT_44,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_44,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x168)++0x07 line.long 0x00 "CKRHCNT_45,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_45,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x170)++0x07 line.long 0x00 "CKRHCNT_46,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_46,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x178)++0x07 line.long 0x00 "CKRHCNT_47,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_47,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x180)++0x07 line.long 0x00 "CKRHCNT_48,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_48,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x188)++0x07 line.long 0x00 "CKRHCNT_49,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_49,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x190)++0x07 line.long 0x00 "CKRHCNT_50,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_50,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x198)++0x07 line.long 0x00 "CKRHCNT_51,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_51,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1A0)++0x07 line.long 0x00 "CKRHCNT_52,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_52,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1A8)++0x07 line.long 0x00 "CKRHCNT_53,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_53,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1B0)++0x07 line.long 0x00 "CKRHCNT_54,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_54,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1B8)++0x07 line.long 0x00 "CKRHCNT_55,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_55,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1C0)++0x07 line.long 0x00 "CKRHCNT_56,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_56,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1C8)++0x07 line.long 0x00 "CKRHCNT_57,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_57,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1D0)++0x07 line.long 0x00 "CKRHCNT_58,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_58,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1D8)++0x07 line.long 0x00 "CKRHCNT_59,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_59,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1E0)++0x07 line.long 0x00 "CKRHCNT_60,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_60,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1E8)++0x07 line.long 0x00 "CKRHCNT_61,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_61,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1F0)++0x07 line.long 0x00 "CKRHCNT_62,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_62,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x1F8)++0x07 line.long 0x00 "CKRHCNT_63,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_63,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x200)++0x07 line.long 0x00 "CKRHCNT_64,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_64,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x208)++0x07 line.long 0x00 "CKRHCNT_65,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_65,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x210)++0x07 line.long 0x00 "CKRHCNT_66,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_66,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x218)++0x07 line.long 0x00 "CKRHCNT_67,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_67,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x220)++0x07 line.long 0x00 "CKRHCNT_68,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_68,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x228)++0x07 line.long 0x00 "CKRHCNT_69,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_69,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x230)++0x07 line.long 0x00 "CKRHCNT_70,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_70,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x238)++0x07 line.long 0x00 "CKRHCNT_71,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_71,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x240)++0x07 line.long 0x00 "CKRHCNT_72,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_72,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x248)++0x07 line.long 0x00 "CKRHCNT_73,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_73,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x250)++0x07 line.long 0x00 "CKRHCNT_74,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_74,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x258)++0x07 line.long 0x00 "CKRHCNT_75,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_75,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x260)++0x07 line.long 0x00 "CKRHCNT_76,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_76,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x268)++0x07 line.long 0x00 "CKRHCNT_77,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_77,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x270)++0x07 line.long 0x00 "CKRHCNT_78,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_78,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x278)++0x07 line.long 0x00 "CKRHCNT_79,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_79,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x280)++0x07 line.long 0x00 "CKRHCNT_80,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_80,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x288)++0x07 line.long 0x00 "CKRHCNT_81,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_81,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x290)++0x07 line.long 0x00 "CKRHCNT_82,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_82,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x298)++0x07 line.long 0x00 "CKRHCNT_83,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_83,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2A0)++0x07 line.long 0x00 "CKRHCNT_84,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_84,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2A8)++0x07 line.long 0x00 "CKRHCNT_85,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_85,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2B0)++0x07 line.long 0x00 "CKRHCNT_86,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_86,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2B8)++0x07 line.long 0x00 "CKRHCNT_87,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_87,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2C0)++0x07 line.long 0x00 "CKRHCNT_88,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_88,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2C8)++0x07 line.long 0x00 "CKRHCNT_89,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_89,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2D0)++0x07 line.long 0x00 "CKRHCNT_90,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_90,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2D8)++0x07 line.long 0x00 "CKRHCNT_91,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_91,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2E0)++0x07 line.long 0x00 "CKRHCNT_92,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_92,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2E8)++0x07 line.long 0x00 "CKRHCNT_93,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_93,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2F0)++0x07 line.long 0x00 "CKRHCNT_94,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_94,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x2F8)++0x07 line.long 0x00 "CKRHCNT_95,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_95,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x300)++0x07 line.long 0x00 "CKRHCNT_96,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_96,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x308)++0x07 line.long 0x00 "CKRHCNT_97,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_97,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x310)++0x07 line.long 0x00 "CKRHCNT_98,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_98,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x318)++0x07 line.long 0x00 "CKRHCNT_99,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_99,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x320)++0x07 line.long 0x00 "CKRHCNT_100,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_100,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x328)++0x07 line.long 0x00 "CKRHCNT_101,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_101,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x330)++0x07 line.long 0x00 "CKRHCNT_102,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_102,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x338)++0x07 line.long 0x00 "CKRHCNT_103,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_103,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x340)++0x07 line.long 0x00 "CKRHCNT_104,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_104,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x348)++0x07 line.long 0x00 "CKRHCNT_105,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_105,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x350)++0x07 line.long 0x00 "CKRHCNT_106,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_106,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x358)++0x07 line.long 0x00 "CKRHCNT_107,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_107,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x360)++0x07 line.long 0x00 "CKRHCNT_108,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_108,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x368)++0x07 line.long 0x00 "CKRHCNT_109,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_109,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x370)++0x07 line.long 0x00 "CKRHCNT_110,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_110,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x378)++0x07 line.long 0x00 "CKRHCNT_111,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_111,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x380)++0x07 line.long 0x00 "CKRHCNT_112,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_112,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x388)++0x07 line.long 0x00 "CKRHCNT_113,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_113,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x390)++0x07 line.long 0x00 "CKRHCNT_114,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_114,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x398)++0x07 line.long 0x00 "CKRHCNT_115,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_115,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3A0)++0x07 line.long 0x00 "CKRHCNT_116,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_116,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3A8)++0x07 line.long 0x00 "CKRHCNT_117,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_117,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3B0)++0x07 line.long 0x00 "CKRHCNT_118,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_118,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3B8)++0x07 line.long 0x00 "CKRHCNT_119,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_119,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3C0)++0x07 line.long 0x00 "CKRHCNT_120,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_120,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3C8)++0x07 line.long 0x00 "CKRHCNT_121,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_121,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3D0)++0x07 line.long 0x00 "CKRHCNT_122,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_122,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3D8)++0x07 line.long 0x00 "CKRHCNT_123,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_123,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3E0)++0x07 line.long 0x00 "CKRHCNT_124,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_124,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3E8)++0x07 line.long 0x00 "CKRHCNT_125,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_125,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3F0)++0x07 line.long 0x00 "CKRHCNT_126,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_126,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x3F8)++0x07 line.long 0x00 "CKRHCNT_127,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_127,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x400)++0x07 line.long 0x00 "CKRHCNT_128,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_128,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x408)++0x07 line.long 0x00 "CKRHCNT_129,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_129,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x410)++0x07 line.long 0x00 "CKRHCNT_130,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_130,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x418)++0x07 line.long 0x00 "CKRHCNT_131,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_131,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x420)++0x07 line.long 0x00 "CKRHCNT_132,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_132,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x428)++0x07 line.long 0x00 "CKRHCNT_133,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_133,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x430)++0x07 line.long 0x00 "CKRHCNT_134,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_134,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x438)++0x07 line.long 0x00 "CKRHCNT_135,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_135,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x440)++0x07 line.long 0x00 "CKRHCNT_136,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_136,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x448)++0x07 line.long 0x00 "CKRHCNT_137,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_137,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x450)++0x07 line.long 0x00 "CKRHCNT_138,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_138,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x458)++0x07 line.long 0x00 "CKRHCNT_139,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_139,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x460)++0x07 line.long 0x00 "CKRHCNT_140,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_140,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x468)++0x07 line.long 0x00 "CKRHCNT_141,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_141,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x470)++0x07 line.long 0x00 "CKRHCNT_142,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_142,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x478)++0x07 line.long 0x00 "CKRHCNT_143,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_143,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x480)++0x07 line.long 0x00 "CKRHCNT_144,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_144,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x488)++0x07 line.long 0x00 "CKRHCNT_145,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_145,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x490)++0x07 line.long 0x00 "CKRHCNT_146,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_146,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x498)++0x07 line.long 0x00 "CKRHCNT_147,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_147,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4A0)++0x07 line.long 0x00 "CKRHCNT_148,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_148,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4A8)++0x07 line.long 0x00 "CKRHCNT_149,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_149,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4B0)++0x07 line.long 0x00 "CKRHCNT_150,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_150,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4B8)++0x07 line.long 0x00 "CKRHCNT_151,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_151,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4C0)++0x07 line.long 0x00 "CKRHCNT_152,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_152,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4C8)++0x07 line.long 0x00 "CKRHCNT_153,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_153,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4D0)++0x07 line.long 0x00 "CKRHCNT_154,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_154,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4D8)++0x07 line.long 0x00 "CKRHCNT_155,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_155,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4E0)++0x07 line.long 0x00 "CKRHCNT_156,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_156,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4E8)++0x07 line.long 0x00 "CKRHCNT_157,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_157,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4F0)++0x07 line.long 0x00 "CKRHCNT_158,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_158,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x4F8)++0x07 line.long 0x00 "CKRHCNT_159,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_159,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x500)++0x07 line.long 0x00 "CKRHCNT_160,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_160,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x508)++0x07 line.long 0x00 "CKRHCNT_161,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_161,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x510)++0x07 line.long 0x00 "CKRHCNT_162,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_162,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x518)++0x07 line.long 0x00 "CKRHCNT_163,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_163,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x520)++0x07 line.long 0x00 "CKRHCNT_164,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_164,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x528)++0x07 line.long 0x00 "CKRHCNT_165,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_165,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x530)++0x07 line.long 0x00 "CKRHCNT_166,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_166,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x538)++0x07 line.long 0x00 "CKRHCNT_167,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_167,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x540)++0x07 line.long 0x00 "CKRHCNT_168,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_168,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x548)++0x07 line.long 0x00 "CKRHCNT_169,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_169,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x550)++0x07 line.long 0x00 "CKRHCNT_170,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_170,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x558)++0x07 line.long 0x00 "CKRHCNT_171,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_171,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x560)++0x07 line.long 0x00 "CKRHCNT_172,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_172,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x568)++0x07 line.long 0x00 "CKRHCNT_173,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_173,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x570)++0x07 line.long 0x00 "CKRHCNT_174,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_174,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x578)++0x07 line.long 0x00 "CKRHCNT_175,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_175,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x580)++0x07 line.long 0x00 "CKRHCNT_176,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_176,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x588)++0x07 line.long 0x00 "CKRHCNT_177,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_177,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x590)++0x07 line.long 0x00 "CKRHCNT_178,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_178,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x598)++0x07 line.long 0x00 "CKRHCNT_179,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_179,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5A0)++0x07 line.long 0x00 "CKRHCNT_180,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_180,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5A8)++0x07 line.long 0x00 "CKRHCNT_181,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_181,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5B0)++0x07 line.long 0x00 "CKRHCNT_182,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_182,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5B8)++0x07 line.long 0x00 "CKRHCNT_183,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_183,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5C0)++0x07 line.long 0x00 "CKRHCNT_184,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_184,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5C8)++0x07 line.long 0x00 "CKRHCNT_185,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_185,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5D0)++0x07 line.long 0x00 "CKRHCNT_186,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_186,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5D8)++0x07 line.long 0x00 "CKRHCNT_187,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_187,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5E0)++0x07 line.long 0x00 "CKRHCNT_188,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_188,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5E8)++0x07 line.long 0x00 "CKRHCNT_189,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_189,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5F0)++0x07 line.long 0x00 "CKRHCNT_190,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_190,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x5F8)++0x07 line.long 0x00 "CKRHCNT_191,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_191,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x600)++0x07 line.long 0x00 "CKRHCNT_192,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_192,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x608)++0x07 line.long 0x00 "CKRHCNT_193,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_193,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x610)++0x07 line.long 0x00 "CKRHCNT_194,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_194,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x618)++0x07 line.long 0x00 "CKRHCNT_195,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_195,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x620)++0x07 line.long 0x00 "CKRHCNT_196,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_196,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x628)++0x07 line.long 0x00 "CKRHCNT_197,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_197,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x630)++0x07 line.long 0x00 "CKRHCNT_198,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_198,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x638)++0x07 line.long 0x00 "CKRHCNT_199,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_199,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x640)++0x07 line.long 0x00 "CKRHCNT_200,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_200,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x648)++0x07 line.long 0x00 "CKRHCNT_201,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_201,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x650)++0x07 line.long 0x00 "CKRHCNT_202,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_202,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x658)++0x07 line.long 0x00 "CKRHCNT_203,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_203,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x660)++0x07 line.long 0x00 "CKRHCNT_204,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_204,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x668)++0x07 line.long 0x00 "CKRHCNT_205,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_205,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x670)++0x07 line.long 0x00 "CKRHCNT_206,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_206,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x678)++0x07 line.long 0x00 "CKRHCNT_207,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_207,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x680)++0x07 line.long 0x00 "CKRHCNT_208,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_208,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x688)++0x07 line.long 0x00 "CKRHCNT_209,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_209,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x690)++0x07 line.long 0x00 "CKRHCNT_210,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_210,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x698)++0x07 line.long 0x00 "CKRHCNT_211,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_211,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6A0)++0x07 line.long 0x00 "CKRHCNT_212,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_212,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6A8)++0x07 line.long 0x00 "CKRHCNT_213,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_213,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6B0)++0x07 line.long 0x00 "CKRHCNT_214,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_214,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6B8)++0x07 line.long 0x00 "CKRHCNT_215,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_215,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6C0)++0x07 line.long 0x00 "CKRHCNT_216,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_216,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6C8)++0x07 line.long 0x00 "CKRHCNT_217,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_217,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6D0)++0x07 line.long 0x00 "CKRHCNT_218,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_218,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6D8)++0x07 line.long 0x00 "CKRHCNT_219,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_219,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6E0)++0x07 line.long 0x00 "CKRHCNT_220,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_220,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6E8)++0x07 line.long 0x00 "CKRHCNT_221,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_221,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6F0)++0x07 line.long 0x00 "CKRHCNT_222,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_222,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x6F8)++0x07 line.long 0x00 "CKRHCNT_223,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_223,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x700)++0x07 line.long 0x00 "CKRHCNT_224,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_224,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x708)++0x07 line.long 0x00 "CKRHCNT_225,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_225,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x710)++0x07 line.long 0x00 "CKRHCNT_226,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_226,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x718)++0x07 line.long 0x00 "CKRHCNT_227,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_227,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x720)++0x07 line.long 0x00 "CKRHCNT_228,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_228,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x728)++0x07 line.long 0x00 "CKRHCNT_229,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_229,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x730)++0x07 line.long 0x00 "CKRHCNT_230,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_230,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x738)++0x07 line.long 0x00 "CKRHCNT_231,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_231,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x740)++0x07 line.long 0x00 "CKRHCNT_232,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_232,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x748)++0x07 line.long 0x00 "CKRHCNT_233,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_233,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x750)++0x07 line.long 0x00 "CKRHCNT_234,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_234,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x758)++0x07 line.long 0x00 "CKRHCNT_235,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_235,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x760)++0x07 line.long 0x00 "CKRHCNT_236,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_236,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x768)++0x07 line.long 0x00 "CKRHCNT_237,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_237,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x770)++0x07 line.long 0x00 "CKRHCNT_238,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_238,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x778)++0x07 line.long 0x00 "CKRHCNT_239,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_239,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x780)++0x07 line.long 0x00 "CKRHCNT_240,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_240,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x788)++0x07 line.long 0x00 "CKRHCNT_241,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_241,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x790)++0x07 line.long 0x00 "CKRHCNT_242,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_242,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x798)++0x07 line.long 0x00 "CKRHCNT_243,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_243,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7A0)++0x07 line.long 0x00 "CKRHCNT_244,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_244,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7A8)++0x07 line.long 0x00 "CKRHCNT_245,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_245,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7B0)++0x07 line.long 0x00 "CKRHCNT_246,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_246,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7B8)++0x07 line.long 0x00 "CKRHCNT_247,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_247,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7C0)++0x07 line.long 0x00 "CKRHCNT_248,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_248,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7C8)++0x07 line.long 0x00 "CKRHCNT_249,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_249,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7D0)++0x07 line.long 0x00 "CKRHCNT_250,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_250,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7D8)++0x07 line.long 0x00 "CKRHCNT_251,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_251,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7E0)++0x07 line.long 0x00 "CKRHCNT_252,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_252,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7E8)++0x07 line.long 0x00 "CKRHCNT_253,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_253,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7F0)++0x07 line.long 0x00 "CKRHCNT_254,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_254,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x7F8)++0x07 line.long 0x00 "CKRHCNT_255,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_255,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" tree.end tree "Policer Detailed Programming Model" group.long 0x1C00++0x03 line.long 0x00 "CPLDROP0,Packet Counter Register 0" group.long 0x1C04++0x03 line.long 0x00 "CPLDROP1,Packet Counter Register 1" group.long 0x1C08++0x03 line.long 0x00 "CPLDROP2,Packet Counter Register 2" group.long 0x1C0C++0x03 line.long 0x00 "CPLDROP3,Packet Counter Register 3" group.long 0x1C10++0x03 line.long 0x00 "CPLDROP4,Packet Counter Register 4" group.long 0x1C14++0x03 line.long 0x00 "CPLDROP5,Packet Counter Register 5" group.long 0x1C18++0x03 line.long 0x00 "CPLDROP6,Packet Counter Register 6" group.long 0x1C1C++0x03 line.long 0x00 "CPLDROP7,Packet Counter Register 7" tree.end endian.le width 0x0B tree.end tree.end tree "Egress" tree "WRIOP CTLU Interface Profile Record Detailed Description" base ad:0x08B84000 width 12. endian.be group.long 0x0++0x2B line.long 0x00 "CIDEF0_0 ,Interface Profile 0_0 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_0 ,Interface Profile 1_0 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_0 ,Interface Profile 2_0 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_0 ,Interface Profile 3_0 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_0 ,Interface Profile 4_0 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_0 ,Interface Profile 5_0 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_0 ,Interface Profile 6_0 Default Values Register" line.long 0x1C "CIDEF7_0 ,Interface Profile 7_0 Default Values Register" line.long 0x20 "CEDEF0_0 ,Interface Profile 0_0 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_0 ,Interface Profile 1_0 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_0 ,Interface Profile 2_0 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x400++0x2B line.long 0x00 "CIDEF0_1 ,Interface Profile 0_1 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_1 ,Interface Profile 1_1 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_1 ,Interface Profile 2_1 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_1 ,Interface Profile 3_1 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_1 ,Interface Profile 4_1 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_1 ,Interface Profile 5_1 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_1 ,Interface Profile 6_1 Default Values Register" line.long 0x1C "CIDEF7_1 ,Interface Profile 7_1 Default Values Register" line.long 0x20 "CEDEF0_1 ,Interface Profile 0_1 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_1 ,Interface Profile 1_1 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_1 ,Interface Profile 2_1 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x800++0x2B line.long 0x00 "CIDEF0_2 ,Interface Profile 0_2 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_2 ,Interface Profile 1_2 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_2 ,Interface Profile 2_2 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_2 ,Interface Profile 3_2 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_2 ,Interface Profile 4_2 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_2 ,Interface Profile 5_2 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_2 ,Interface Profile 6_2 Default Values Register" line.long 0x1C "CIDEF7_2 ,Interface Profile 7_2 Default Values Register" line.long 0x20 "CEDEF0_2 ,Interface Profile 0_2 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_2 ,Interface Profile 1_2 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_2 ,Interface Profile 2_2 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xC00++0x2B line.long 0x00 "CIDEF0_3 ,Interface Profile 0_3 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_3 ,Interface Profile 1_3 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_3 ,Interface Profile 2_3 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_3 ,Interface Profile 3_3 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_3 ,Interface Profile 4_3 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_3 ,Interface Profile 5_3 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_3 ,Interface Profile 6_3 Default Values Register" line.long 0x1C "CIDEF7_3 ,Interface Profile 7_3 Default Values Register" line.long 0x20 "CEDEF0_3 ,Interface Profile 0_3 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_3 ,Interface Profile 1_3 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_3 ,Interface Profile 2_3 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1000++0x2B line.long 0x00 "CIDEF0_4 ,Interface Profile 0_4 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_4 ,Interface Profile 1_4 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_4 ,Interface Profile 2_4 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_4 ,Interface Profile 3_4 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_4 ,Interface Profile 4_4 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_4 ,Interface Profile 5_4 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_4 ,Interface Profile 6_4 Default Values Register" line.long 0x1C "CIDEF7_4 ,Interface Profile 7_4 Default Values Register" line.long 0x20 "CEDEF0_4 ,Interface Profile 0_4 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_4 ,Interface Profile 1_4 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_4 ,Interface Profile 2_4 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1400++0x2B line.long 0x00 "CIDEF0_5 ,Interface Profile 0_5 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_5 ,Interface Profile 1_5 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_5 ,Interface Profile 2_5 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_5 ,Interface Profile 3_5 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_5 ,Interface Profile 4_5 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_5 ,Interface Profile 5_5 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_5 ,Interface Profile 6_5 Default Values Register" line.long 0x1C "CIDEF7_5 ,Interface Profile 7_5 Default Values Register" line.long 0x20 "CEDEF0_5 ,Interface Profile 0_5 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_5 ,Interface Profile 1_5 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_5 ,Interface Profile 2_5 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1800++0x2B line.long 0x00 "CIDEF0_6 ,Interface Profile 0_6 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_6 ,Interface Profile 1_6 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_6 ,Interface Profile 2_6 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_6 ,Interface Profile 3_6 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_6 ,Interface Profile 4_6 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_6 ,Interface Profile 5_6 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_6 ,Interface Profile 6_6 Default Values Register" line.long 0x1C "CIDEF7_6 ,Interface Profile 7_6 Default Values Register" line.long 0x20 "CEDEF0_6 ,Interface Profile 0_6 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_6 ,Interface Profile 1_6 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_6 ,Interface Profile 2_6 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1C00++0x2B line.long 0x00 "CIDEF0_7 ,Interface Profile 0_7 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_7 ,Interface Profile 1_7 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_7 ,Interface Profile 2_7 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_7 ,Interface Profile 3_7 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_7 ,Interface Profile 4_7 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_7 ,Interface Profile 5_7 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_7 ,Interface Profile 6_7 Default Values Register" line.long 0x1C "CIDEF7_7 ,Interface Profile 7_7 Default Values Register" line.long 0x20 "CEDEF0_7 ,Interface Profile 0_7 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_7 ,Interface Profile 1_7 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_7 ,Interface Profile 2_7 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2000++0x2B line.long 0x00 "CIDEF0_8 ,Interface Profile 0_8 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_8 ,Interface Profile 1_8 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_8 ,Interface Profile 2_8 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_8 ,Interface Profile 3_8 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_8 ,Interface Profile 4_8 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_8 ,Interface Profile 5_8 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_8 ,Interface Profile 6_8 Default Values Register" line.long 0x1C "CIDEF7_8 ,Interface Profile 7_8 Default Values Register" line.long 0x20 "CEDEF0_8 ,Interface Profile 0_8 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_8 ,Interface Profile 1_8 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_8 ,Interface Profile 2_8 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2400++0x2B line.long 0x00 "CIDEF0_9 ,Interface Profile 0_9 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_9 ,Interface Profile 1_9 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_9 ,Interface Profile 2_9 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_9 ,Interface Profile 3_9 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_9 ,Interface Profile 4_9 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_9 ,Interface Profile 5_9 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_9 ,Interface Profile 6_9 Default Values Register" line.long 0x1C "CIDEF7_9 ,Interface Profile 7_9 Default Values Register" line.long 0x20 "CEDEF0_9 ,Interface Profile 0_9 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_9 ,Interface Profile 1_9 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_9 ,Interface Profile 2_9 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2800++0x2B line.long 0x00 "CIDEF0_10 ,Interface Profile 0_10 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_10 ,Interface Profile 1_10 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_10 ,Interface Profile 2_10 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_10 ,Interface Profile 3_10 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_10 ,Interface Profile 4_10 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_10 ,Interface Profile 5_10 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_10 ,Interface Profile 6_10 Default Values Register" line.long 0x1C "CIDEF7_10 ,Interface Profile 7_10 Default Values Register" line.long 0x20 "CEDEF0_10 ,Interface Profile 0_10 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_10 ,Interface Profile 1_10 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_10 ,Interface Profile 2_10 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2C00++0x2B line.long 0x00 "CIDEF0_11 ,Interface Profile 0_11 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_11 ,Interface Profile 1_11 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_11 ,Interface Profile 2_11 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_11 ,Interface Profile 3_11 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_11 ,Interface Profile 4_11 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_11 ,Interface Profile 5_11 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_11 ,Interface Profile 6_11 Default Values Register" line.long 0x1C "CIDEF7_11 ,Interface Profile 7_11 Default Values Register" line.long 0x20 "CEDEF0_11 ,Interface Profile 0_11 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_11 ,Interface Profile 1_11 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_11 ,Interface Profile 2_11 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3000++0x2B line.long 0x00 "CIDEF0_12 ,Interface Profile 0_12 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_12 ,Interface Profile 1_12 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_12 ,Interface Profile 2_12 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_12 ,Interface Profile 3_12 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_12 ,Interface Profile 4_12 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_12 ,Interface Profile 5_12 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_12 ,Interface Profile 6_12 Default Values Register" line.long 0x1C "CIDEF7_12 ,Interface Profile 7_12 Default Values Register" line.long 0x20 "CEDEF0_12 ,Interface Profile 0_12 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_12 ,Interface Profile 1_12 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_12 ,Interface Profile 2_12 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3400++0x2B line.long 0x00 "CIDEF0_13 ,Interface Profile 0_13 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_13 ,Interface Profile 1_13 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_13 ,Interface Profile 2_13 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_13 ,Interface Profile 3_13 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_13 ,Interface Profile 4_13 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_13 ,Interface Profile 5_13 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_13 ,Interface Profile 6_13 Default Values Register" line.long 0x1C "CIDEF7_13 ,Interface Profile 7_13 Default Values Register" line.long 0x20 "CEDEF0_13 ,Interface Profile 0_13 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_13 ,Interface Profile 1_13 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_13 ,Interface Profile 2_13 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3800++0x2B line.long 0x00 "CIDEF0_14 ,Interface Profile 0_14 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_14 ,Interface Profile 1_14 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_14 ,Interface Profile 2_14 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_14 ,Interface Profile 3_14 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_14 ,Interface Profile 4_14 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_14 ,Interface Profile 5_14 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_14 ,Interface Profile 6_14 Default Values Register" line.long 0x1C "CIDEF7_14 ,Interface Profile 7_14 Default Values Register" line.long 0x20 "CEDEF0_14 ,Interface Profile 0_14 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_14 ,Interface Profile 1_14 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_14 ,Interface Profile 2_14 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3C00++0x2B line.long 0x00 "CIDEF0_15 ,Interface Profile 0_15 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_15 ,Interface Profile 1_15 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_15 ,Interface Profile 2_15 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_15 ,Interface Profile 3_15 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_15 ,Interface Profile 4_15 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_15 ,Interface Profile 5_15 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_15 ,Interface Profile 6_15 Default Values Register" line.long 0x1C "CIDEF7_15 ,Interface Profile 7_15 Default Values Register" line.long 0x20 "CEDEF0_15 ,Interface Profile 0_15 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_15 ,Interface Profile 1_15 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_15 ,Interface Profile 2_15 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x4000++0x2B line.long 0x00 "CIDEF0_16 ,Interface Profile 0_16 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_16 ,Interface Profile 1_16 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_16 ,Interface Profile 2_16 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_16 ,Interface Profile 3_16 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_16 ,Interface Profile 4_16 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_16 ,Interface Profile 5_16 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_16 ,Interface Profile 6_16 Default Values Register" line.long 0x1C "CIDEF7_16 ,Interface Profile 7_16 Default Values Register" line.long 0x20 "CEDEF0_16 ,Interface Profile 0_16 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_16 ,Interface Profile 1_16 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_16 ,Interface Profile 2_16 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x4400++0x2B line.long 0x00 "CIDEF0_17 ,Interface Profile 0_17 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_17 ,Interface Profile 1_17 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_17 ,Interface Profile 2_17 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_17 ,Interface Profile 3_17 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_17 ,Interface Profile 4_17 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_17 ,Interface Profile 5_17 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_17 ,Interface Profile 6_17 Default Values Register" line.long 0x1C "CIDEF7_17 ,Interface Profile 7_17 Default Values Register" line.long 0x20 "CEDEF0_17 ,Interface Profile 0_17 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_17 ,Interface Profile 1_17 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_17 ,Interface Profile 2_17 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x4800++0x2B line.long 0x00 "CIDEF0_18 ,Interface Profile 0_18 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_18 ,Interface Profile 1_18 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_18 ,Interface Profile 2_18 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_18 ,Interface Profile 3_18 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_18 ,Interface Profile 4_18 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_18 ,Interface Profile 5_18 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_18 ,Interface Profile 6_18 Default Values Register" line.long 0x1C "CIDEF7_18 ,Interface Profile 7_18 Default Values Register" line.long 0x20 "CEDEF0_18 ,Interface Profile 0_18 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_18 ,Interface Profile 1_18 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_18 ,Interface Profile 2_18 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x4C00++0x2B line.long 0x00 "CIDEF0_19 ,Interface Profile 0_19 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_19 ,Interface Profile 1_19 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_19 ,Interface Profile 2_19 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_19 ,Interface Profile 3_19 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_19 ,Interface Profile 4_19 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_19 ,Interface Profile 5_19 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_19 ,Interface Profile 6_19 Default Values Register" line.long 0x1C "CIDEF7_19 ,Interface Profile 7_19 Default Values Register" line.long 0x20 "CEDEF0_19 ,Interface Profile 0_19 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_19 ,Interface Profile 1_19 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_19 ,Interface Profile 2_19 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x5000++0x2B line.long 0x00 "CIDEF0_20 ,Interface Profile 0_20 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_20 ,Interface Profile 1_20 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_20 ,Interface Profile 2_20 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_20 ,Interface Profile 3_20 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_20 ,Interface Profile 4_20 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_20 ,Interface Profile 5_20 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_20 ,Interface Profile 6_20 Default Values Register" line.long 0x1C "CIDEF7_20 ,Interface Profile 7_20 Default Values Register" line.long 0x20 "CEDEF0_20 ,Interface Profile 0_20 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_20 ,Interface Profile 1_20 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_20 ,Interface Profile 2_20 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x5400++0x2B line.long 0x00 "CIDEF0_21 ,Interface Profile 0_21 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_21 ,Interface Profile 1_21 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_21 ,Interface Profile 2_21 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_21 ,Interface Profile 3_21 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_21 ,Interface Profile 4_21 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_21 ,Interface Profile 5_21 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_21 ,Interface Profile 6_21 Default Values Register" line.long 0x1C "CIDEF7_21 ,Interface Profile 7_21 Default Values Register" line.long 0x20 "CEDEF0_21 ,Interface Profile 0_21 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_21 ,Interface Profile 1_21 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_21 ,Interface Profile 2_21 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x5800++0x2B line.long 0x00 "CIDEF0_22 ,Interface Profile 0_22 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_22 ,Interface Profile 1_22 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_22 ,Interface Profile 2_22 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_22 ,Interface Profile 3_22 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_22 ,Interface Profile 4_22 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_22 ,Interface Profile 5_22 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_22 ,Interface Profile 6_22 Default Values Register" line.long 0x1C "CIDEF7_22 ,Interface Profile 7_22 Default Values Register" line.long 0x20 "CEDEF0_22 ,Interface Profile 0_22 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_22 ,Interface Profile 1_22 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_22 ,Interface Profile 2_22 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x5C00++0x2B line.long 0x00 "CIDEF0_23 ,Interface Profile 0_23 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_23 ,Interface Profile 1_23 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_23 ,Interface Profile 2_23 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_23 ,Interface Profile 3_23 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_23 ,Interface Profile 4_23 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_23 ,Interface Profile 5_23 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_23 ,Interface Profile 6_23 Default Values Register" line.long 0x1C "CIDEF7_23 ,Interface Profile 7_23 Default Values Register" line.long 0x20 "CEDEF0_23 ,Interface Profile 0_23 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_23 ,Interface Profile 1_23 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_23 ,Interface Profile 2_23 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x6000++0x2B line.long 0x00 "CIDEF0_24 ,Interface Profile 0_24 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_24 ,Interface Profile 1_24 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_24 ,Interface Profile 2_24 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_24 ,Interface Profile 3_24 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_24 ,Interface Profile 4_24 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_24 ,Interface Profile 5_24 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_24 ,Interface Profile 6_24 Default Values Register" line.long 0x1C "CIDEF7_24 ,Interface Profile 7_24 Default Values Register" line.long 0x20 "CEDEF0_24 ,Interface Profile 0_24 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_24 ,Interface Profile 1_24 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_24 ,Interface Profile 2_24 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x6400++0x2B line.long 0x00 "CIDEF0_25 ,Interface Profile 0_25 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_25 ,Interface Profile 1_25 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_25 ,Interface Profile 2_25 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_25 ,Interface Profile 3_25 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_25 ,Interface Profile 4_25 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_25 ,Interface Profile 5_25 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_25 ,Interface Profile 6_25 Default Values Register" line.long 0x1C "CIDEF7_25 ,Interface Profile 7_25 Default Values Register" line.long 0x20 "CEDEF0_25 ,Interface Profile 0_25 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_25 ,Interface Profile 1_25 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_25 ,Interface Profile 2_25 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x6800++0x2B line.long 0x00 "CIDEF0_26 ,Interface Profile 0_26 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_26 ,Interface Profile 1_26 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_26 ,Interface Profile 2_26 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_26 ,Interface Profile 3_26 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_26 ,Interface Profile 4_26 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_26 ,Interface Profile 5_26 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_26 ,Interface Profile 6_26 Default Values Register" line.long 0x1C "CIDEF7_26 ,Interface Profile 7_26 Default Values Register" line.long 0x20 "CEDEF0_26 ,Interface Profile 0_26 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_26 ,Interface Profile 1_26 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_26 ,Interface Profile 2_26 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x6C00++0x2B line.long 0x00 "CIDEF0_27 ,Interface Profile 0_27 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_27 ,Interface Profile 1_27 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_27 ,Interface Profile 2_27 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_27 ,Interface Profile 3_27 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_27 ,Interface Profile 4_27 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_27 ,Interface Profile 5_27 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_27 ,Interface Profile 6_27 Default Values Register" line.long 0x1C "CIDEF7_27 ,Interface Profile 7_27 Default Values Register" line.long 0x20 "CEDEF0_27 ,Interface Profile 0_27 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_27 ,Interface Profile 1_27 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_27 ,Interface Profile 2_27 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x7000++0x2B line.long 0x00 "CIDEF0_28 ,Interface Profile 0_28 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_28 ,Interface Profile 1_28 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_28 ,Interface Profile 2_28 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_28 ,Interface Profile 3_28 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_28 ,Interface Profile 4_28 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_28 ,Interface Profile 5_28 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_28 ,Interface Profile 6_28 Default Values Register" line.long 0x1C "CIDEF7_28 ,Interface Profile 7_28 Default Values Register" line.long 0x20 "CEDEF0_28 ,Interface Profile 0_28 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_28 ,Interface Profile 1_28 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_28 ,Interface Profile 2_28 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x7400++0x2B line.long 0x00 "CIDEF0_29 ,Interface Profile 0_29 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_29 ,Interface Profile 1_29 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_29 ,Interface Profile 2_29 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_29 ,Interface Profile 3_29 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_29 ,Interface Profile 4_29 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_29 ,Interface Profile 5_29 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_29 ,Interface Profile 6_29 Default Values Register" line.long 0x1C "CIDEF7_29 ,Interface Profile 7_29 Default Values Register" line.long 0x20 "CEDEF0_29 ,Interface Profile 0_29 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_29 ,Interface Profile 1_29 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_29 ,Interface Profile 2_29 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x7800++0x2B line.long 0x00 "CIDEF0_30 ,Interface Profile 0_30 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_30 ,Interface Profile 1_30 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_30 ,Interface Profile 2_30 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_30 ,Interface Profile 3_30 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_30 ,Interface Profile 4_30 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_30 ,Interface Profile 5_30 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_30 ,Interface Profile 6_30 Default Values Register" line.long 0x1C "CIDEF7_30 ,Interface Profile 7_30 Default Values Register" line.long 0x20 "CEDEF0_30 ,Interface Profile 0_30 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_30 ,Interface Profile 1_30 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_30 ,Interface Profile 2_30 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x7C00++0x2B line.long 0x00 "CIDEF0_31 ,Interface Profile 0_31 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_31 ,Interface Profile 1_31 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_31 ,Interface Profile 2_31 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_31 ,Interface Profile 3_31 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_31 ,Interface Profile 4_31 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_31 ,Interface Profile 5_31 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_31 ,Interface Profile 6_31 Default Values Register" line.long 0x1C "CIDEF7_31 ,Interface Profile 7_31 Default Values Register" line.long 0x20 "CEDEF0_31 ,Interface Profile 0_31 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_31 ,Interface Profile 1_31 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_31 ,Interface Profile 2_31 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x8000++0x2B line.long 0x00 "CIDEF0_32 ,Interface Profile 0_32 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_32 ,Interface Profile 1_32 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_32 ,Interface Profile 2_32 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_32 ,Interface Profile 3_32 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_32 ,Interface Profile 4_32 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_32 ,Interface Profile 5_32 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_32 ,Interface Profile 6_32 Default Values Register" line.long 0x1C "CIDEF7_32 ,Interface Profile 7_32 Default Values Register" line.long 0x20 "CEDEF0_32 ,Interface Profile 0_32 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_32 ,Interface Profile 1_32 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_32 ,Interface Profile 2_32 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x8400++0x2B line.long 0x00 "CIDEF0_33 ,Interface Profile 0_33 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_33 ,Interface Profile 1_33 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_33 ,Interface Profile 2_33 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_33 ,Interface Profile 3_33 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_33 ,Interface Profile 4_33 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_33 ,Interface Profile 5_33 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_33 ,Interface Profile 6_33 Default Values Register" line.long 0x1C "CIDEF7_33 ,Interface Profile 7_33 Default Values Register" line.long 0x20 "CEDEF0_33 ,Interface Profile 0_33 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_33 ,Interface Profile 1_33 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_33 ,Interface Profile 2_33 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x8800++0x2B line.long 0x00 "CIDEF0_34 ,Interface Profile 0_34 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_34 ,Interface Profile 1_34 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_34 ,Interface Profile 2_34 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_34 ,Interface Profile 3_34 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_34 ,Interface Profile 4_34 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_34 ,Interface Profile 5_34 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_34 ,Interface Profile 6_34 Default Values Register" line.long 0x1C "CIDEF7_34 ,Interface Profile 7_34 Default Values Register" line.long 0x20 "CEDEF0_34 ,Interface Profile 0_34 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_34 ,Interface Profile 1_34 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_34 ,Interface Profile 2_34 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x8C00++0x2B line.long 0x00 "CIDEF0_35 ,Interface Profile 0_35 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_35 ,Interface Profile 1_35 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_35 ,Interface Profile 2_35 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_35 ,Interface Profile 3_35 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_35 ,Interface Profile 4_35 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_35 ,Interface Profile 5_35 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_35 ,Interface Profile 6_35 Default Values Register" line.long 0x1C "CIDEF7_35 ,Interface Profile 7_35 Default Values Register" line.long 0x20 "CEDEF0_35 ,Interface Profile 0_35 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_35 ,Interface Profile 1_35 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_35 ,Interface Profile 2_35 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x9000++0x2B line.long 0x00 "CIDEF0_36 ,Interface Profile 0_36 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_36 ,Interface Profile 1_36 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_36 ,Interface Profile 2_36 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_36 ,Interface Profile 3_36 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_36 ,Interface Profile 4_36 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_36 ,Interface Profile 5_36 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_36 ,Interface Profile 6_36 Default Values Register" line.long 0x1C "CIDEF7_36 ,Interface Profile 7_36 Default Values Register" line.long 0x20 "CEDEF0_36 ,Interface Profile 0_36 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_36 ,Interface Profile 1_36 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_36 ,Interface Profile 2_36 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x9400++0x2B line.long 0x00 "CIDEF0_37 ,Interface Profile 0_37 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_37 ,Interface Profile 1_37 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_37 ,Interface Profile 2_37 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_37 ,Interface Profile 3_37 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_37 ,Interface Profile 4_37 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_37 ,Interface Profile 5_37 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_37 ,Interface Profile 6_37 Default Values Register" line.long 0x1C "CIDEF7_37 ,Interface Profile 7_37 Default Values Register" line.long 0x20 "CEDEF0_37 ,Interface Profile 0_37 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_37 ,Interface Profile 1_37 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_37 ,Interface Profile 2_37 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x9800++0x2B line.long 0x00 "CIDEF0_38 ,Interface Profile 0_38 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_38 ,Interface Profile 1_38 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_38 ,Interface Profile 2_38 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_38 ,Interface Profile 3_38 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_38 ,Interface Profile 4_38 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_38 ,Interface Profile 5_38 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_38 ,Interface Profile 6_38 Default Values Register" line.long 0x1C "CIDEF7_38 ,Interface Profile 7_38 Default Values Register" line.long 0x20 "CEDEF0_38 ,Interface Profile 0_38 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_38 ,Interface Profile 1_38 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_38 ,Interface Profile 2_38 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x9C00++0x2B line.long 0x00 "CIDEF0_39 ,Interface Profile 0_39 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_39 ,Interface Profile 1_39 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_39 ,Interface Profile 2_39 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_39 ,Interface Profile 3_39 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_39 ,Interface Profile 4_39 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_39 ,Interface Profile 5_39 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_39 ,Interface Profile 6_39 Default Values Register" line.long 0x1C "CIDEF7_39 ,Interface Profile 7_39 Default Values Register" line.long 0x20 "CEDEF0_39 ,Interface Profile 0_39 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_39 ,Interface Profile 1_39 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_39 ,Interface Profile 2_39 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xA000++0x2B line.long 0x00 "CIDEF0_40 ,Interface Profile 0_40 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_40 ,Interface Profile 1_40 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_40 ,Interface Profile 2_40 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_40 ,Interface Profile 3_40 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_40 ,Interface Profile 4_40 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_40 ,Interface Profile 5_40 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_40 ,Interface Profile 6_40 Default Values Register" line.long 0x1C "CIDEF7_40 ,Interface Profile 7_40 Default Values Register" line.long 0x20 "CEDEF0_40 ,Interface Profile 0_40 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_40 ,Interface Profile 1_40 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_40 ,Interface Profile 2_40 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xA400++0x2B line.long 0x00 "CIDEF0_41 ,Interface Profile 0_41 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_41 ,Interface Profile 1_41 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_41 ,Interface Profile 2_41 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_41 ,Interface Profile 3_41 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_41 ,Interface Profile 4_41 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_41 ,Interface Profile 5_41 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_41 ,Interface Profile 6_41 Default Values Register" line.long 0x1C "CIDEF7_41 ,Interface Profile 7_41 Default Values Register" line.long 0x20 "CEDEF0_41 ,Interface Profile 0_41 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_41 ,Interface Profile 1_41 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_41 ,Interface Profile 2_41 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xA800++0x2B line.long 0x00 "CIDEF0_42 ,Interface Profile 0_42 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_42 ,Interface Profile 1_42 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_42 ,Interface Profile 2_42 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_42 ,Interface Profile 3_42 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_42 ,Interface Profile 4_42 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_42 ,Interface Profile 5_42 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_42 ,Interface Profile 6_42 Default Values Register" line.long 0x1C "CIDEF7_42 ,Interface Profile 7_42 Default Values Register" line.long 0x20 "CEDEF0_42 ,Interface Profile 0_42 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_42 ,Interface Profile 1_42 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_42 ,Interface Profile 2_42 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xAC00++0x2B line.long 0x00 "CIDEF0_43 ,Interface Profile 0_43 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_43 ,Interface Profile 1_43 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_43 ,Interface Profile 2_43 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_43 ,Interface Profile 3_43 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_43 ,Interface Profile 4_43 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_43 ,Interface Profile 5_43 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_43 ,Interface Profile 6_43 Default Values Register" line.long 0x1C "CIDEF7_43 ,Interface Profile 7_43 Default Values Register" line.long 0x20 "CEDEF0_43 ,Interface Profile 0_43 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_43 ,Interface Profile 1_43 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_43 ,Interface Profile 2_43 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xB000++0x2B line.long 0x00 "CIDEF0_44 ,Interface Profile 0_44 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_44 ,Interface Profile 1_44 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_44 ,Interface Profile 2_44 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_44 ,Interface Profile 3_44 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_44 ,Interface Profile 4_44 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_44 ,Interface Profile 5_44 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_44 ,Interface Profile 6_44 Default Values Register" line.long 0x1C "CIDEF7_44 ,Interface Profile 7_44 Default Values Register" line.long 0x20 "CEDEF0_44 ,Interface Profile 0_44 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_44 ,Interface Profile 1_44 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_44 ,Interface Profile 2_44 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xB400++0x2B line.long 0x00 "CIDEF0_45 ,Interface Profile 0_45 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_45 ,Interface Profile 1_45 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_45 ,Interface Profile 2_45 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_45 ,Interface Profile 3_45 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_45 ,Interface Profile 4_45 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_45 ,Interface Profile 5_45 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_45 ,Interface Profile 6_45 Default Values Register" line.long 0x1C "CIDEF7_45 ,Interface Profile 7_45 Default Values Register" line.long 0x20 "CEDEF0_45 ,Interface Profile 0_45 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_45 ,Interface Profile 1_45 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_45 ,Interface Profile 2_45 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xB800++0x2B line.long 0x00 "CIDEF0_46 ,Interface Profile 0_46 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_46 ,Interface Profile 1_46 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_46 ,Interface Profile 2_46 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_46 ,Interface Profile 3_46 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_46 ,Interface Profile 4_46 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_46 ,Interface Profile 5_46 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_46 ,Interface Profile 6_46 Default Values Register" line.long 0x1C "CIDEF7_46 ,Interface Profile 7_46 Default Values Register" line.long 0x20 "CEDEF0_46 ,Interface Profile 0_46 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_46 ,Interface Profile 1_46 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_46 ,Interface Profile 2_46 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xBC00++0x2B line.long 0x00 "CIDEF0_47 ,Interface Profile 0_47 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_47 ,Interface Profile 1_47 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_47 ,Interface Profile 2_47 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_47 ,Interface Profile 3_47 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_47 ,Interface Profile 4_47 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_47 ,Interface Profile 5_47 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_47 ,Interface Profile 6_47 Default Values Register" line.long 0x1C "CIDEF7_47 ,Interface Profile 7_47 Default Values Register" line.long 0x20 "CEDEF0_47 ,Interface Profile 0_47 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_47 ,Interface Profile 1_47 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_47 ,Interface Profile 2_47 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xC000++0x2B line.long 0x00 "CIDEF0_48 ,Interface Profile 0_48 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_48 ,Interface Profile 1_48 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_48 ,Interface Profile 2_48 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_48 ,Interface Profile 3_48 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_48 ,Interface Profile 4_48 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_48 ,Interface Profile 5_48 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_48 ,Interface Profile 6_48 Default Values Register" line.long 0x1C "CIDEF7_48 ,Interface Profile 7_48 Default Values Register" line.long 0x20 "CEDEF0_48 ,Interface Profile 0_48 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_48 ,Interface Profile 1_48 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_48 ,Interface Profile 2_48 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xC400++0x2B line.long 0x00 "CIDEF0_49 ,Interface Profile 0_49 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_49 ,Interface Profile 1_49 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_49 ,Interface Profile 2_49 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_49 ,Interface Profile 3_49 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_49 ,Interface Profile 4_49 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_49 ,Interface Profile 5_49 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_49 ,Interface Profile 6_49 Default Values Register" line.long 0x1C "CIDEF7_49 ,Interface Profile 7_49 Default Values Register" line.long 0x20 "CEDEF0_49 ,Interface Profile 0_49 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_49 ,Interface Profile 1_49 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_49 ,Interface Profile 2_49 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xC800++0x2B line.long 0x00 "CIDEF0_50 ,Interface Profile 0_50 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_50 ,Interface Profile 1_50 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_50 ,Interface Profile 2_50 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_50 ,Interface Profile 3_50 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_50 ,Interface Profile 4_50 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_50 ,Interface Profile 5_50 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_50 ,Interface Profile 6_50 Default Values Register" line.long 0x1C "CIDEF7_50 ,Interface Profile 7_50 Default Values Register" line.long 0x20 "CEDEF0_50 ,Interface Profile 0_50 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_50 ,Interface Profile 1_50 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_50 ,Interface Profile 2_50 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xCC00++0x2B line.long 0x00 "CIDEF0_51 ,Interface Profile 0_51 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_51 ,Interface Profile 1_51 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_51 ,Interface Profile 2_51 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_51 ,Interface Profile 3_51 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_51 ,Interface Profile 4_51 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_51 ,Interface Profile 5_51 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_51 ,Interface Profile 6_51 Default Values Register" line.long 0x1C "CIDEF7_51 ,Interface Profile 7_51 Default Values Register" line.long 0x20 "CEDEF0_51 ,Interface Profile 0_51 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_51 ,Interface Profile 1_51 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_51 ,Interface Profile 2_51 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xD000++0x2B line.long 0x00 "CIDEF0_52 ,Interface Profile 0_52 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_52 ,Interface Profile 1_52 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_52 ,Interface Profile 2_52 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_52 ,Interface Profile 3_52 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_52 ,Interface Profile 4_52 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_52 ,Interface Profile 5_52 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_52 ,Interface Profile 6_52 Default Values Register" line.long 0x1C "CIDEF7_52 ,Interface Profile 7_52 Default Values Register" line.long 0x20 "CEDEF0_52 ,Interface Profile 0_52 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_52 ,Interface Profile 1_52 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_52 ,Interface Profile 2_52 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xD400++0x2B line.long 0x00 "CIDEF0_53 ,Interface Profile 0_53 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_53 ,Interface Profile 1_53 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_53 ,Interface Profile 2_53 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_53 ,Interface Profile 3_53 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_53 ,Interface Profile 4_53 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_53 ,Interface Profile 5_53 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_53 ,Interface Profile 6_53 Default Values Register" line.long 0x1C "CIDEF7_53 ,Interface Profile 7_53 Default Values Register" line.long 0x20 "CEDEF0_53 ,Interface Profile 0_53 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_53 ,Interface Profile 1_53 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_53 ,Interface Profile 2_53 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xD800++0x2B line.long 0x00 "CIDEF0_54 ,Interface Profile 0_54 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_54 ,Interface Profile 1_54 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_54 ,Interface Profile 2_54 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_54 ,Interface Profile 3_54 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_54 ,Interface Profile 4_54 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_54 ,Interface Profile 5_54 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_54 ,Interface Profile 6_54 Default Values Register" line.long 0x1C "CIDEF7_54 ,Interface Profile 7_54 Default Values Register" line.long 0x20 "CEDEF0_54 ,Interface Profile 0_54 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_54 ,Interface Profile 1_54 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_54 ,Interface Profile 2_54 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xDC00++0x2B line.long 0x00 "CIDEF0_55 ,Interface Profile 0_55 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_55 ,Interface Profile 1_55 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_55 ,Interface Profile 2_55 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_55 ,Interface Profile 3_55 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_55 ,Interface Profile 4_55 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_55 ,Interface Profile 5_55 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_55 ,Interface Profile 6_55 Default Values Register" line.long 0x1C "CIDEF7_55 ,Interface Profile 7_55 Default Values Register" line.long 0x20 "CEDEF0_55 ,Interface Profile 0_55 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_55 ,Interface Profile 1_55 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_55 ,Interface Profile 2_55 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xE000++0x2B line.long 0x00 "CIDEF0_56 ,Interface Profile 0_56 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_56 ,Interface Profile 1_56 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_56 ,Interface Profile 2_56 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_56 ,Interface Profile 3_56 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_56 ,Interface Profile 4_56 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_56 ,Interface Profile 5_56 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_56 ,Interface Profile 6_56 Default Values Register" line.long 0x1C "CIDEF7_56 ,Interface Profile 7_56 Default Values Register" line.long 0x20 "CEDEF0_56 ,Interface Profile 0_56 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_56 ,Interface Profile 1_56 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_56 ,Interface Profile 2_56 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xE400++0x2B line.long 0x00 "CIDEF0_57 ,Interface Profile 0_57 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_57 ,Interface Profile 1_57 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_57 ,Interface Profile 2_57 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_57 ,Interface Profile 3_57 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_57 ,Interface Profile 4_57 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_57 ,Interface Profile 5_57 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_57 ,Interface Profile 6_57 Default Values Register" line.long 0x1C "CIDEF7_57 ,Interface Profile 7_57 Default Values Register" line.long 0x20 "CEDEF0_57 ,Interface Profile 0_57 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_57 ,Interface Profile 1_57 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_57 ,Interface Profile 2_57 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xE800++0x2B line.long 0x00 "CIDEF0_58 ,Interface Profile 0_58 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_58 ,Interface Profile 1_58 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_58 ,Interface Profile 2_58 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_58 ,Interface Profile 3_58 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_58 ,Interface Profile 4_58 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_58 ,Interface Profile 5_58 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_58 ,Interface Profile 6_58 Default Values Register" line.long 0x1C "CIDEF7_58 ,Interface Profile 7_58 Default Values Register" line.long 0x20 "CEDEF0_58 ,Interface Profile 0_58 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_58 ,Interface Profile 1_58 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_58 ,Interface Profile 2_58 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xEC00++0x2B line.long 0x00 "CIDEF0_59 ,Interface Profile 0_59 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_59 ,Interface Profile 1_59 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_59 ,Interface Profile 2_59 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_59 ,Interface Profile 3_59 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_59 ,Interface Profile 4_59 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_59 ,Interface Profile 5_59 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_59 ,Interface Profile 6_59 Default Values Register" line.long 0x1C "CIDEF7_59 ,Interface Profile 7_59 Default Values Register" line.long 0x20 "CEDEF0_59 ,Interface Profile 0_59 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_59 ,Interface Profile 1_59 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_59 ,Interface Profile 2_59 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xF000++0x2B line.long 0x00 "CIDEF0_60 ,Interface Profile 0_60 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_60 ,Interface Profile 1_60 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_60 ,Interface Profile 2_60 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_60 ,Interface Profile 3_60 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_60 ,Interface Profile 4_60 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_60 ,Interface Profile 5_60 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_60 ,Interface Profile 6_60 Default Values Register" line.long 0x1C "CIDEF7_60 ,Interface Profile 7_60 Default Values Register" line.long 0x20 "CEDEF0_60 ,Interface Profile 0_60 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_60 ,Interface Profile 1_60 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_60 ,Interface Profile 2_60 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xF400++0x2B line.long 0x00 "CIDEF0_61 ,Interface Profile 0_61 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_61 ,Interface Profile 1_61 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_61 ,Interface Profile 2_61 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_61 ,Interface Profile 3_61 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_61 ,Interface Profile 4_61 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_61 ,Interface Profile 5_61 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_61 ,Interface Profile 6_61 Default Values Register" line.long 0x1C "CIDEF7_61 ,Interface Profile 7_61 Default Values Register" line.long 0x20 "CEDEF0_61 ,Interface Profile 0_61 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_61 ,Interface Profile 1_61 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_61 ,Interface Profile 2_61 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xF800++0x2B line.long 0x00 "CIDEF0_62 ,Interface Profile 0_62 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_62 ,Interface Profile 1_62 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_62 ,Interface Profile 2_62 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_62 ,Interface Profile 3_62 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_62 ,Interface Profile 4_62 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_62 ,Interface Profile 5_62 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_62 ,Interface Profile 6_62 Default Values Register" line.long 0x1C "CIDEF7_62 ,Interface Profile 7_62 Default Values Register" line.long 0x20 "CEDEF0_62 ,Interface Profile 0_62 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_62 ,Interface Profile 1_62 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_62 ,Interface Profile 2_62 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0xFC00++0x2B line.long 0x00 "CIDEF0_63 ,Interface Profile 0_63 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_63 ,Interface Profile 1_63 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_63 ,Interface Profile 2_63 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_63 ,Interface Profile 3_63 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_63 ,Interface Profile 4_63 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_63 ,Interface Profile 5_63 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_63 ,Interface Profile 6_63 Default Values Register" line.long 0x1C "CIDEF7_63 ,Interface Profile 7_63 Default Values Register" line.long 0x20 "CEDEF0_63 ,Interface Profile 0_63 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_63 ,Interface Profile 1_63 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_63 ,Interface Profile 2_63 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x10000++0x2B line.long 0x00 "CIDEF0_64 ,Interface Profile 0_64 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_64 ,Interface Profile 1_64 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_64 ,Interface Profile 2_64 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_64 ,Interface Profile 3_64 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_64 ,Interface Profile 4_64 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_64 ,Interface Profile 5_64 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_64 ,Interface Profile 6_64 Default Values Register" line.long 0x1C "CIDEF7_64 ,Interface Profile 7_64 Default Values Register" line.long 0x20 "CEDEF0_64 ,Interface Profile 0_64 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_64 ,Interface Profile 1_64 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_64 ,Interface Profile 2_64 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x10400++0x2B line.long 0x00 "CIDEF0_65 ,Interface Profile 0_65 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_65 ,Interface Profile 1_65 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_65 ,Interface Profile 2_65 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_65 ,Interface Profile 3_65 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_65 ,Interface Profile 4_65 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_65 ,Interface Profile 5_65 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_65 ,Interface Profile 6_65 Default Values Register" line.long 0x1C "CIDEF7_65 ,Interface Profile 7_65 Default Values Register" line.long 0x20 "CEDEF0_65 ,Interface Profile 0_65 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_65 ,Interface Profile 1_65 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_65 ,Interface Profile 2_65 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x10800++0x2B line.long 0x00 "CIDEF0_66 ,Interface Profile 0_66 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_66 ,Interface Profile 1_66 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_66 ,Interface Profile 2_66 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_66 ,Interface Profile 3_66 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_66 ,Interface Profile 4_66 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_66 ,Interface Profile 5_66 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_66 ,Interface Profile 6_66 Default Values Register" line.long 0x1C "CIDEF7_66 ,Interface Profile 7_66 Default Values Register" line.long 0x20 "CEDEF0_66 ,Interface Profile 0_66 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_66 ,Interface Profile 1_66 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_66 ,Interface Profile 2_66 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x10C00++0x2B line.long 0x00 "CIDEF0_67 ,Interface Profile 0_67 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_67 ,Interface Profile 1_67 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_67 ,Interface Profile 2_67 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_67 ,Interface Profile 3_67 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_67 ,Interface Profile 4_67 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_67 ,Interface Profile 5_67 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_67 ,Interface Profile 6_67 Default Values Register" line.long 0x1C "CIDEF7_67 ,Interface Profile 7_67 Default Values Register" line.long 0x20 "CEDEF0_67 ,Interface Profile 0_67 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_67 ,Interface Profile 1_67 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_67 ,Interface Profile 2_67 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x11000++0x2B line.long 0x00 "CIDEF0_68 ,Interface Profile 0_68 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_68 ,Interface Profile 1_68 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_68 ,Interface Profile 2_68 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_68 ,Interface Profile 3_68 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_68 ,Interface Profile 4_68 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_68 ,Interface Profile 5_68 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_68 ,Interface Profile 6_68 Default Values Register" line.long 0x1C "CIDEF7_68 ,Interface Profile 7_68 Default Values Register" line.long 0x20 "CEDEF0_68 ,Interface Profile 0_68 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_68 ,Interface Profile 1_68 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_68 ,Interface Profile 2_68 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x11400++0x2B line.long 0x00 "CIDEF0_69 ,Interface Profile 0_69 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_69 ,Interface Profile 1_69 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_69 ,Interface Profile 2_69 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_69 ,Interface Profile 3_69 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_69 ,Interface Profile 4_69 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_69 ,Interface Profile 5_69 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_69 ,Interface Profile 6_69 Default Values Register" line.long 0x1C "CIDEF7_69 ,Interface Profile 7_69 Default Values Register" line.long 0x20 "CEDEF0_69 ,Interface Profile 0_69 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_69 ,Interface Profile 1_69 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_69 ,Interface Profile 2_69 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x11800++0x2B line.long 0x00 "CIDEF0_70 ,Interface Profile 0_70 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_70 ,Interface Profile 1_70 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_70 ,Interface Profile 2_70 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_70 ,Interface Profile 3_70 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_70 ,Interface Profile 4_70 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_70 ,Interface Profile 5_70 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_70 ,Interface Profile 6_70 Default Values Register" line.long 0x1C "CIDEF7_70 ,Interface Profile 7_70 Default Values Register" line.long 0x20 "CEDEF0_70 ,Interface Profile 0_70 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_70 ,Interface Profile 1_70 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_70 ,Interface Profile 2_70 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x11C00++0x2B line.long 0x00 "CIDEF0_71 ,Interface Profile 0_71 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_71 ,Interface Profile 1_71 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_71 ,Interface Profile 2_71 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_71 ,Interface Profile 3_71 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_71 ,Interface Profile 4_71 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_71 ,Interface Profile 5_71 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_71 ,Interface Profile 6_71 Default Values Register" line.long 0x1C "CIDEF7_71 ,Interface Profile 7_71 Default Values Register" line.long 0x20 "CEDEF0_71 ,Interface Profile 0_71 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_71 ,Interface Profile 1_71 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_71 ,Interface Profile 2_71 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x12000++0x2B line.long 0x00 "CIDEF0_72 ,Interface Profile 0_72 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_72 ,Interface Profile 1_72 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_72 ,Interface Profile 2_72 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_72 ,Interface Profile 3_72 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_72 ,Interface Profile 4_72 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_72 ,Interface Profile 5_72 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_72 ,Interface Profile 6_72 Default Values Register" line.long 0x1C "CIDEF7_72 ,Interface Profile 7_72 Default Values Register" line.long 0x20 "CEDEF0_72 ,Interface Profile 0_72 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_72 ,Interface Profile 1_72 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_72 ,Interface Profile 2_72 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x12400++0x2B line.long 0x00 "CIDEF0_73 ,Interface Profile 0_73 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_73 ,Interface Profile 1_73 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_73 ,Interface Profile 2_73 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_73 ,Interface Profile 3_73 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_73 ,Interface Profile 4_73 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_73 ,Interface Profile 5_73 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_73 ,Interface Profile 6_73 Default Values Register" line.long 0x1C "CIDEF7_73 ,Interface Profile 7_73 Default Values Register" line.long 0x20 "CEDEF0_73 ,Interface Profile 0_73 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_73 ,Interface Profile 1_73 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_73 ,Interface Profile 2_73 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x12800++0x2B line.long 0x00 "CIDEF0_74 ,Interface Profile 0_74 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_74 ,Interface Profile 1_74 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_74 ,Interface Profile 2_74 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_74 ,Interface Profile 3_74 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_74 ,Interface Profile 4_74 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_74 ,Interface Profile 5_74 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_74 ,Interface Profile 6_74 Default Values Register" line.long 0x1C "CIDEF7_74 ,Interface Profile 7_74 Default Values Register" line.long 0x20 "CEDEF0_74 ,Interface Profile 0_74 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_74 ,Interface Profile 1_74 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_74 ,Interface Profile 2_74 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x12C00++0x2B line.long 0x00 "CIDEF0_75 ,Interface Profile 0_75 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_75 ,Interface Profile 1_75 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_75 ,Interface Profile 2_75 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_75 ,Interface Profile 3_75 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_75 ,Interface Profile 4_75 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_75 ,Interface Profile 5_75 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_75 ,Interface Profile 6_75 Default Values Register" line.long 0x1C "CIDEF7_75 ,Interface Profile 7_75 Default Values Register" line.long 0x20 "CEDEF0_75 ,Interface Profile 0_75 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_75 ,Interface Profile 1_75 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_75 ,Interface Profile 2_75 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x13000++0x2B line.long 0x00 "CIDEF0_76 ,Interface Profile 0_76 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_76 ,Interface Profile 1_76 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_76 ,Interface Profile 2_76 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_76 ,Interface Profile 3_76 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_76 ,Interface Profile 4_76 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_76 ,Interface Profile 5_76 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_76 ,Interface Profile 6_76 Default Values Register" line.long 0x1C "CIDEF7_76 ,Interface Profile 7_76 Default Values Register" line.long 0x20 "CEDEF0_76 ,Interface Profile 0_76 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_76 ,Interface Profile 1_76 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_76 ,Interface Profile 2_76 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x13400++0x2B line.long 0x00 "CIDEF0_77 ,Interface Profile 0_77 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_77 ,Interface Profile 1_77 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_77 ,Interface Profile 2_77 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_77 ,Interface Profile 3_77 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_77 ,Interface Profile 4_77 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_77 ,Interface Profile 5_77 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_77 ,Interface Profile 6_77 Default Values Register" line.long 0x1C "CIDEF7_77 ,Interface Profile 7_77 Default Values Register" line.long 0x20 "CEDEF0_77 ,Interface Profile 0_77 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_77 ,Interface Profile 1_77 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_77 ,Interface Profile 2_77 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x13800++0x2B line.long 0x00 "CIDEF0_78 ,Interface Profile 0_78 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_78 ,Interface Profile 1_78 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_78 ,Interface Profile 2_78 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_78 ,Interface Profile 3_78 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_78 ,Interface Profile 4_78 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_78 ,Interface Profile 5_78 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_78 ,Interface Profile 6_78 Default Values Register" line.long 0x1C "CIDEF7_78 ,Interface Profile 7_78 Default Values Register" line.long 0x20 "CEDEF0_78 ,Interface Profile 0_78 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_78 ,Interface Profile 1_78 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_78 ,Interface Profile 2_78 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x13C00++0x2B line.long 0x00 "CIDEF0_79 ,Interface Profile 0_79 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_79 ,Interface Profile 1_79 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_79 ,Interface Profile 2_79 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_79 ,Interface Profile 3_79 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_79 ,Interface Profile 4_79 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_79 ,Interface Profile 5_79 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_79 ,Interface Profile 6_79 Default Values Register" line.long 0x1C "CIDEF7_79 ,Interface Profile 7_79 Default Values Register" line.long 0x20 "CEDEF0_79 ,Interface Profile 0_79 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_79 ,Interface Profile 1_79 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_79 ,Interface Profile 2_79 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x14000++0x2B line.long 0x00 "CIDEF0_80 ,Interface Profile 0_80 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_80 ,Interface Profile 1_80 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_80 ,Interface Profile 2_80 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_80 ,Interface Profile 3_80 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_80 ,Interface Profile 4_80 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_80 ,Interface Profile 5_80 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_80 ,Interface Profile 6_80 Default Values Register" line.long 0x1C "CIDEF7_80 ,Interface Profile 7_80 Default Values Register" line.long 0x20 "CEDEF0_80 ,Interface Profile 0_80 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_80 ,Interface Profile 1_80 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_80 ,Interface Profile 2_80 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x14400++0x2B line.long 0x00 "CIDEF0_81 ,Interface Profile 0_81 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_81 ,Interface Profile 1_81 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_81 ,Interface Profile 2_81 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_81 ,Interface Profile 3_81 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_81 ,Interface Profile 4_81 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_81 ,Interface Profile 5_81 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_81 ,Interface Profile 6_81 Default Values Register" line.long 0x1C "CIDEF7_81 ,Interface Profile 7_81 Default Values Register" line.long 0x20 "CEDEF0_81 ,Interface Profile 0_81 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_81 ,Interface Profile 1_81 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_81 ,Interface Profile 2_81 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x14800++0x2B line.long 0x00 "CIDEF0_82 ,Interface Profile 0_82 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_82 ,Interface Profile 1_82 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_82 ,Interface Profile 2_82 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_82 ,Interface Profile 3_82 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_82 ,Interface Profile 4_82 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_82 ,Interface Profile 5_82 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_82 ,Interface Profile 6_82 Default Values Register" line.long 0x1C "CIDEF7_82 ,Interface Profile 7_82 Default Values Register" line.long 0x20 "CEDEF0_82 ,Interface Profile 0_82 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_82 ,Interface Profile 1_82 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_82 ,Interface Profile 2_82 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x14C00++0x2B line.long 0x00 "CIDEF0_83 ,Interface Profile 0_83 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_83 ,Interface Profile 1_83 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_83 ,Interface Profile 2_83 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_83 ,Interface Profile 3_83 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_83 ,Interface Profile 4_83 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_83 ,Interface Profile 5_83 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_83 ,Interface Profile 6_83 Default Values Register" line.long 0x1C "CIDEF7_83 ,Interface Profile 7_83 Default Values Register" line.long 0x20 "CEDEF0_83 ,Interface Profile 0_83 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_83 ,Interface Profile 1_83 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_83 ,Interface Profile 2_83 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x15000++0x2B line.long 0x00 "CIDEF0_84 ,Interface Profile 0_84 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_84 ,Interface Profile 1_84 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_84 ,Interface Profile 2_84 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_84 ,Interface Profile 3_84 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_84 ,Interface Profile 4_84 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_84 ,Interface Profile 5_84 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_84 ,Interface Profile 6_84 Default Values Register" line.long 0x1C "CIDEF7_84 ,Interface Profile 7_84 Default Values Register" line.long 0x20 "CEDEF0_84 ,Interface Profile 0_84 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_84 ,Interface Profile 1_84 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_84 ,Interface Profile 2_84 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x15400++0x2B line.long 0x00 "CIDEF0_85 ,Interface Profile 0_85 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_85 ,Interface Profile 1_85 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_85 ,Interface Profile 2_85 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_85 ,Interface Profile 3_85 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_85 ,Interface Profile 4_85 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_85 ,Interface Profile 5_85 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_85 ,Interface Profile 6_85 Default Values Register" line.long 0x1C "CIDEF7_85 ,Interface Profile 7_85 Default Values Register" line.long 0x20 "CEDEF0_85 ,Interface Profile 0_85 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_85 ,Interface Profile 1_85 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_85 ,Interface Profile 2_85 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x15800++0x2B line.long 0x00 "CIDEF0_86 ,Interface Profile 0_86 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_86 ,Interface Profile 1_86 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_86 ,Interface Profile 2_86 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_86 ,Interface Profile 3_86 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_86 ,Interface Profile 4_86 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_86 ,Interface Profile 5_86 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_86 ,Interface Profile 6_86 Default Values Register" line.long 0x1C "CIDEF7_86 ,Interface Profile 7_86 Default Values Register" line.long 0x20 "CEDEF0_86 ,Interface Profile 0_86 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_86 ,Interface Profile 1_86 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_86 ,Interface Profile 2_86 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x15C00++0x2B line.long 0x00 "CIDEF0_87 ,Interface Profile 0_87 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_87 ,Interface Profile 1_87 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_87 ,Interface Profile 2_87 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_87 ,Interface Profile 3_87 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_87 ,Interface Profile 4_87 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_87 ,Interface Profile 5_87 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_87 ,Interface Profile 6_87 Default Values Register" line.long 0x1C "CIDEF7_87 ,Interface Profile 7_87 Default Values Register" line.long 0x20 "CEDEF0_87 ,Interface Profile 0_87 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_87 ,Interface Profile 1_87 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_87 ,Interface Profile 2_87 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x16000++0x2B line.long 0x00 "CIDEF0_88 ,Interface Profile 0_88 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_88 ,Interface Profile 1_88 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_88 ,Interface Profile 2_88 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_88 ,Interface Profile 3_88 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_88 ,Interface Profile 4_88 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_88 ,Interface Profile 5_88 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_88 ,Interface Profile 6_88 Default Values Register" line.long 0x1C "CIDEF7_88 ,Interface Profile 7_88 Default Values Register" line.long 0x20 "CEDEF0_88 ,Interface Profile 0_88 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_88 ,Interface Profile 1_88 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_88 ,Interface Profile 2_88 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x16400++0x2B line.long 0x00 "CIDEF0_89 ,Interface Profile 0_89 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_89 ,Interface Profile 1_89 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_89 ,Interface Profile 2_89 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_89 ,Interface Profile 3_89 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_89 ,Interface Profile 4_89 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_89 ,Interface Profile 5_89 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_89 ,Interface Profile 6_89 Default Values Register" line.long 0x1C "CIDEF7_89 ,Interface Profile 7_89 Default Values Register" line.long 0x20 "CEDEF0_89 ,Interface Profile 0_89 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_89 ,Interface Profile 1_89 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_89 ,Interface Profile 2_89 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x16800++0x2B line.long 0x00 "CIDEF0_90 ,Interface Profile 0_90 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_90 ,Interface Profile 1_90 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_90 ,Interface Profile 2_90 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_90 ,Interface Profile 3_90 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_90 ,Interface Profile 4_90 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_90 ,Interface Profile 5_90 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_90 ,Interface Profile 6_90 Default Values Register" line.long 0x1C "CIDEF7_90 ,Interface Profile 7_90 Default Values Register" line.long 0x20 "CEDEF0_90 ,Interface Profile 0_90 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_90 ,Interface Profile 1_90 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_90 ,Interface Profile 2_90 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x16C00++0x2B line.long 0x00 "CIDEF0_91 ,Interface Profile 0_91 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_91 ,Interface Profile 1_91 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_91 ,Interface Profile 2_91 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_91 ,Interface Profile 3_91 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_91 ,Interface Profile 4_91 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_91 ,Interface Profile 5_91 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_91 ,Interface Profile 6_91 Default Values Register" line.long 0x1C "CIDEF7_91 ,Interface Profile 7_91 Default Values Register" line.long 0x20 "CEDEF0_91 ,Interface Profile 0_91 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_91 ,Interface Profile 1_91 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_91 ,Interface Profile 2_91 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x17000++0x2B line.long 0x00 "CIDEF0_92 ,Interface Profile 0_92 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_92 ,Interface Profile 1_92 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_92 ,Interface Profile 2_92 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_92 ,Interface Profile 3_92 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_92 ,Interface Profile 4_92 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_92 ,Interface Profile 5_92 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_92 ,Interface Profile 6_92 Default Values Register" line.long 0x1C "CIDEF7_92 ,Interface Profile 7_92 Default Values Register" line.long 0x20 "CEDEF0_92 ,Interface Profile 0_92 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_92 ,Interface Profile 1_92 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_92 ,Interface Profile 2_92 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x17400++0x2B line.long 0x00 "CIDEF0_93 ,Interface Profile 0_93 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_93 ,Interface Profile 1_93 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_93 ,Interface Profile 2_93 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_93 ,Interface Profile 3_93 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_93 ,Interface Profile 4_93 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_93 ,Interface Profile 5_93 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_93 ,Interface Profile 6_93 Default Values Register" line.long 0x1C "CIDEF7_93 ,Interface Profile 7_93 Default Values Register" line.long 0x20 "CEDEF0_93 ,Interface Profile 0_93 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_93 ,Interface Profile 1_93 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_93 ,Interface Profile 2_93 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x17800++0x2B line.long 0x00 "CIDEF0_94 ,Interface Profile 0_94 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_94 ,Interface Profile 1_94 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_94 ,Interface Profile 2_94 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_94 ,Interface Profile 3_94 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_94 ,Interface Profile 4_94 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_94 ,Interface Profile 5_94 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_94 ,Interface Profile 6_94 Default Values Register" line.long 0x1C "CIDEF7_94 ,Interface Profile 7_94 Default Values Register" line.long 0x20 "CEDEF0_94 ,Interface Profile 0_94 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_94 ,Interface Profile 1_94 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_94 ,Interface Profile 2_94 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x17C00++0x2B line.long 0x00 "CIDEF0_95 ,Interface Profile 0_95 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_95 ,Interface Profile 1_95 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_95 ,Interface Profile 2_95 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_95 ,Interface Profile 3_95 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_95 ,Interface Profile 4_95 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_95 ,Interface Profile 5_95 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_95 ,Interface Profile 6_95 Default Values Register" line.long 0x1C "CIDEF7_95 ,Interface Profile 7_95 Default Values Register" line.long 0x20 "CEDEF0_95 ,Interface Profile 0_95 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_95 ,Interface Profile 1_95 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_95 ,Interface Profile 2_95 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x18000++0x2B line.long 0x00 "CIDEF0_96 ,Interface Profile 0_96 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_96 ,Interface Profile 1_96 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_96 ,Interface Profile 2_96 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_96 ,Interface Profile 3_96 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_96 ,Interface Profile 4_96 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_96 ,Interface Profile 5_96 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_96 ,Interface Profile 6_96 Default Values Register" line.long 0x1C "CIDEF7_96 ,Interface Profile 7_96 Default Values Register" line.long 0x20 "CEDEF0_96 ,Interface Profile 0_96 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_96 ,Interface Profile 1_96 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_96 ,Interface Profile 2_96 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x18400++0x2B line.long 0x00 "CIDEF0_97 ,Interface Profile 0_97 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_97 ,Interface Profile 1_97 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_97 ,Interface Profile 2_97 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_97 ,Interface Profile 3_97 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_97 ,Interface Profile 4_97 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_97 ,Interface Profile 5_97 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_97 ,Interface Profile 6_97 Default Values Register" line.long 0x1C "CIDEF7_97 ,Interface Profile 7_97 Default Values Register" line.long 0x20 "CEDEF0_97 ,Interface Profile 0_97 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_97 ,Interface Profile 1_97 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_97 ,Interface Profile 2_97 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x18800++0x2B line.long 0x00 "CIDEF0_98 ,Interface Profile 0_98 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_98 ,Interface Profile 1_98 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_98 ,Interface Profile 2_98 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_98 ,Interface Profile 3_98 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_98 ,Interface Profile 4_98 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_98 ,Interface Profile 5_98 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_98 ,Interface Profile 6_98 Default Values Register" line.long 0x1C "CIDEF7_98 ,Interface Profile 7_98 Default Values Register" line.long 0x20 "CEDEF0_98 ,Interface Profile 0_98 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_98 ,Interface Profile 1_98 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_98 ,Interface Profile 2_98 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x18C00++0x2B line.long 0x00 "CIDEF0_99 ,Interface Profile 0_99 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_99 ,Interface Profile 1_99 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_99 ,Interface Profile 2_99 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_99 ,Interface Profile 3_99 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_99 ,Interface Profile 4_99 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_99 ,Interface Profile 5_99 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_99 ,Interface Profile 6_99 Default Values Register" line.long 0x1C "CIDEF7_99 ,Interface Profile 7_99 Default Values Register" line.long 0x20 "CEDEF0_99 ,Interface Profile 0_99 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_99 ,Interface Profile 1_99 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_99 ,Interface Profile 2_99 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x19000++0x2B line.long 0x00 "CIDEF0_100,Interface Profile 0_100 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_100,Interface Profile 1_100 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_100,Interface Profile 2_100 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_100,Interface Profile 3_100 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_100,Interface Profile 4_100 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_100,Interface Profile 5_100 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_100,Interface Profile 6_100 Default Values Register" line.long 0x1C "CIDEF7_100,Interface Profile 7_100 Default Values Register" line.long 0x20 "CEDEF0_100,Interface Profile 0_100 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_100,Interface Profile 1_100 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_100,Interface Profile 2_100 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x19400++0x2B line.long 0x00 "CIDEF0_101,Interface Profile 0_101 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_101,Interface Profile 1_101 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_101,Interface Profile 2_101 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_101,Interface Profile 3_101 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_101,Interface Profile 4_101 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_101,Interface Profile 5_101 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_101,Interface Profile 6_101 Default Values Register" line.long 0x1C "CIDEF7_101,Interface Profile 7_101 Default Values Register" line.long 0x20 "CEDEF0_101,Interface Profile 0_101 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_101,Interface Profile 1_101 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_101,Interface Profile 2_101 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x19800++0x2B line.long 0x00 "CIDEF0_102,Interface Profile 0_102 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_102,Interface Profile 1_102 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_102,Interface Profile 2_102 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_102,Interface Profile 3_102 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_102,Interface Profile 4_102 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_102,Interface Profile 5_102 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_102,Interface Profile 6_102 Default Values Register" line.long 0x1C "CIDEF7_102,Interface Profile 7_102 Default Values Register" line.long 0x20 "CEDEF0_102,Interface Profile 0_102 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_102,Interface Profile 1_102 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_102,Interface Profile 2_102 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x19C00++0x2B line.long 0x00 "CIDEF0_103,Interface Profile 0_103 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_103,Interface Profile 1_103 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_103,Interface Profile 2_103 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_103,Interface Profile 3_103 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_103,Interface Profile 4_103 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_103,Interface Profile 5_103 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_103,Interface Profile 6_103 Default Values Register" line.long 0x1C "CIDEF7_103,Interface Profile 7_103 Default Values Register" line.long 0x20 "CEDEF0_103,Interface Profile 0_103 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_103,Interface Profile 1_103 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_103,Interface Profile 2_103 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1A000++0x2B line.long 0x00 "CIDEF0_104,Interface Profile 0_104 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_104,Interface Profile 1_104 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_104,Interface Profile 2_104 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_104,Interface Profile 3_104 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_104,Interface Profile 4_104 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_104,Interface Profile 5_104 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_104,Interface Profile 6_104 Default Values Register" line.long 0x1C "CIDEF7_104,Interface Profile 7_104 Default Values Register" line.long 0x20 "CEDEF0_104,Interface Profile 0_104 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_104,Interface Profile 1_104 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_104,Interface Profile 2_104 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1A400++0x2B line.long 0x00 "CIDEF0_105,Interface Profile 0_105 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_105,Interface Profile 1_105 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_105,Interface Profile 2_105 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_105,Interface Profile 3_105 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_105,Interface Profile 4_105 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_105,Interface Profile 5_105 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_105,Interface Profile 6_105 Default Values Register" line.long 0x1C "CIDEF7_105,Interface Profile 7_105 Default Values Register" line.long 0x20 "CEDEF0_105,Interface Profile 0_105 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_105,Interface Profile 1_105 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_105,Interface Profile 2_105 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1A800++0x2B line.long 0x00 "CIDEF0_106,Interface Profile 0_106 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_106,Interface Profile 1_106 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_106,Interface Profile 2_106 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_106,Interface Profile 3_106 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_106,Interface Profile 4_106 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_106,Interface Profile 5_106 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_106,Interface Profile 6_106 Default Values Register" line.long 0x1C "CIDEF7_106,Interface Profile 7_106 Default Values Register" line.long 0x20 "CEDEF0_106,Interface Profile 0_106 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_106,Interface Profile 1_106 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_106,Interface Profile 2_106 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1AC00++0x2B line.long 0x00 "CIDEF0_107,Interface Profile 0_107 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_107,Interface Profile 1_107 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_107,Interface Profile 2_107 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_107,Interface Profile 3_107 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_107,Interface Profile 4_107 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_107,Interface Profile 5_107 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_107,Interface Profile 6_107 Default Values Register" line.long 0x1C "CIDEF7_107,Interface Profile 7_107 Default Values Register" line.long 0x20 "CEDEF0_107,Interface Profile 0_107 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_107,Interface Profile 1_107 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_107,Interface Profile 2_107 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1B000++0x2B line.long 0x00 "CIDEF0_108,Interface Profile 0_108 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_108,Interface Profile 1_108 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_108,Interface Profile 2_108 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_108,Interface Profile 3_108 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_108,Interface Profile 4_108 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_108,Interface Profile 5_108 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_108,Interface Profile 6_108 Default Values Register" line.long 0x1C "CIDEF7_108,Interface Profile 7_108 Default Values Register" line.long 0x20 "CEDEF0_108,Interface Profile 0_108 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_108,Interface Profile 1_108 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_108,Interface Profile 2_108 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1B400++0x2B line.long 0x00 "CIDEF0_109,Interface Profile 0_109 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_109,Interface Profile 1_109 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_109,Interface Profile 2_109 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_109,Interface Profile 3_109 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_109,Interface Profile 4_109 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_109,Interface Profile 5_109 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_109,Interface Profile 6_109 Default Values Register" line.long 0x1C "CIDEF7_109,Interface Profile 7_109 Default Values Register" line.long 0x20 "CEDEF0_109,Interface Profile 0_109 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_109,Interface Profile 1_109 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_109,Interface Profile 2_109 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1B800++0x2B line.long 0x00 "CIDEF0_110,Interface Profile 0_110 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_110,Interface Profile 1_110 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_110,Interface Profile 2_110 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_110,Interface Profile 3_110 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_110,Interface Profile 4_110 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_110,Interface Profile 5_110 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_110,Interface Profile 6_110 Default Values Register" line.long 0x1C "CIDEF7_110,Interface Profile 7_110 Default Values Register" line.long 0x20 "CEDEF0_110,Interface Profile 0_110 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_110,Interface Profile 1_110 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_110,Interface Profile 2_110 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1BC00++0x2B line.long 0x00 "CIDEF0_111,Interface Profile 0_111 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_111,Interface Profile 1_111 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_111,Interface Profile 2_111 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_111,Interface Profile 3_111 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_111,Interface Profile 4_111 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_111,Interface Profile 5_111 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_111,Interface Profile 6_111 Default Values Register" line.long 0x1C "CIDEF7_111,Interface Profile 7_111 Default Values Register" line.long 0x20 "CEDEF0_111,Interface Profile 0_111 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_111,Interface Profile 1_111 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_111,Interface Profile 2_111 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1C000++0x2B line.long 0x00 "CIDEF0_112,Interface Profile 0_112 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_112,Interface Profile 1_112 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_112,Interface Profile 2_112 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_112,Interface Profile 3_112 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_112,Interface Profile 4_112 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_112,Interface Profile 5_112 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_112,Interface Profile 6_112 Default Values Register" line.long 0x1C "CIDEF7_112,Interface Profile 7_112 Default Values Register" line.long 0x20 "CEDEF0_112,Interface Profile 0_112 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_112,Interface Profile 1_112 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_112,Interface Profile 2_112 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1C400++0x2B line.long 0x00 "CIDEF0_113,Interface Profile 0_113 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_113,Interface Profile 1_113 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_113,Interface Profile 2_113 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_113,Interface Profile 3_113 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_113,Interface Profile 4_113 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_113,Interface Profile 5_113 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_113,Interface Profile 6_113 Default Values Register" line.long 0x1C "CIDEF7_113,Interface Profile 7_113 Default Values Register" line.long 0x20 "CEDEF0_113,Interface Profile 0_113 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_113,Interface Profile 1_113 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_113,Interface Profile 2_113 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1C800++0x2B line.long 0x00 "CIDEF0_114,Interface Profile 0_114 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_114,Interface Profile 1_114 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_114,Interface Profile 2_114 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_114,Interface Profile 3_114 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_114,Interface Profile 4_114 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_114,Interface Profile 5_114 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_114,Interface Profile 6_114 Default Values Register" line.long 0x1C "CIDEF7_114,Interface Profile 7_114 Default Values Register" line.long 0x20 "CEDEF0_114,Interface Profile 0_114 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_114,Interface Profile 1_114 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_114,Interface Profile 2_114 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1CC00++0x2B line.long 0x00 "CIDEF0_115,Interface Profile 0_115 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_115,Interface Profile 1_115 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_115,Interface Profile 2_115 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_115,Interface Profile 3_115 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_115,Interface Profile 4_115 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_115,Interface Profile 5_115 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_115,Interface Profile 6_115 Default Values Register" line.long 0x1C "CIDEF7_115,Interface Profile 7_115 Default Values Register" line.long 0x20 "CEDEF0_115,Interface Profile 0_115 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_115,Interface Profile 1_115 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_115,Interface Profile 2_115 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1D000++0x2B line.long 0x00 "CIDEF0_116,Interface Profile 0_116 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_116,Interface Profile 1_116 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_116,Interface Profile 2_116 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_116,Interface Profile 3_116 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_116,Interface Profile 4_116 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_116,Interface Profile 5_116 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_116,Interface Profile 6_116 Default Values Register" line.long 0x1C "CIDEF7_116,Interface Profile 7_116 Default Values Register" line.long 0x20 "CEDEF0_116,Interface Profile 0_116 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_116,Interface Profile 1_116 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_116,Interface Profile 2_116 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1D400++0x2B line.long 0x00 "CIDEF0_117,Interface Profile 0_117 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_117,Interface Profile 1_117 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_117,Interface Profile 2_117 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_117,Interface Profile 3_117 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_117,Interface Profile 4_117 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_117,Interface Profile 5_117 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_117,Interface Profile 6_117 Default Values Register" line.long 0x1C "CIDEF7_117,Interface Profile 7_117 Default Values Register" line.long 0x20 "CEDEF0_117,Interface Profile 0_117 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_117,Interface Profile 1_117 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_117,Interface Profile 2_117 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1D800++0x2B line.long 0x00 "CIDEF0_118,Interface Profile 0_118 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_118,Interface Profile 1_118 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_118,Interface Profile 2_118 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_118,Interface Profile 3_118 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_118,Interface Profile 4_118 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_118,Interface Profile 5_118 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_118,Interface Profile 6_118 Default Values Register" line.long 0x1C "CIDEF7_118,Interface Profile 7_118 Default Values Register" line.long 0x20 "CEDEF0_118,Interface Profile 0_118 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_118,Interface Profile 1_118 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_118,Interface Profile 2_118 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1DC00++0x2B line.long 0x00 "CIDEF0_119,Interface Profile 0_119 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_119,Interface Profile 1_119 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_119,Interface Profile 2_119 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_119,Interface Profile 3_119 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_119,Interface Profile 4_119 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_119,Interface Profile 5_119 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_119,Interface Profile 6_119 Default Values Register" line.long 0x1C "CIDEF7_119,Interface Profile 7_119 Default Values Register" line.long 0x20 "CEDEF0_119,Interface Profile 0_119 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_119,Interface Profile 1_119 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_119,Interface Profile 2_119 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1E000++0x2B line.long 0x00 "CIDEF0_120,Interface Profile 0_120 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_120,Interface Profile 1_120 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_120,Interface Profile 2_120 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_120,Interface Profile 3_120 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_120,Interface Profile 4_120 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_120,Interface Profile 5_120 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_120,Interface Profile 6_120 Default Values Register" line.long 0x1C "CIDEF7_120,Interface Profile 7_120 Default Values Register" line.long 0x20 "CEDEF0_120,Interface Profile 0_120 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_120,Interface Profile 1_120 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_120,Interface Profile 2_120 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1E400++0x2B line.long 0x00 "CIDEF0_121,Interface Profile 0_121 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_121,Interface Profile 1_121 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_121,Interface Profile 2_121 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_121,Interface Profile 3_121 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_121,Interface Profile 4_121 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_121,Interface Profile 5_121 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_121,Interface Profile 6_121 Default Values Register" line.long 0x1C "CIDEF7_121,Interface Profile 7_121 Default Values Register" line.long 0x20 "CEDEF0_121,Interface Profile 0_121 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_121,Interface Profile 1_121 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_121,Interface Profile 2_121 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1E800++0x2B line.long 0x00 "CIDEF0_122,Interface Profile 0_122 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_122,Interface Profile 1_122 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_122,Interface Profile 2_122 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_122,Interface Profile 3_122 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_122,Interface Profile 4_122 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_122,Interface Profile 5_122 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_122,Interface Profile 6_122 Default Values Register" line.long 0x1C "CIDEF7_122,Interface Profile 7_122 Default Values Register" line.long 0x20 "CEDEF0_122,Interface Profile 0_122 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_122,Interface Profile 1_122 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_122,Interface Profile 2_122 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1EC00++0x2B line.long 0x00 "CIDEF0_123,Interface Profile 0_123 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_123,Interface Profile 1_123 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_123,Interface Profile 2_123 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_123,Interface Profile 3_123 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_123,Interface Profile 4_123 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_123,Interface Profile 5_123 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_123,Interface Profile 6_123 Default Values Register" line.long 0x1C "CIDEF7_123,Interface Profile 7_123 Default Values Register" line.long 0x20 "CEDEF0_123,Interface Profile 0_123 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_123,Interface Profile 1_123 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_123,Interface Profile 2_123 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1F000++0x2B line.long 0x00 "CIDEF0_124,Interface Profile 0_124 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_124,Interface Profile 1_124 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_124,Interface Profile 2_124 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_124,Interface Profile 3_124 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_124,Interface Profile 4_124 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_124,Interface Profile 5_124 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_124,Interface Profile 6_124 Default Values Register" line.long 0x1C "CIDEF7_124,Interface Profile 7_124 Default Values Register" line.long 0x20 "CEDEF0_124,Interface Profile 0_124 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_124,Interface Profile 1_124 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_124,Interface Profile 2_124 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1F400++0x2B line.long 0x00 "CIDEF0_125,Interface Profile 0_125 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_125,Interface Profile 1_125 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_125,Interface Profile 2_125 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_125,Interface Profile 3_125 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_125,Interface Profile 4_125 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_125,Interface Profile 5_125 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_125,Interface Profile 6_125 Default Values Register" line.long 0x1C "CIDEF7_125,Interface Profile 7_125 Default Values Register" line.long 0x20 "CEDEF0_125,Interface Profile 0_125 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_125,Interface Profile 1_125 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_125,Interface Profile 2_125 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1F800++0x2B line.long 0x00 "CIDEF0_126,Interface Profile 0_126 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_126,Interface Profile 1_126 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_126,Interface Profile 2_126 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_126,Interface Profile 3_126 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_126,Interface Profile 4_126 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_126,Interface Profile 5_126 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_126,Interface Profile 6_126 Default Values Register" line.long 0x1C "CIDEF7_126,Interface Profile 7_126 Default Values Register" line.long 0x20 "CEDEF0_126,Interface Profile 0_126 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_126,Interface Profile 1_126 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_126,Interface Profile 2_126 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x1FC00++0x2B line.long 0x00 "CIDEF0_127,Interface Profile 0_127 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_127,Interface Profile 1_127 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_127,Interface Profile 2_127 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_127,Interface Profile 3_127 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_127,Interface Profile 4_127 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_127,Interface Profile 5_127 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_127,Interface Profile 6_127 Default Values Register" line.long 0x1C "CIDEF7_127,Interface Profile 7_127 Default Values Register" line.long 0x20 "CEDEF0_127,Interface Profile 0_127 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_127,Interface Profile 1_127 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_127,Interface Profile 2_127 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x20000++0x2B line.long 0x00 "CIDEF0_128,Interface Profile 0_128 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_128,Interface Profile 1_128 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_128,Interface Profile 2_128 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_128,Interface Profile 3_128 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_128,Interface Profile 4_128 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_128,Interface Profile 5_128 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_128,Interface Profile 6_128 Default Values Register" line.long 0x1C "CIDEF7_128,Interface Profile 7_128 Default Values Register" line.long 0x20 "CEDEF0_128,Interface Profile 0_128 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_128,Interface Profile 1_128 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_128,Interface Profile 2_128 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x20400++0x2B line.long 0x00 "CIDEF0_129,Interface Profile 0_129 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_129,Interface Profile 1_129 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_129,Interface Profile 2_129 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_129,Interface Profile 3_129 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_129,Interface Profile 4_129 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_129,Interface Profile 5_129 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_129,Interface Profile 6_129 Default Values Register" line.long 0x1C "CIDEF7_129,Interface Profile 7_129 Default Values Register" line.long 0x20 "CEDEF0_129,Interface Profile 0_129 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_129,Interface Profile 1_129 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_129,Interface Profile 2_129 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x20800++0x2B line.long 0x00 "CIDEF0_130,Interface Profile 0_130 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_130,Interface Profile 1_130 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_130,Interface Profile 2_130 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_130,Interface Profile 3_130 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_130,Interface Profile 4_130 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_130,Interface Profile 5_130 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_130,Interface Profile 6_130 Default Values Register" line.long 0x1C "CIDEF7_130,Interface Profile 7_130 Default Values Register" line.long 0x20 "CEDEF0_130,Interface Profile 0_130 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_130,Interface Profile 1_130 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_130,Interface Profile 2_130 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x20C00++0x2B line.long 0x00 "CIDEF0_131,Interface Profile 0_131 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_131,Interface Profile 1_131 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_131,Interface Profile 2_131 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_131,Interface Profile 3_131 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_131,Interface Profile 4_131 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_131,Interface Profile 5_131 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_131,Interface Profile 6_131 Default Values Register" line.long 0x1C "CIDEF7_131,Interface Profile 7_131 Default Values Register" line.long 0x20 "CEDEF0_131,Interface Profile 0_131 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_131,Interface Profile 1_131 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_131,Interface Profile 2_131 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x21000++0x2B line.long 0x00 "CIDEF0_132,Interface Profile 0_132 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_132,Interface Profile 1_132 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_132,Interface Profile 2_132 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_132,Interface Profile 3_132 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_132,Interface Profile 4_132 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_132,Interface Profile 5_132 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_132,Interface Profile 6_132 Default Values Register" line.long 0x1C "CIDEF7_132,Interface Profile 7_132 Default Values Register" line.long 0x20 "CEDEF0_132,Interface Profile 0_132 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_132,Interface Profile 1_132 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_132,Interface Profile 2_132 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x21400++0x2B line.long 0x00 "CIDEF0_133,Interface Profile 0_133 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_133,Interface Profile 1_133 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_133,Interface Profile 2_133 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_133,Interface Profile 3_133 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_133,Interface Profile 4_133 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_133,Interface Profile 5_133 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_133,Interface Profile 6_133 Default Values Register" line.long 0x1C "CIDEF7_133,Interface Profile 7_133 Default Values Register" line.long 0x20 "CEDEF0_133,Interface Profile 0_133 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_133,Interface Profile 1_133 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_133,Interface Profile 2_133 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x21800++0x2B line.long 0x00 "CIDEF0_134,Interface Profile 0_134 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_134,Interface Profile 1_134 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_134,Interface Profile 2_134 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_134,Interface Profile 3_134 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_134,Interface Profile 4_134 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_134,Interface Profile 5_134 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_134,Interface Profile 6_134 Default Values Register" line.long 0x1C "CIDEF7_134,Interface Profile 7_134 Default Values Register" line.long 0x20 "CEDEF0_134,Interface Profile 0_134 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_134,Interface Profile 1_134 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_134,Interface Profile 2_134 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x21C00++0x2B line.long 0x00 "CIDEF0_135,Interface Profile 0_135 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_135,Interface Profile 1_135 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_135,Interface Profile 2_135 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_135,Interface Profile 3_135 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_135,Interface Profile 4_135 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_135,Interface Profile 5_135 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_135,Interface Profile 6_135 Default Values Register" line.long 0x1C "CIDEF7_135,Interface Profile 7_135 Default Values Register" line.long 0x20 "CEDEF0_135,Interface Profile 0_135 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_135,Interface Profile 1_135 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_135,Interface Profile 2_135 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x22000++0x2B line.long 0x00 "CIDEF0_136,Interface Profile 0_136 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_136,Interface Profile 1_136 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_136,Interface Profile 2_136 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_136,Interface Profile 3_136 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_136,Interface Profile 4_136 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_136,Interface Profile 5_136 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_136,Interface Profile 6_136 Default Values Register" line.long 0x1C "CIDEF7_136,Interface Profile 7_136 Default Values Register" line.long 0x20 "CEDEF0_136,Interface Profile 0_136 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_136,Interface Profile 1_136 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_136,Interface Profile 2_136 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x22400++0x2B line.long 0x00 "CIDEF0_137,Interface Profile 0_137 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_137,Interface Profile 1_137 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_137,Interface Profile 2_137 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_137,Interface Profile 3_137 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_137,Interface Profile 4_137 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_137,Interface Profile 5_137 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_137,Interface Profile 6_137 Default Values Register" line.long 0x1C "CIDEF7_137,Interface Profile 7_137 Default Values Register" line.long 0x20 "CEDEF0_137,Interface Profile 0_137 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_137,Interface Profile 1_137 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_137,Interface Profile 2_137 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x22800++0x2B line.long 0x00 "CIDEF0_138,Interface Profile 0_138 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_138,Interface Profile 1_138 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_138,Interface Profile 2_138 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_138,Interface Profile 3_138 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_138,Interface Profile 4_138 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_138,Interface Profile 5_138 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_138,Interface Profile 6_138 Default Values Register" line.long 0x1C "CIDEF7_138,Interface Profile 7_138 Default Values Register" line.long 0x20 "CEDEF0_138,Interface Profile 0_138 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_138,Interface Profile 1_138 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_138,Interface Profile 2_138 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x22C00++0x2B line.long 0x00 "CIDEF0_139,Interface Profile 0_139 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_139,Interface Profile 1_139 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_139,Interface Profile 2_139 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_139,Interface Profile 3_139 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_139,Interface Profile 4_139 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_139,Interface Profile 5_139 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_139,Interface Profile 6_139 Default Values Register" line.long 0x1C "CIDEF7_139,Interface Profile 7_139 Default Values Register" line.long 0x20 "CEDEF0_139,Interface Profile 0_139 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_139,Interface Profile 1_139 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_139,Interface Profile 2_139 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x23000++0x2B line.long 0x00 "CIDEF0_140,Interface Profile 0_140 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_140,Interface Profile 1_140 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_140,Interface Profile 2_140 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_140,Interface Profile 3_140 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_140,Interface Profile 4_140 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_140,Interface Profile 5_140 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_140,Interface Profile 6_140 Default Values Register" line.long 0x1C "CIDEF7_140,Interface Profile 7_140 Default Values Register" line.long 0x20 "CEDEF0_140,Interface Profile 0_140 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_140,Interface Profile 1_140 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_140,Interface Profile 2_140 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x23400++0x2B line.long 0x00 "CIDEF0_141,Interface Profile 0_141 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_141,Interface Profile 1_141 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_141,Interface Profile 2_141 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_141,Interface Profile 3_141 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_141,Interface Profile 4_141 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_141,Interface Profile 5_141 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_141,Interface Profile 6_141 Default Values Register" line.long 0x1C "CIDEF7_141,Interface Profile 7_141 Default Values Register" line.long 0x20 "CEDEF0_141,Interface Profile 0_141 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_141,Interface Profile 1_141 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_141,Interface Profile 2_141 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x23800++0x2B line.long 0x00 "CIDEF0_142,Interface Profile 0_142 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_142,Interface Profile 1_142 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_142,Interface Profile 2_142 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_142,Interface Profile 3_142 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_142,Interface Profile 4_142 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_142,Interface Profile 5_142 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_142,Interface Profile 6_142 Default Values Register" line.long 0x1C "CIDEF7_142,Interface Profile 7_142 Default Values Register" line.long 0x20 "CEDEF0_142,Interface Profile 0_142 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_142,Interface Profile 1_142 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_142,Interface Profile 2_142 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x23C00++0x2B line.long 0x00 "CIDEF0_143,Interface Profile 0_143 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_143,Interface Profile 1_143 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_143,Interface Profile 2_143 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_143,Interface Profile 3_143 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_143,Interface Profile 4_143 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_143,Interface Profile 5_143 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_143,Interface Profile 6_143 Default Values Register" line.long 0x1C "CIDEF7_143,Interface Profile 7_143 Default Values Register" line.long 0x20 "CEDEF0_143,Interface Profile 0_143 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_143,Interface Profile 1_143 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_143,Interface Profile 2_143 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x24000++0x2B line.long 0x00 "CIDEF0_144,Interface Profile 0_144 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_144,Interface Profile 1_144 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_144,Interface Profile 2_144 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_144,Interface Profile 3_144 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_144,Interface Profile 4_144 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_144,Interface Profile 5_144 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_144,Interface Profile 6_144 Default Values Register" line.long 0x1C "CIDEF7_144,Interface Profile 7_144 Default Values Register" line.long 0x20 "CEDEF0_144,Interface Profile 0_144 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_144,Interface Profile 1_144 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_144,Interface Profile 2_144 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x24400++0x2B line.long 0x00 "CIDEF0_145,Interface Profile 0_145 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_145,Interface Profile 1_145 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_145,Interface Profile 2_145 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_145,Interface Profile 3_145 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_145,Interface Profile 4_145 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_145,Interface Profile 5_145 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_145,Interface Profile 6_145 Default Values Register" line.long 0x1C "CIDEF7_145,Interface Profile 7_145 Default Values Register" line.long 0x20 "CEDEF0_145,Interface Profile 0_145 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_145,Interface Profile 1_145 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_145,Interface Profile 2_145 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x24800++0x2B line.long 0x00 "CIDEF0_146,Interface Profile 0_146 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_146,Interface Profile 1_146 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_146,Interface Profile 2_146 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_146,Interface Profile 3_146 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_146,Interface Profile 4_146 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_146,Interface Profile 5_146 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_146,Interface Profile 6_146 Default Values Register" line.long 0x1C "CIDEF7_146,Interface Profile 7_146 Default Values Register" line.long 0x20 "CEDEF0_146,Interface Profile 0_146 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_146,Interface Profile 1_146 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_146,Interface Profile 2_146 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x24C00++0x2B line.long 0x00 "CIDEF0_147,Interface Profile 0_147 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_147,Interface Profile 1_147 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_147,Interface Profile 2_147 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_147,Interface Profile 3_147 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_147,Interface Profile 4_147 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_147,Interface Profile 5_147 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_147,Interface Profile 6_147 Default Values Register" line.long 0x1C "CIDEF7_147,Interface Profile 7_147 Default Values Register" line.long 0x20 "CEDEF0_147,Interface Profile 0_147 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_147,Interface Profile 1_147 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_147,Interface Profile 2_147 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x25000++0x2B line.long 0x00 "CIDEF0_148,Interface Profile 0_148 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_148,Interface Profile 1_148 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_148,Interface Profile 2_148 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_148,Interface Profile 3_148 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_148,Interface Profile 4_148 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_148,Interface Profile 5_148 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_148,Interface Profile 6_148 Default Values Register" line.long 0x1C "CIDEF7_148,Interface Profile 7_148 Default Values Register" line.long 0x20 "CEDEF0_148,Interface Profile 0_148 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_148,Interface Profile 1_148 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_148,Interface Profile 2_148 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x25400++0x2B line.long 0x00 "CIDEF0_149,Interface Profile 0_149 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_149,Interface Profile 1_149 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_149,Interface Profile 2_149 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_149,Interface Profile 3_149 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_149,Interface Profile 4_149 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_149,Interface Profile 5_149 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_149,Interface Profile 6_149 Default Values Register" line.long 0x1C "CIDEF7_149,Interface Profile 7_149 Default Values Register" line.long 0x20 "CEDEF0_149,Interface Profile 0_149 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_149,Interface Profile 1_149 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_149,Interface Profile 2_149 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x25800++0x2B line.long 0x00 "CIDEF0_150,Interface Profile 0_150 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_150,Interface Profile 1_150 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_150,Interface Profile 2_150 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_150,Interface Profile 3_150 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_150,Interface Profile 4_150 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_150,Interface Profile 5_150 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_150,Interface Profile 6_150 Default Values Register" line.long 0x1C "CIDEF7_150,Interface Profile 7_150 Default Values Register" line.long 0x20 "CEDEF0_150,Interface Profile 0_150 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_150,Interface Profile 1_150 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_150,Interface Profile 2_150 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x25C00++0x2B line.long 0x00 "CIDEF0_151,Interface Profile 0_151 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_151,Interface Profile 1_151 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_151,Interface Profile 2_151 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_151,Interface Profile 3_151 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_151,Interface Profile 4_151 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_151,Interface Profile 5_151 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_151,Interface Profile 6_151 Default Values Register" line.long 0x1C "CIDEF7_151,Interface Profile 7_151 Default Values Register" line.long 0x20 "CEDEF0_151,Interface Profile 0_151 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_151,Interface Profile 1_151 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_151,Interface Profile 2_151 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x26000++0x2B line.long 0x00 "CIDEF0_152,Interface Profile 0_152 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_152,Interface Profile 1_152 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_152,Interface Profile 2_152 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_152,Interface Profile 3_152 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_152,Interface Profile 4_152 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_152,Interface Profile 5_152 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_152,Interface Profile 6_152 Default Values Register" line.long 0x1C "CIDEF7_152,Interface Profile 7_152 Default Values Register" line.long 0x20 "CEDEF0_152,Interface Profile 0_152 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_152,Interface Profile 1_152 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_152,Interface Profile 2_152 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x26400++0x2B line.long 0x00 "CIDEF0_153,Interface Profile 0_153 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_153,Interface Profile 1_153 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_153,Interface Profile 2_153 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_153,Interface Profile 3_153 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_153,Interface Profile 4_153 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_153,Interface Profile 5_153 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_153,Interface Profile 6_153 Default Values Register" line.long 0x1C "CIDEF7_153,Interface Profile 7_153 Default Values Register" line.long 0x20 "CEDEF0_153,Interface Profile 0_153 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_153,Interface Profile 1_153 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_153,Interface Profile 2_153 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x26800++0x2B line.long 0x00 "CIDEF0_154,Interface Profile 0_154 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_154,Interface Profile 1_154 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_154,Interface Profile 2_154 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_154,Interface Profile 3_154 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_154,Interface Profile 4_154 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_154,Interface Profile 5_154 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_154,Interface Profile 6_154 Default Values Register" line.long 0x1C "CIDEF7_154,Interface Profile 7_154 Default Values Register" line.long 0x20 "CEDEF0_154,Interface Profile 0_154 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_154,Interface Profile 1_154 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_154,Interface Profile 2_154 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x26C00++0x2B line.long 0x00 "CIDEF0_155,Interface Profile 0_155 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_155,Interface Profile 1_155 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_155,Interface Profile 2_155 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_155,Interface Profile 3_155 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_155,Interface Profile 4_155 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_155,Interface Profile 5_155 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_155,Interface Profile 6_155 Default Values Register" line.long 0x1C "CIDEF7_155,Interface Profile 7_155 Default Values Register" line.long 0x20 "CEDEF0_155,Interface Profile 0_155 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_155,Interface Profile 1_155 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_155,Interface Profile 2_155 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x27000++0x2B line.long 0x00 "CIDEF0_156,Interface Profile 0_156 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_156,Interface Profile 1_156 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_156,Interface Profile 2_156 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_156,Interface Profile 3_156 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_156,Interface Profile 4_156 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_156,Interface Profile 5_156 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_156,Interface Profile 6_156 Default Values Register" line.long 0x1C "CIDEF7_156,Interface Profile 7_156 Default Values Register" line.long 0x20 "CEDEF0_156,Interface Profile 0_156 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_156,Interface Profile 1_156 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_156,Interface Profile 2_156 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x27400++0x2B line.long 0x00 "CIDEF0_157,Interface Profile 0_157 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_157,Interface Profile 1_157 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_157,Interface Profile 2_157 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_157,Interface Profile 3_157 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_157,Interface Profile 4_157 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_157,Interface Profile 5_157 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_157,Interface Profile 6_157 Default Values Register" line.long 0x1C "CIDEF7_157,Interface Profile 7_157 Default Values Register" line.long 0x20 "CEDEF0_157,Interface Profile 0_157 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_157,Interface Profile 1_157 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_157,Interface Profile 2_157 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x27800++0x2B line.long 0x00 "CIDEF0_158,Interface Profile 0_158 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_158,Interface Profile 1_158 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_158,Interface Profile 2_158 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_158,Interface Profile 3_158 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_158,Interface Profile 4_158 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_158,Interface Profile 5_158 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_158,Interface Profile 6_158 Default Values Register" line.long 0x1C "CIDEF7_158,Interface Profile 7_158 Default Values Register" line.long 0x20 "CEDEF0_158,Interface Profile 0_158 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_158,Interface Profile 1_158 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_158,Interface Profile 2_158 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x27C00++0x2B line.long 0x00 "CIDEF0_159,Interface Profile 0_159 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_159,Interface Profile 1_159 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_159,Interface Profile 2_159 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_159,Interface Profile 3_159 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_159,Interface Profile 4_159 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_159,Interface Profile 5_159 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_159,Interface Profile 6_159 Default Values Register" line.long 0x1C "CIDEF7_159,Interface Profile 7_159 Default Values Register" line.long 0x20 "CEDEF0_159,Interface Profile 0_159 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_159,Interface Profile 1_159 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_159,Interface Profile 2_159 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x28000++0x2B line.long 0x00 "CIDEF0_160,Interface Profile 0_160 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_160,Interface Profile 1_160 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_160,Interface Profile 2_160 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_160,Interface Profile 3_160 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_160,Interface Profile 4_160 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_160,Interface Profile 5_160 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_160,Interface Profile 6_160 Default Values Register" line.long 0x1C "CIDEF7_160,Interface Profile 7_160 Default Values Register" line.long 0x20 "CEDEF0_160,Interface Profile 0_160 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_160,Interface Profile 1_160 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_160,Interface Profile 2_160 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x28400++0x2B line.long 0x00 "CIDEF0_161,Interface Profile 0_161 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_161,Interface Profile 1_161 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_161,Interface Profile 2_161 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_161,Interface Profile 3_161 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_161,Interface Profile 4_161 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_161,Interface Profile 5_161 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_161,Interface Profile 6_161 Default Values Register" line.long 0x1C "CIDEF7_161,Interface Profile 7_161 Default Values Register" line.long 0x20 "CEDEF0_161,Interface Profile 0_161 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_161,Interface Profile 1_161 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_161,Interface Profile 2_161 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x28800++0x2B line.long 0x00 "CIDEF0_162,Interface Profile 0_162 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_162,Interface Profile 1_162 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_162,Interface Profile 2_162 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_162,Interface Profile 3_162 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_162,Interface Profile 4_162 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_162,Interface Profile 5_162 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_162,Interface Profile 6_162 Default Values Register" line.long 0x1C "CIDEF7_162,Interface Profile 7_162 Default Values Register" line.long 0x20 "CEDEF0_162,Interface Profile 0_162 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_162,Interface Profile 1_162 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_162,Interface Profile 2_162 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x28C00++0x2B line.long 0x00 "CIDEF0_163,Interface Profile 0_163 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_163,Interface Profile 1_163 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_163,Interface Profile 2_163 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_163,Interface Profile 3_163 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_163,Interface Profile 4_163 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_163,Interface Profile 5_163 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_163,Interface Profile 6_163 Default Values Register" line.long 0x1C "CIDEF7_163,Interface Profile 7_163 Default Values Register" line.long 0x20 "CEDEF0_163,Interface Profile 0_163 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_163,Interface Profile 1_163 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_163,Interface Profile 2_163 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x29000++0x2B line.long 0x00 "CIDEF0_164,Interface Profile 0_164 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_164,Interface Profile 1_164 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_164,Interface Profile 2_164 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_164,Interface Profile 3_164 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_164,Interface Profile 4_164 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_164,Interface Profile 5_164 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_164,Interface Profile 6_164 Default Values Register" line.long 0x1C "CIDEF7_164,Interface Profile 7_164 Default Values Register" line.long 0x20 "CEDEF0_164,Interface Profile 0_164 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_164,Interface Profile 1_164 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_164,Interface Profile 2_164 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x29400++0x2B line.long 0x00 "CIDEF0_165,Interface Profile 0_165 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_165,Interface Profile 1_165 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_165,Interface Profile 2_165 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_165,Interface Profile 3_165 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_165,Interface Profile 4_165 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_165,Interface Profile 5_165 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_165,Interface Profile 6_165 Default Values Register" line.long 0x1C "CIDEF7_165,Interface Profile 7_165 Default Values Register" line.long 0x20 "CEDEF0_165,Interface Profile 0_165 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_165,Interface Profile 1_165 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_165,Interface Profile 2_165 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x29800++0x2B line.long 0x00 "CIDEF0_166,Interface Profile 0_166 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_166,Interface Profile 1_166 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_166,Interface Profile 2_166 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_166,Interface Profile 3_166 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_166,Interface Profile 4_166 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_166,Interface Profile 5_166 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_166,Interface Profile 6_166 Default Values Register" line.long 0x1C "CIDEF7_166,Interface Profile 7_166 Default Values Register" line.long 0x20 "CEDEF0_166,Interface Profile 0_166 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_166,Interface Profile 1_166 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_166,Interface Profile 2_166 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x29C00++0x2B line.long 0x00 "CIDEF0_167,Interface Profile 0_167 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_167,Interface Profile 1_167 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_167,Interface Profile 2_167 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_167,Interface Profile 3_167 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_167,Interface Profile 4_167 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_167,Interface Profile 5_167 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_167,Interface Profile 6_167 Default Values Register" line.long 0x1C "CIDEF7_167,Interface Profile 7_167 Default Values Register" line.long 0x20 "CEDEF0_167,Interface Profile 0_167 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_167,Interface Profile 1_167 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_167,Interface Profile 2_167 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2A000++0x2B line.long 0x00 "CIDEF0_168,Interface Profile 0_168 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_168,Interface Profile 1_168 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_168,Interface Profile 2_168 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_168,Interface Profile 3_168 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_168,Interface Profile 4_168 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_168,Interface Profile 5_168 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_168,Interface Profile 6_168 Default Values Register" line.long 0x1C "CIDEF7_168,Interface Profile 7_168 Default Values Register" line.long 0x20 "CEDEF0_168,Interface Profile 0_168 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_168,Interface Profile 1_168 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_168,Interface Profile 2_168 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2A400++0x2B line.long 0x00 "CIDEF0_169,Interface Profile 0_169 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_169,Interface Profile 1_169 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_169,Interface Profile 2_169 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_169,Interface Profile 3_169 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_169,Interface Profile 4_169 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_169,Interface Profile 5_169 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_169,Interface Profile 6_169 Default Values Register" line.long 0x1C "CIDEF7_169,Interface Profile 7_169 Default Values Register" line.long 0x20 "CEDEF0_169,Interface Profile 0_169 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_169,Interface Profile 1_169 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_169,Interface Profile 2_169 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2A800++0x2B line.long 0x00 "CIDEF0_170,Interface Profile 0_170 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_170,Interface Profile 1_170 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_170,Interface Profile 2_170 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_170,Interface Profile 3_170 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_170,Interface Profile 4_170 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_170,Interface Profile 5_170 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_170,Interface Profile 6_170 Default Values Register" line.long 0x1C "CIDEF7_170,Interface Profile 7_170 Default Values Register" line.long 0x20 "CEDEF0_170,Interface Profile 0_170 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_170,Interface Profile 1_170 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_170,Interface Profile 2_170 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2AC00++0x2B line.long 0x00 "CIDEF0_171,Interface Profile 0_171 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_171,Interface Profile 1_171 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_171,Interface Profile 2_171 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_171,Interface Profile 3_171 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_171,Interface Profile 4_171 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_171,Interface Profile 5_171 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_171,Interface Profile 6_171 Default Values Register" line.long 0x1C "CIDEF7_171,Interface Profile 7_171 Default Values Register" line.long 0x20 "CEDEF0_171,Interface Profile 0_171 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_171,Interface Profile 1_171 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_171,Interface Profile 2_171 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2B000++0x2B line.long 0x00 "CIDEF0_172,Interface Profile 0_172 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_172,Interface Profile 1_172 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_172,Interface Profile 2_172 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_172,Interface Profile 3_172 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_172,Interface Profile 4_172 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_172,Interface Profile 5_172 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_172,Interface Profile 6_172 Default Values Register" line.long 0x1C "CIDEF7_172,Interface Profile 7_172 Default Values Register" line.long 0x20 "CEDEF0_172,Interface Profile 0_172 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_172,Interface Profile 1_172 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_172,Interface Profile 2_172 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2B400++0x2B line.long 0x00 "CIDEF0_173,Interface Profile 0_173 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_173,Interface Profile 1_173 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_173,Interface Profile 2_173 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_173,Interface Profile 3_173 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_173,Interface Profile 4_173 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_173,Interface Profile 5_173 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_173,Interface Profile 6_173 Default Values Register" line.long 0x1C "CIDEF7_173,Interface Profile 7_173 Default Values Register" line.long 0x20 "CEDEF0_173,Interface Profile 0_173 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_173,Interface Profile 1_173 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_173,Interface Profile 2_173 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2B800++0x2B line.long 0x00 "CIDEF0_174,Interface Profile 0_174 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_174,Interface Profile 1_174 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_174,Interface Profile 2_174 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_174,Interface Profile 3_174 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_174,Interface Profile 4_174 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_174,Interface Profile 5_174 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_174,Interface Profile 6_174 Default Values Register" line.long 0x1C "CIDEF7_174,Interface Profile 7_174 Default Values Register" line.long 0x20 "CEDEF0_174,Interface Profile 0_174 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_174,Interface Profile 1_174 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_174,Interface Profile 2_174 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2BC00++0x2B line.long 0x00 "CIDEF0_175,Interface Profile 0_175 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_175,Interface Profile 1_175 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_175,Interface Profile 2_175 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_175,Interface Profile 3_175 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_175,Interface Profile 4_175 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_175,Interface Profile 5_175 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_175,Interface Profile 6_175 Default Values Register" line.long 0x1C "CIDEF7_175,Interface Profile 7_175 Default Values Register" line.long 0x20 "CEDEF0_175,Interface Profile 0_175 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_175,Interface Profile 1_175 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_175,Interface Profile 2_175 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2C000++0x2B line.long 0x00 "CIDEF0_176,Interface Profile 0_176 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_176,Interface Profile 1_176 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_176,Interface Profile 2_176 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_176,Interface Profile 3_176 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_176,Interface Profile 4_176 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_176,Interface Profile 5_176 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_176,Interface Profile 6_176 Default Values Register" line.long 0x1C "CIDEF7_176,Interface Profile 7_176 Default Values Register" line.long 0x20 "CEDEF0_176,Interface Profile 0_176 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_176,Interface Profile 1_176 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_176,Interface Profile 2_176 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2C400++0x2B line.long 0x00 "CIDEF0_177,Interface Profile 0_177 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_177,Interface Profile 1_177 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_177,Interface Profile 2_177 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_177,Interface Profile 3_177 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_177,Interface Profile 4_177 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_177,Interface Profile 5_177 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_177,Interface Profile 6_177 Default Values Register" line.long 0x1C "CIDEF7_177,Interface Profile 7_177 Default Values Register" line.long 0x20 "CEDEF0_177,Interface Profile 0_177 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_177,Interface Profile 1_177 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_177,Interface Profile 2_177 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2C800++0x2B line.long 0x00 "CIDEF0_178,Interface Profile 0_178 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_178,Interface Profile 1_178 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_178,Interface Profile 2_178 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_178,Interface Profile 3_178 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_178,Interface Profile 4_178 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_178,Interface Profile 5_178 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_178,Interface Profile 6_178 Default Values Register" line.long 0x1C "CIDEF7_178,Interface Profile 7_178 Default Values Register" line.long 0x20 "CEDEF0_178,Interface Profile 0_178 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_178,Interface Profile 1_178 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_178,Interface Profile 2_178 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2CC00++0x2B line.long 0x00 "CIDEF0_179,Interface Profile 0_179 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_179,Interface Profile 1_179 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_179,Interface Profile 2_179 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_179,Interface Profile 3_179 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_179,Interface Profile 4_179 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_179,Interface Profile 5_179 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_179,Interface Profile 6_179 Default Values Register" line.long 0x1C "CIDEF7_179,Interface Profile 7_179 Default Values Register" line.long 0x20 "CEDEF0_179,Interface Profile 0_179 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_179,Interface Profile 1_179 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_179,Interface Profile 2_179 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2D000++0x2B line.long 0x00 "CIDEF0_180,Interface Profile 0_180 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_180,Interface Profile 1_180 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_180,Interface Profile 2_180 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_180,Interface Profile 3_180 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_180,Interface Profile 4_180 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_180,Interface Profile 5_180 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_180,Interface Profile 6_180 Default Values Register" line.long 0x1C "CIDEF7_180,Interface Profile 7_180 Default Values Register" line.long 0x20 "CEDEF0_180,Interface Profile 0_180 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_180,Interface Profile 1_180 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_180,Interface Profile 2_180 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2D400++0x2B line.long 0x00 "CIDEF0_181,Interface Profile 0_181 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_181,Interface Profile 1_181 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_181,Interface Profile 2_181 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_181,Interface Profile 3_181 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_181,Interface Profile 4_181 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_181,Interface Profile 5_181 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_181,Interface Profile 6_181 Default Values Register" line.long 0x1C "CIDEF7_181,Interface Profile 7_181 Default Values Register" line.long 0x20 "CEDEF0_181,Interface Profile 0_181 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_181,Interface Profile 1_181 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_181,Interface Profile 2_181 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2D800++0x2B line.long 0x00 "CIDEF0_182,Interface Profile 0_182 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_182,Interface Profile 1_182 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_182,Interface Profile 2_182 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_182,Interface Profile 3_182 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_182,Interface Profile 4_182 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_182,Interface Profile 5_182 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_182,Interface Profile 6_182 Default Values Register" line.long 0x1C "CIDEF7_182,Interface Profile 7_182 Default Values Register" line.long 0x20 "CEDEF0_182,Interface Profile 0_182 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_182,Interface Profile 1_182 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_182,Interface Profile 2_182 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2DC00++0x2B line.long 0x00 "CIDEF0_183,Interface Profile 0_183 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_183,Interface Profile 1_183 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_183,Interface Profile 2_183 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_183,Interface Profile 3_183 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_183,Interface Profile 4_183 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_183,Interface Profile 5_183 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_183,Interface Profile 6_183 Default Values Register" line.long 0x1C "CIDEF7_183,Interface Profile 7_183 Default Values Register" line.long 0x20 "CEDEF0_183,Interface Profile 0_183 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_183,Interface Profile 1_183 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_183,Interface Profile 2_183 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2E000++0x2B line.long 0x00 "CIDEF0_184,Interface Profile 0_184 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_184,Interface Profile 1_184 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_184,Interface Profile 2_184 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_184,Interface Profile 3_184 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_184,Interface Profile 4_184 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_184,Interface Profile 5_184 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_184,Interface Profile 6_184 Default Values Register" line.long 0x1C "CIDEF7_184,Interface Profile 7_184 Default Values Register" line.long 0x20 "CEDEF0_184,Interface Profile 0_184 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_184,Interface Profile 1_184 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_184,Interface Profile 2_184 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2E400++0x2B line.long 0x00 "CIDEF0_185,Interface Profile 0_185 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_185,Interface Profile 1_185 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_185,Interface Profile 2_185 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_185,Interface Profile 3_185 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_185,Interface Profile 4_185 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_185,Interface Profile 5_185 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_185,Interface Profile 6_185 Default Values Register" line.long 0x1C "CIDEF7_185,Interface Profile 7_185 Default Values Register" line.long 0x20 "CEDEF0_185,Interface Profile 0_185 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_185,Interface Profile 1_185 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_185,Interface Profile 2_185 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2E800++0x2B line.long 0x00 "CIDEF0_186,Interface Profile 0_186 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_186,Interface Profile 1_186 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_186,Interface Profile 2_186 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_186,Interface Profile 3_186 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_186,Interface Profile 4_186 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_186,Interface Profile 5_186 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_186,Interface Profile 6_186 Default Values Register" line.long 0x1C "CIDEF7_186,Interface Profile 7_186 Default Values Register" line.long 0x20 "CEDEF0_186,Interface Profile 0_186 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_186,Interface Profile 1_186 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_186,Interface Profile 2_186 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2EC00++0x2B line.long 0x00 "CIDEF0_187,Interface Profile 0_187 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_187,Interface Profile 1_187 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_187,Interface Profile 2_187 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_187,Interface Profile 3_187 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_187,Interface Profile 4_187 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_187,Interface Profile 5_187 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_187,Interface Profile 6_187 Default Values Register" line.long 0x1C "CIDEF7_187,Interface Profile 7_187 Default Values Register" line.long 0x20 "CEDEF0_187,Interface Profile 0_187 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_187,Interface Profile 1_187 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_187,Interface Profile 2_187 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2F000++0x2B line.long 0x00 "CIDEF0_188,Interface Profile 0_188 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_188,Interface Profile 1_188 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_188,Interface Profile 2_188 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_188,Interface Profile 3_188 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_188,Interface Profile 4_188 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_188,Interface Profile 5_188 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_188,Interface Profile 6_188 Default Values Register" line.long 0x1C "CIDEF7_188,Interface Profile 7_188 Default Values Register" line.long 0x20 "CEDEF0_188,Interface Profile 0_188 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_188,Interface Profile 1_188 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_188,Interface Profile 2_188 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2F400++0x2B line.long 0x00 "CIDEF0_189,Interface Profile 0_189 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_189,Interface Profile 1_189 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_189,Interface Profile 2_189 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_189,Interface Profile 3_189 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_189,Interface Profile 4_189 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_189,Interface Profile 5_189 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_189,Interface Profile 6_189 Default Values Register" line.long 0x1C "CIDEF7_189,Interface Profile 7_189 Default Values Register" line.long 0x20 "CEDEF0_189,Interface Profile 0_189 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_189,Interface Profile 1_189 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_189,Interface Profile 2_189 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2F800++0x2B line.long 0x00 "CIDEF0_190,Interface Profile 0_190 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_190,Interface Profile 1_190 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_190,Interface Profile 2_190 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_190,Interface Profile 3_190 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_190,Interface Profile 4_190 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_190,Interface Profile 5_190 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_190,Interface Profile 6_190 Default Values Register" line.long 0x1C "CIDEF7_190,Interface Profile 7_190 Default Values Register" line.long 0x20 "CEDEF0_190,Interface Profile 0_190 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_190,Interface Profile 1_190 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_190,Interface Profile 2_190 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x2FC00++0x2B line.long 0x00 "CIDEF0_191,Interface Profile 0_191 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_191,Interface Profile 1_191 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_191,Interface Profile 2_191 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_191,Interface Profile 3_191 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_191,Interface Profile 4_191 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_191,Interface Profile 5_191 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_191,Interface Profile 6_191 Default Values Register" line.long 0x1C "CIDEF7_191,Interface Profile 7_191 Default Values Register" line.long 0x20 "CEDEF0_191,Interface Profile 0_191 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_191,Interface Profile 1_191 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_191,Interface Profile 2_191 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x30000++0x2B line.long 0x00 "CIDEF0_192,Interface Profile 0_192 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_192,Interface Profile 1_192 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_192,Interface Profile 2_192 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_192,Interface Profile 3_192 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_192,Interface Profile 4_192 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_192,Interface Profile 5_192 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_192,Interface Profile 6_192 Default Values Register" line.long 0x1C "CIDEF7_192,Interface Profile 7_192 Default Values Register" line.long 0x20 "CEDEF0_192,Interface Profile 0_192 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_192,Interface Profile 1_192 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_192,Interface Profile 2_192 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x30400++0x2B line.long 0x00 "CIDEF0_193,Interface Profile 0_193 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_193,Interface Profile 1_193 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_193,Interface Profile 2_193 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_193,Interface Profile 3_193 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_193,Interface Profile 4_193 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_193,Interface Profile 5_193 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_193,Interface Profile 6_193 Default Values Register" line.long 0x1C "CIDEF7_193,Interface Profile 7_193 Default Values Register" line.long 0x20 "CEDEF0_193,Interface Profile 0_193 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_193,Interface Profile 1_193 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_193,Interface Profile 2_193 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x30800++0x2B line.long 0x00 "CIDEF0_194,Interface Profile 0_194 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_194,Interface Profile 1_194 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_194,Interface Profile 2_194 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_194,Interface Profile 3_194 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_194,Interface Profile 4_194 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_194,Interface Profile 5_194 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_194,Interface Profile 6_194 Default Values Register" line.long 0x1C "CIDEF7_194,Interface Profile 7_194 Default Values Register" line.long 0x20 "CEDEF0_194,Interface Profile 0_194 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_194,Interface Profile 1_194 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_194,Interface Profile 2_194 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x30C00++0x2B line.long 0x00 "CIDEF0_195,Interface Profile 0_195 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_195,Interface Profile 1_195 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_195,Interface Profile 2_195 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_195,Interface Profile 3_195 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_195,Interface Profile 4_195 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_195,Interface Profile 5_195 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_195,Interface Profile 6_195 Default Values Register" line.long 0x1C "CIDEF7_195,Interface Profile 7_195 Default Values Register" line.long 0x20 "CEDEF0_195,Interface Profile 0_195 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_195,Interface Profile 1_195 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_195,Interface Profile 2_195 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x31000++0x2B line.long 0x00 "CIDEF0_196,Interface Profile 0_196 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_196,Interface Profile 1_196 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_196,Interface Profile 2_196 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_196,Interface Profile 3_196 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_196,Interface Profile 4_196 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_196,Interface Profile 5_196 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_196,Interface Profile 6_196 Default Values Register" line.long 0x1C "CIDEF7_196,Interface Profile 7_196 Default Values Register" line.long 0x20 "CEDEF0_196,Interface Profile 0_196 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_196,Interface Profile 1_196 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_196,Interface Profile 2_196 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x31400++0x2B line.long 0x00 "CIDEF0_197,Interface Profile 0_197 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_197,Interface Profile 1_197 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_197,Interface Profile 2_197 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_197,Interface Profile 3_197 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_197,Interface Profile 4_197 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_197,Interface Profile 5_197 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_197,Interface Profile 6_197 Default Values Register" line.long 0x1C "CIDEF7_197,Interface Profile 7_197 Default Values Register" line.long 0x20 "CEDEF0_197,Interface Profile 0_197 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_197,Interface Profile 1_197 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_197,Interface Profile 2_197 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x31800++0x2B line.long 0x00 "CIDEF0_198,Interface Profile 0_198 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_198,Interface Profile 1_198 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_198,Interface Profile 2_198 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_198,Interface Profile 3_198 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_198,Interface Profile 4_198 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_198,Interface Profile 5_198 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_198,Interface Profile 6_198 Default Values Register" line.long 0x1C "CIDEF7_198,Interface Profile 7_198 Default Values Register" line.long 0x20 "CEDEF0_198,Interface Profile 0_198 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_198,Interface Profile 1_198 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_198,Interface Profile 2_198 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x31C00++0x2B line.long 0x00 "CIDEF0_199,Interface Profile 0_199 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_199,Interface Profile 1_199 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_199,Interface Profile 2_199 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_199,Interface Profile 3_199 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_199,Interface Profile 4_199 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_199,Interface Profile 5_199 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_199,Interface Profile 6_199 Default Values Register" line.long 0x1C "CIDEF7_199,Interface Profile 7_199 Default Values Register" line.long 0x20 "CEDEF0_199,Interface Profile 0_199 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_199,Interface Profile 1_199 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_199,Interface Profile 2_199 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x32000++0x2B line.long 0x00 "CIDEF0_200,Interface Profile 0_200 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_200,Interface Profile 1_200 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_200,Interface Profile 2_200 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_200,Interface Profile 3_200 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_200,Interface Profile 4_200 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_200,Interface Profile 5_200 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_200,Interface Profile 6_200 Default Values Register" line.long 0x1C "CIDEF7_200,Interface Profile 7_200 Default Values Register" line.long 0x20 "CEDEF0_200,Interface Profile 0_200 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_200,Interface Profile 1_200 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_200,Interface Profile 2_200 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x32400++0x2B line.long 0x00 "CIDEF0_201,Interface Profile 0_201 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_201,Interface Profile 1_201 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_201,Interface Profile 2_201 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_201,Interface Profile 3_201 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_201,Interface Profile 4_201 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_201,Interface Profile 5_201 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_201,Interface Profile 6_201 Default Values Register" line.long 0x1C "CIDEF7_201,Interface Profile 7_201 Default Values Register" line.long 0x20 "CEDEF0_201,Interface Profile 0_201 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_201,Interface Profile 1_201 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_201,Interface Profile 2_201 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x32800++0x2B line.long 0x00 "CIDEF0_202,Interface Profile 0_202 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_202,Interface Profile 1_202 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_202,Interface Profile 2_202 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_202,Interface Profile 3_202 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_202,Interface Profile 4_202 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_202,Interface Profile 5_202 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_202,Interface Profile 6_202 Default Values Register" line.long 0x1C "CIDEF7_202,Interface Profile 7_202 Default Values Register" line.long 0x20 "CEDEF0_202,Interface Profile 0_202 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_202,Interface Profile 1_202 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_202,Interface Profile 2_202 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x32C00++0x2B line.long 0x00 "CIDEF0_203,Interface Profile 0_203 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_203,Interface Profile 1_203 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_203,Interface Profile 2_203 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_203,Interface Profile 3_203 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_203,Interface Profile 4_203 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_203,Interface Profile 5_203 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_203,Interface Profile 6_203 Default Values Register" line.long 0x1C "CIDEF7_203,Interface Profile 7_203 Default Values Register" line.long 0x20 "CEDEF0_203,Interface Profile 0_203 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_203,Interface Profile 1_203 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_203,Interface Profile 2_203 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x33000++0x2B line.long 0x00 "CIDEF0_204,Interface Profile 0_204 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_204,Interface Profile 1_204 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_204,Interface Profile 2_204 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_204,Interface Profile 3_204 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_204,Interface Profile 4_204 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_204,Interface Profile 5_204 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_204,Interface Profile 6_204 Default Values Register" line.long 0x1C "CIDEF7_204,Interface Profile 7_204 Default Values Register" line.long 0x20 "CEDEF0_204,Interface Profile 0_204 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_204,Interface Profile 1_204 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_204,Interface Profile 2_204 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x33400++0x2B line.long 0x00 "CIDEF0_205,Interface Profile 0_205 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_205,Interface Profile 1_205 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_205,Interface Profile 2_205 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_205,Interface Profile 3_205 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_205,Interface Profile 4_205 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_205,Interface Profile 5_205 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_205,Interface Profile 6_205 Default Values Register" line.long 0x1C "CIDEF7_205,Interface Profile 7_205 Default Values Register" line.long 0x20 "CEDEF0_205,Interface Profile 0_205 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_205,Interface Profile 1_205 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_205,Interface Profile 2_205 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x33800++0x2B line.long 0x00 "CIDEF0_206,Interface Profile 0_206 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_206,Interface Profile 1_206 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_206,Interface Profile 2_206 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_206,Interface Profile 3_206 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_206,Interface Profile 4_206 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_206,Interface Profile 5_206 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_206,Interface Profile 6_206 Default Values Register" line.long 0x1C "CIDEF7_206,Interface Profile 7_206 Default Values Register" line.long 0x20 "CEDEF0_206,Interface Profile 0_206 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_206,Interface Profile 1_206 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_206,Interface Profile 2_206 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x33C00++0x2B line.long 0x00 "CIDEF0_207,Interface Profile 0_207 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_207,Interface Profile 1_207 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_207,Interface Profile 2_207 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_207,Interface Profile 3_207 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_207,Interface Profile 4_207 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_207,Interface Profile 5_207 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_207,Interface Profile 6_207 Default Values Register" line.long 0x1C "CIDEF7_207,Interface Profile 7_207 Default Values Register" line.long 0x20 "CEDEF0_207,Interface Profile 0_207 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_207,Interface Profile 1_207 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_207,Interface Profile 2_207 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x34000++0x2B line.long 0x00 "CIDEF0_208,Interface Profile 0_208 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_208,Interface Profile 1_208 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_208,Interface Profile 2_208 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_208,Interface Profile 3_208 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_208,Interface Profile 4_208 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_208,Interface Profile 5_208 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_208,Interface Profile 6_208 Default Values Register" line.long 0x1C "CIDEF7_208,Interface Profile 7_208 Default Values Register" line.long 0x20 "CEDEF0_208,Interface Profile 0_208 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_208,Interface Profile 1_208 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_208,Interface Profile 2_208 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x34400++0x2B line.long 0x00 "CIDEF0_209,Interface Profile 0_209 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_209,Interface Profile 1_209 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_209,Interface Profile 2_209 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_209,Interface Profile 3_209 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_209,Interface Profile 4_209 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_209,Interface Profile 5_209 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_209,Interface Profile 6_209 Default Values Register" line.long 0x1C "CIDEF7_209,Interface Profile 7_209 Default Values Register" line.long 0x20 "CEDEF0_209,Interface Profile 0_209 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_209,Interface Profile 1_209 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_209,Interface Profile 2_209 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x34800++0x2B line.long 0x00 "CIDEF0_210,Interface Profile 0_210 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_210,Interface Profile 1_210 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_210,Interface Profile 2_210 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_210,Interface Profile 3_210 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_210,Interface Profile 4_210 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_210,Interface Profile 5_210 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_210,Interface Profile 6_210 Default Values Register" line.long 0x1C "CIDEF7_210,Interface Profile 7_210 Default Values Register" line.long 0x20 "CEDEF0_210,Interface Profile 0_210 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_210,Interface Profile 1_210 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_210,Interface Profile 2_210 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x34C00++0x2B line.long 0x00 "CIDEF0_211,Interface Profile 0_211 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_211,Interface Profile 1_211 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_211,Interface Profile 2_211 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_211,Interface Profile 3_211 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_211,Interface Profile 4_211 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_211,Interface Profile 5_211 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_211,Interface Profile 6_211 Default Values Register" line.long 0x1C "CIDEF7_211,Interface Profile 7_211 Default Values Register" line.long 0x20 "CEDEF0_211,Interface Profile 0_211 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_211,Interface Profile 1_211 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_211,Interface Profile 2_211 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x35000++0x2B line.long 0x00 "CIDEF0_212,Interface Profile 0_212 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_212,Interface Profile 1_212 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_212,Interface Profile 2_212 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_212,Interface Profile 3_212 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_212,Interface Profile 4_212 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_212,Interface Profile 5_212 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_212,Interface Profile 6_212 Default Values Register" line.long 0x1C "CIDEF7_212,Interface Profile 7_212 Default Values Register" line.long 0x20 "CEDEF0_212,Interface Profile 0_212 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_212,Interface Profile 1_212 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_212,Interface Profile 2_212 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x35400++0x2B line.long 0x00 "CIDEF0_213,Interface Profile 0_213 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_213,Interface Profile 1_213 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_213,Interface Profile 2_213 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_213,Interface Profile 3_213 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_213,Interface Profile 4_213 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_213,Interface Profile 5_213 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_213,Interface Profile 6_213 Default Values Register" line.long 0x1C "CIDEF7_213,Interface Profile 7_213 Default Values Register" line.long 0x20 "CEDEF0_213,Interface Profile 0_213 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_213,Interface Profile 1_213 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_213,Interface Profile 2_213 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x35800++0x2B line.long 0x00 "CIDEF0_214,Interface Profile 0_214 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_214,Interface Profile 1_214 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_214,Interface Profile 2_214 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_214,Interface Profile 3_214 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_214,Interface Profile 4_214 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_214,Interface Profile 5_214 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_214,Interface Profile 6_214 Default Values Register" line.long 0x1C "CIDEF7_214,Interface Profile 7_214 Default Values Register" line.long 0x20 "CEDEF0_214,Interface Profile 0_214 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_214,Interface Profile 1_214 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_214,Interface Profile 2_214 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x35C00++0x2B line.long 0x00 "CIDEF0_215,Interface Profile 0_215 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_215,Interface Profile 1_215 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_215,Interface Profile 2_215 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_215,Interface Profile 3_215 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_215,Interface Profile 4_215 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_215,Interface Profile 5_215 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_215,Interface Profile 6_215 Default Values Register" line.long 0x1C "CIDEF7_215,Interface Profile 7_215 Default Values Register" line.long 0x20 "CEDEF0_215,Interface Profile 0_215 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_215,Interface Profile 1_215 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_215,Interface Profile 2_215 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x36000++0x2B line.long 0x00 "CIDEF0_216,Interface Profile 0_216 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_216,Interface Profile 1_216 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_216,Interface Profile 2_216 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_216,Interface Profile 3_216 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_216,Interface Profile 4_216 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_216,Interface Profile 5_216 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_216,Interface Profile 6_216 Default Values Register" line.long 0x1C "CIDEF7_216,Interface Profile 7_216 Default Values Register" line.long 0x20 "CEDEF0_216,Interface Profile 0_216 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_216,Interface Profile 1_216 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_216,Interface Profile 2_216 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x36400++0x2B line.long 0x00 "CIDEF0_217,Interface Profile 0_217 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_217,Interface Profile 1_217 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_217,Interface Profile 2_217 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_217,Interface Profile 3_217 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_217,Interface Profile 4_217 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_217,Interface Profile 5_217 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_217,Interface Profile 6_217 Default Values Register" line.long 0x1C "CIDEF7_217,Interface Profile 7_217 Default Values Register" line.long 0x20 "CEDEF0_217,Interface Profile 0_217 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_217,Interface Profile 1_217 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_217,Interface Profile 2_217 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x36800++0x2B line.long 0x00 "CIDEF0_218,Interface Profile 0_218 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_218,Interface Profile 1_218 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_218,Interface Profile 2_218 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_218,Interface Profile 3_218 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_218,Interface Profile 4_218 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_218,Interface Profile 5_218 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_218,Interface Profile 6_218 Default Values Register" line.long 0x1C "CIDEF7_218,Interface Profile 7_218 Default Values Register" line.long 0x20 "CEDEF0_218,Interface Profile 0_218 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_218,Interface Profile 1_218 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_218,Interface Profile 2_218 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x36C00++0x2B line.long 0x00 "CIDEF0_219,Interface Profile 0_219 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_219,Interface Profile 1_219 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_219,Interface Profile 2_219 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_219,Interface Profile 3_219 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_219,Interface Profile 4_219 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_219,Interface Profile 5_219 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_219,Interface Profile 6_219 Default Values Register" line.long 0x1C "CIDEF7_219,Interface Profile 7_219 Default Values Register" line.long 0x20 "CEDEF0_219,Interface Profile 0_219 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_219,Interface Profile 1_219 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_219,Interface Profile 2_219 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x37000++0x2B line.long 0x00 "CIDEF0_220,Interface Profile 0_220 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_220,Interface Profile 1_220 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_220,Interface Profile 2_220 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_220,Interface Profile 3_220 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_220,Interface Profile 4_220 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_220,Interface Profile 5_220 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_220,Interface Profile 6_220 Default Values Register" line.long 0x1C "CIDEF7_220,Interface Profile 7_220 Default Values Register" line.long 0x20 "CEDEF0_220,Interface Profile 0_220 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_220,Interface Profile 1_220 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_220,Interface Profile 2_220 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x37400++0x2B line.long 0x00 "CIDEF0_221,Interface Profile 0_221 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_221,Interface Profile 1_221 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_221,Interface Profile 2_221 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_221,Interface Profile 3_221 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_221,Interface Profile 4_221 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_221,Interface Profile 5_221 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_221,Interface Profile 6_221 Default Values Register" line.long 0x1C "CIDEF7_221,Interface Profile 7_221 Default Values Register" line.long 0x20 "CEDEF0_221,Interface Profile 0_221 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_221,Interface Profile 1_221 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_221,Interface Profile 2_221 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x37800++0x2B line.long 0x00 "CIDEF0_222,Interface Profile 0_222 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_222,Interface Profile 1_222 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_222,Interface Profile 2_222 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_222,Interface Profile 3_222 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_222,Interface Profile 4_222 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_222,Interface Profile 5_222 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_222,Interface Profile 6_222 Default Values Register" line.long 0x1C "CIDEF7_222,Interface Profile 7_222 Default Values Register" line.long 0x20 "CEDEF0_222,Interface Profile 0_222 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_222,Interface Profile 1_222 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_222,Interface Profile 2_222 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x37C00++0x2B line.long 0x00 "CIDEF0_223,Interface Profile 0_223 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_223,Interface Profile 1_223 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_223,Interface Profile 2_223 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_223,Interface Profile 3_223 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_223,Interface Profile 4_223 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_223,Interface Profile 5_223 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_223,Interface Profile 6_223 Default Values Register" line.long 0x1C "CIDEF7_223,Interface Profile 7_223 Default Values Register" line.long 0x20 "CEDEF0_223,Interface Profile 0_223 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_223,Interface Profile 1_223 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_223,Interface Profile 2_223 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x38000++0x2B line.long 0x00 "CIDEF0_224,Interface Profile 0_224 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_224,Interface Profile 1_224 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_224,Interface Profile 2_224 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_224,Interface Profile 3_224 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_224,Interface Profile 4_224 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_224,Interface Profile 5_224 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_224,Interface Profile 6_224 Default Values Register" line.long 0x1C "CIDEF7_224,Interface Profile 7_224 Default Values Register" line.long 0x20 "CEDEF0_224,Interface Profile 0_224 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_224,Interface Profile 1_224 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_224,Interface Profile 2_224 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x38400++0x2B line.long 0x00 "CIDEF0_225,Interface Profile 0_225 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_225,Interface Profile 1_225 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_225,Interface Profile 2_225 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_225,Interface Profile 3_225 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_225,Interface Profile 4_225 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_225,Interface Profile 5_225 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_225,Interface Profile 6_225 Default Values Register" line.long 0x1C "CIDEF7_225,Interface Profile 7_225 Default Values Register" line.long 0x20 "CEDEF0_225,Interface Profile 0_225 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_225,Interface Profile 1_225 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_225,Interface Profile 2_225 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x38800++0x2B line.long 0x00 "CIDEF0_226,Interface Profile 0_226 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_226,Interface Profile 1_226 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_226,Interface Profile 2_226 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_226,Interface Profile 3_226 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_226,Interface Profile 4_226 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_226,Interface Profile 5_226 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_226,Interface Profile 6_226 Default Values Register" line.long 0x1C "CIDEF7_226,Interface Profile 7_226 Default Values Register" line.long 0x20 "CEDEF0_226,Interface Profile 0_226 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_226,Interface Profile 1_226 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_226,Interface Profile 2_226 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x38C00++0x2B line.long 0x00 "CIDEF0_227,Interface Profile 0_227 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_227,Interface Profile 1_227 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_227,Interface Profile 2_227 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_227,Interface Profile 3_227 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_227,Interface Profile 4_227 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_227,Interface Profile 5_227 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_227,Interface Profile 6_227 Default Values Register" line.long 0x1C "CIDEF7_227,Interface Profile 7_227 Default Values Register" line.long 0x20 "CEDEF0_227,Interface Profile 0_227 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_227,Interface Profile 1_227 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_227,Interface Profile 2_227 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x39000++0x2B line.long 0x00 "CIDEF0_228,Interface Profile 0_228 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_228,Interface Profile 1_228 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_228,Interface Profile 2_228 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_228,Interface Profile 3_228 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_228,Interface Profile 4_228 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_228,Interface Profile 5_228 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_228,Interface Profile 6_228 Default Values Register" line.long 0x1C "CIDEF7_228,Interface Profile 7_228 Default Values Register" line.long 0x20 "CEDEF0_228,Interface Profile 0_228 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_228,Interface Profile 1_228 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_228,Interface Profile 2_228 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x39400++0x2B line.long 0x00 "CIDEF0_229,Interface Profile 0_229 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_229,Interface Profile 1_229 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_229,Interface Profile 2_229 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_229,Interface Profile 3_229 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_229,Interface Profile 4_229 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_229,Interface Profile 5_229 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_229,Interface Profile 6_229 Default Values Register" line.long 0x1C "CIDEF7_229,Interface Profile 7_229 Default Values Register" line.long 0x20 "CEDEF0_229,Interface Profile 0_229 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_229,Interface Profile 1_229 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_229,Interface Profile 2_229 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x39800++0x2B line.long 0x00 "CIDEF0_230,Interface Profile 0_230 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_230,Interface Profile 1_230 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_230,Interface Profile 2_230 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_230,Interface Profile 3_230 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_230,Interface Profile 4_230 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_230,Interface Profile 5_230 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_230,Interface Profile 6_230 Default Values Register" line.long 0x1C "CIDEF7_230,Interface Profile 7_230 Default Values Register" line.long 0x20 "CEDEF0_230,Interface Profile 0_230 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_230,Interface Profile 1_230 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_230,Interface Profile 2_230 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x39C00++0x2B line.long 0x00 "CIDEF0_231,Interface Profile 0_231 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_231,Interface Profile 1_231 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_231,Interface Profile 2_231 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_231,Interface Profile 3_231 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_231,Interface Profile 4_231 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_231,Interface Profile 5_231 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_231,Interface Profile 6_231 Default Values Register" line.long 0x1C "CIDEF7_231,Interface Profile 7_231 Default Values Register" line.long 0x20 "CEDEF0_231,Interface Profile 0_231 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_231,Interface Profile 1_231 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_231,Interface Profile 2_231 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3A000++0x2B line.long 0x00 "CIDEF0_232,Interface Profile 0_232 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_232,Interface Profile 1_232 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_232,Interface Profile 2_232 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_232,Interface Profile 3_232 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_232,Interface Profile 4_232 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_232,Interface Profile 5_232 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_232,Interface Profile 6_232 Default Values Register" line.long 0x1C "CIDEF7_232,Interface Profile 7_232 Default Values Register" line.long 0x20 "CEDEF0_232,Interface Profile 0_232 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_232,Interface Profile 1_232 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_232,Interface Profile 2_232 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3A400++0x2B line.long 0x00 "CIDEF0_233,Interface Profile 0_233 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_233,Interface Profile 1_233 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_233,Interface Profile 2_233 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_233,Interface Profile 3_233 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_233,Interface Profile 4_233 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_233,Interface Profile 5_233 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_233,Interface Profile 6_233 Default Values Register" line.long 0x1C "CIDEF7_233,Interface Profile 7_233 Default Values Register" line.long 0x20 "CEDEF0_233,Interface Profile 0_233 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_233,Interface Profile 1_233 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_233,Interface Profile 2_233 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3A800++0x2B line.long 0x00 "CIDEF0_234,Interface Profile 0_234 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_234,Interface Profile 1_234 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_234,Interface Profile 2_234 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_234,Interface Profile 3_234 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_234,Interface Profile 4_234 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_234,Interface Profile 5_234 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_234,Interface Profile 6_234 Default Values Register" line.long 0x1C "CIDEF7_234,Interface Profile 7_234 Default Values Register" line.long 0x20 "CEDEF0_234,Interface Profile 0_234 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_234,Interface Profile 1_234 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_234,Interface Profile 2_234 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3AC00++0x2B line.long 0x00 "CIDEF0_235,Interface Profile 0_235 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_235,Interface Profile 1_235 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_235,Interface Profile 2_235 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_235,Interface Profile 3_235 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_235,Interface Profile 4_235 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_235,Interface Profile 5_235 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_235,Interface Profile 6_235 Default Values Register" line.long 0x1C "CIDEF7_235,Interface Profile 7_235 Default Values Register" line.long 0x20 "CEDEF0_235,Interface Profile 0_235 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_235,Interface Profile 1_235 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_235,Interface Profile 2_235 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3B000++0x2B line.long 0x00 "CIDEF0_236,Interface Profile 0_236 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_236,Interface Profile 1_236 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_236,Interface Profile 2_236 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_236,Interface Profile 3_236 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_236,Interface Profile 4_236 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_236,Interface Profile 5_236 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_236,Interface Profile 6_236 Default Values Register" line.long 0x1C "CIDEF7_236,Interface Profile 7_236 Default Values Register" line.long 0x20 "CEDEF0_236,Interface Profile 0_236 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_236,Interface Profile 1_236 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_236,Interface Profile 2_236 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3B400++0x2B line.long 0x00 "CIDEF0_237,Interface Profile 0_237 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_237,Interface Profile 1_237 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_237,Interface Profile 2_237 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_237,Interface Profile 3_237 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_237,Interface Profile 4_237 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_237,Interface Profile 5_237 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_237,Interface Profile 6_237 Default Values Register" line.long 0x1C "CIDEF7_237,Interface Profile 7_237 Default Values Register" line.long 0x20 "CEDEF0_237,Interface Profile 0_237 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_237,Interface Profile 1_237 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_237,Interface Profile 2_237 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3B800++0x2B line.long 0x00 "CIDEF0_238,Interface Profile 0_238 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_238,Interface Profile 1_238 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_238,Interface Profile 2_238 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_238,Interface Profile 3_238 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_238,Interface Profile 4_238 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_238,Interface Profile 5_238 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_238,Interface Profile 6_238 Default Values Register" line.long 0x1C "CIDEF7_238,Interface Profile 7_238 Default Values Register" line.long 0x20 "CEDEF0_238,Interface Profile 0_238 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_238,Interface Profile 1_238 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_238,Interface Profile 2_238 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3BC00++0x2B line.long 0x00 "CIDEF0_239,Interface Profile 0_239 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_239,Interface Profile 1_239 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_239,Interface Profile 2_239 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_239,Interface Profile 3_239 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_239,Interface Profile 4_239 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_239,Interface Profile 5_239 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_239,Interface Profile 6_239 Default Values Register" line.long 0x1C "CIDEF7_239,Interface Profile 7_239 Default Values Register" line.long 0x20 "CEDEF0_239,Interface Profile 0_239 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_239,Interface Profile 1_239 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_239,Interface Profile 2_239 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3C000++0x2B line.long 0x00 "CIDEF0_240,Interface Profile 0_240 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_240,Interface Profile 1_240 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_240,Interface Profile 2_240 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_240,Interface Profile 3_240 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_240,Interface Profile 4_240 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_240,Interface Profile 5_240 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_240,Interface Profile 6_240 Default Values Register" line.long 0x1C "CIDEF7_240,Interface Profile 7_240 Default Values Register" line.long 0x20 "CEDEF0_240,Interface Profile 0_240 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_240,Interface Profile 1_240 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_240,Interface Profile 2_240 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3C400++0x2B line.long 0x00 "CIDEF0_241,Interface Profile 0_241 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_241,Interface Profile 1_241 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_241,Interface Profile 2_241 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_241,Interface Profile 3_241 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_241,Interface Profile 4_241 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_241,Interface Profile 5_241 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_241,Interface Profile 6_241 Default Values Register" line.long 0x1C "CIDEF7_241,Interface Profile 7_241 Default Values Register" line.long 0x20 "CEDEF0_241,Interface Profile 0_241 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_241,Interface Profile 1_241 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_241,Interface Profile 2_241 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3C800++0x2B line.long 0x00 "CIDEF0_242,Interface Profile 0_242 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_242,Interface Profile 1_242 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_242,Interface Profile 2_242 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_242,Interface Profile 3_242 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_242,Interface Profile 4_242 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_242,Interface Profile 5_242 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_242,Interface Profile 6_242 Default Values Register" line.long 0x1C "CIDEF7_242,Interface Profile 7_242 Default Values Register" line.long 0x20 "CEDEF0_242,Interface Profile 0_242 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_242,Interface Profile 1_242 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_242,Interface Profile 2_242 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3CC00++0x2B line.long 0x00 "CIDEF0_243,Interface Profile 0_243 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_243,Interface Profile 1_243 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_243,Interface Profile 2_243 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_243,Interface Profile 3_243 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_243,Interface Profile 4_243 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_243,Interface Profile 5_243 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_243,Interface Profile 6_243 Default Values Register" line.long 0x1C "CIDEF7_243,Interface Profile 7_243 Default Values Register" line.long 0x20 "CEDEF0_243,Interface Profile 0_243 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_243,Interface Profile 1_243 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_243,Interface Profile 2_243 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3D000++0x2B line.long 0x00 "CIDEF0_244,Interface Profile 0_244 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_244,Interface Profile 1_244 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_244,Interface Profile 2_244 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_244,Interface Profile 3_244 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_244,Interface Profile 4_244 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_244,Interface Profile 5_244 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_244,Interface Profile 6_244 Default Values Register" line.long 0x1C "CIDEF7_244,Interface Profile 7_244 Default Values Register" line.long 0x20 "CEDEF0_244,Interface Profile 0_244 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_244,Interface Profile 1_244 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_244,Interface Profile 2_244 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3D400++0x2B line.long 0x00 "CIDEF0_245,Interface Profile 0_245 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_245,Interface Profile 1_245 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_245,Interface Profile 2_245 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_245,Interface Profile 3_245 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_245,Interface Profile 4_245 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_245,Interface Profile 5_245 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_245,Interface Profile 6_245 Default Values Register" line.long 0x1C "CIDEF7_245,Interface Profile 7_245 Default Values Register" line.long 0x20 "CEDEF0_245,Interface Profile 0_245 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_245,Interface Profile 1_245 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_245,Interface Profile 2_245 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3D800++0x2B line.long 0x00 "CIDEF0_246,Interface Profile 0_246 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_246,Interface Profile 1_246 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_246,Interface Profile 2_246 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_246,Interface Profile 3_246 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_246,Interface Profile 4_246 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_246,Interface Profile 5_246 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_246,Interface Profile 6_246 Default Values Register" line.long 0x1C "CIDEF7_246,Interface Profile 7_246 Default Values Register" line.long 0x20 "CEDEF0_246,Interface Profile 0_246 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_246,Interface Profile 1_246 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_246,Interface Profile 2_246 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3DC00++0x2B line.long 0x00 "CIDEF0_247,Interface Profile 0_247 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_247,Interface Profile 1_247 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_247,Interface Profile 2_247 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_247,Interface Profile 3_247 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_247,Interface Profile 4_247 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_247,Interface Profile 5_247 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_247,Interface Profile 6_247 Default Values Register" line.long 0x1C "CIDEF7_247,Interface Profile 7_247 Default Values Register" line.long 0x20 "CEDEF0_247,Interface Profile 0_247 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_247,Interface Profile 1_247 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_247,Interface Profile 2_247 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3E000++0x2B line.long 0x00 "CIDEF0_248,Interface Profile 0_248 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_248,Interface Profile 1_248 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_248,Interface Profile 2_248 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_248,Interface Profile 3_248 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_248,Interface Profile 4_248 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_248,Interface Profile 5_248 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_248,Interface Profile 6_248 Default Values Register" line.long 0x1C "CIDEF7_248,Interface Profile 7_248 Default Values Register" line.long 0x20 "CEDEF0_248,Interface Profile 0_248 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_248,Interface Profile 1_248 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_248,Interface Profile 2_248 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3E400++0x2B line.long 0x00 "CIDEF0_249,Interface Profile 0_249 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_249,Interface Profile 1_249 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_249,Interface Profile 2_249 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_249,Interface Profile 3_249 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_249,Interface Profile 4_249 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_249,Interface Profile 5_249 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_249,Interface Profile 6_249 Default Values Register" line.long 0x1C "CIDEF7_249,Interface Profile 7_249 Default Values Register" line.long 0x20 "CEDEF0_249,Interface Profile 0_249 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_249,Interface Profile 1_249 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_249,Interface Profile 2_249 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3E800++0x2B line.long 0x00 "CIDEF0_250,Interface Profile 0_250 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_250,Interface Profile 1_250 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_250,Interface Profile 2_250 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_250,Interface Profile 3_250 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_250,Interface Profile 4_250 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_250,Interface Profile 5_250 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_250,Interface Profile 6_250 Default Values Register" line.long 0x1C "CIDEF7_250,Interface Profile 7_250 Default Values Register" line.long 0x20 "CEDEF0_250,Interface Profile 0_250 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_250,Interface Profile 1_250 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_250,Interface Profile 2_250 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3EC00++0x2B line.long 0x00 "CIDEF0_251,Interface Profile 0_251 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_251,Interface Profile 1_251 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_251,Interface Profile 2_251 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_251,Interface Profile 3_251 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_251,Interface Profile 4_251 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_251,Interface Profile 5_251 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_251,Interface Profile 6_251 Default Values Register" line.long 0x1C "CIDEF7_251,Interface Profile 7_251 Default Values Register" line.long 0x20 "CEDEF0_251,Interface Profile 0_251 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_251,Interface Profile 1_251 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_251,Interface Profile 2_251 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3F000++0x2B line.long 0x00 "CIDEF0_252,Interface Profile 0_252 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_252,Interface Profile 1_252 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_252,Interface Profile 2_252 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_252,Interface Profile 3_252 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_252,Interface Profile 4_252 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_252,Interface Profile 5_252 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_252,Interface Profile 6_252 Default Values Register" line.long 0x1C "CIDEF7_252,Interface Profile 7_252 Default Values Register" line.long 0x20 "CEDEF0_252,Interface Profile 0_252 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_252,Interface Profile 1_252 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_252,Interface Profile 2_252 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3F400++0x2B line.long 0x00 "CIDEF0_253,Interface Profile 0_253 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_253,Interface Profile 1_253 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_253,Interface Profile 2_253 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_253,Interface Profile 3_253 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_253,Interface Profile 4_253 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_253,Interface Profile 5_253 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_253,Interface Profile 6_253 Default Values Register" line.long 0x1C "CIDEF7_253,Interface Profile 7_253 Default Values Register" line.long 0x20 "CEDEF0_253,Interface Profile 0_253 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_253,Interface Profile 1_253 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_253,Interface Profile 2_253 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3F800++0x2B line.long 0x00 "CIDEF0_254,Interface Profile 0_254 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_254,Interface Profile 1_254 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_254,Interface Profile 2_254 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_254,Interface Profile 3_254 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_254,Interface Profile 4_254 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_254,Interface Profile 5_254 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_254,Interface Profile 6_254 Default Values Register" line.long 0x1C "CIDEF7_254,Interface Profile 7_254 Default Values Register" line.long 0x20 "CEDEF0_254,Interface Profile 0_254 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_254,Interface Profile 1_254 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_254,Interface Profile 2_254 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" group.long 0x3FC00++0x2B line.long 0x00 "CIDEF0_255,Interface Profile 0_255 Default Values Register" bitfld.long 0x00 26. " IPRE ,IP reassembly" "No care,Used backup" bitfld.long 0x00 24.--25. " IQOSMM ,QoS mapping method for ingress flow" "QPri FCV,VLAN PRI,IPv4/IPv6 DSCP,?..." bitfld.long 0x00 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x00 21. " SKLK ,Skip key generation and table lookup" "Not skipped,Skipped" bitfld.long 0x00 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" newline bitfld.long 0x00 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" bitfld.long 0x00 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x00 17. " SKPR ,Skip parser" "Not skipped,Skipped" hexmask.long.word 0x00 4.--15. 1. " FALUGRP ,Frame attribute lookup group" bitfld.long 0x00 3. " OPINM ,OpaqueIn taken status" "FD[FLC],IFP" newline bitfld.long 0x00 1. " TKIDV ,TID/KID valid" "Not valid,Valid" bitfld.long 0x00 0. " HKIDV ,HKID valid" "Not valid,Valid" line.long 0x04 "CIDEF1_255,Interface Profile 1_255 Default Values Register" hexmask.long.byte 0x04 24.--31. 1. " HKID ,Hash key composition ID" hexmask.long.byte 0x04 16.--23. 1. " KID ,Key composition ID for the first table lookup" hexmask.long.word 0x04 0.--15. 1. " TID ,Table ID for the first table lookup" line.long 0x08 "CIDEF2_255,Interface Profile 2_255 Default Values Register" hexmask.long.word 0x08 0.--15. 1. " PLID ,Policer profile ID" line.long 0x0C "CIDEF3_255,Interface Profile 3_255 Default Values Register" hexmask.long.word 0x0C 21.--31. 1. " PRPID ,Parser profile ID" bitfld.long 0x0C 20. " L4CSV ,L4 checksum valid" "Not valid,Valid" bitfld.long 0x0C 19. " L3CSV ,L3 checksum valid" "Not valid,Valid" hexmask.long.word 0x0C 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x0C 0.--7. 0x01 " SOFFSET ,Starting offset" line.long 0x10 "CIDEF4_255,Interface Profile 4_255 Default Values Register" hexmask.long.word 0x10 16.--31. 1. " QDID ,Queuing destination ID" hexmask.long.word 0x10 0.--15. 1. " RPLID ,Replication list ID" line.long 0x14 "CIDEF5_255,Interface Profile 5_255 Default Values Register" bitfld.long 0x14 21. " IPRE ,IP reassembly" "No care,Backup buffer" bitfld.long 0x14 20. " CBMT ,Context bypass memory translation" "Not translated,Translated" bitfld.long 0x14 19. " SC ,Stashing control" "0,1" bitfld.long 0x14 16.--18. " FLCTYPE ,FLC type" "Frame replication,CBMT and SC,CPU2,FLC not modified,?..." line.long 0x18 "CIDEF6_255,Interface Profile 6_255 Default Values Register" line.long 0x1C "CIDEF7_255,Interface Profile 7_255 Default Values Register" line.long 0x20 "CEDEF0_255,Interface Profile 0_255 Default Values Register" bitfld.long 0x20 29. " TKIDV ,Table ID valid" "Not valid,Valid" bitfld.long 0x20 22. " SKHS ,Skip hash generation" "Not skipped,Skipped" bitfld.long 0x20 21. " SKLK ,Skip key generation and lookup" "Not skipped,Skipped" bitfld.long 0x20 20. " SKFA ,Skip frame attribute lookup" "Not skipped,Skipped" bitfld.long 0x20 19. " SKMP ,Skip QoS mapping" "Not skipped,Skipped" newline bitfld.long 0x20 18. " SKPL ,Skip policer" "Not skipped,Skipped" bitfld.long 0x20 17. " SKPR ,Skip parser" "Not skipped,Skipped" bitfld.long 0x20 8.--9. " EVMODE ,Egress L2 switch VLAN mode" "No action,Remove 4B,Replace VPRI/DEI,Ethertype and VID" line.long 0x24 "CEDEF1_255,Interface Profile 1_255 Default Values Register" hexmask.long.byte 0x24 16.--23. 1. " KID ,Key composition ID used for egress lookup" hexmask.long.word 0x24 0.--15. 1. " TID ,Table ID used for egress lookup" line.long 0x28 "CEDEF2_255,Interface Profile 2_255 Default Values Register" hexmask.long.word 0x28 21.--31. 1. " PRPID ,Parser profile ID" hexmask.long.word 0x28 8.--18. 1. " SHXS ,Starting hard header examination sequences" hexmask.long.byte 0x28 0.--7. 0x01 " SOFFSET ,Starting offset" endian.le width 0x0B tree.end tree "CTLU Detailed Programming Model" base ad:0x08B84000 width 19. endian.be tree "CTLU Control Registers" group.long 0x1000++0x0B line.long 0x00 "CMODE,CTLU Mode Register" bitfld.long 0x00 0. " CINIT ,CTLU init" "Not initialized,Initialized" line.long 0x04 "CTIMESTAMP_WINDOW,CTLU Timestamp Window Register" bitfld.long 0x04 27.--31. " TWINDOW ,Timestamp window" "[32-63],[31-62],[30-61],[29-60],[28-59],[27-58],[26-57],[25-56],[24-55],[23-54],[22-53],[21-52],[20-51],[19-50],[18-49],[17-48],[16-47],[15-46],[14-45],[13-44],[12-43],[11-42],[10-41],[9-40],[8-39],[7-38],[6-37],[5-36],[4-35],[3-34],[2-33],[1-32]" line.long 0x08 "CMNLTH,CTLU Maximum Number Of Lookups Threshold" hexmask.long.byte 0x08 24.--31. 1. " MNLTH ,Maximum key composition and table lookups 'loops' threshold" group.long 0x1018++0x03 line.long 0x00 "CLLCK,CTLU Local Lock Register" bitfld.long 0x00 16. " GLFG ,Acceleration disable" "No,Yes" bitfld.long 0x00 0. " CLLCK ,CTLU memory fill margin" "Local,Non-local" rgroup.long 0x101C++0x03 line.long 0x00 "CINITDONE,CTLU Initialization Completed Register" bitfld.long 0x00 0. " CINITDONE ,CTLU initialization completed" "Not completed,Completed" tree.end width 10. tree "CTLU Memory Access Attribute Registers" group.long (0x1408+0x0)++0x03 line.long 0x00 "CTSIZE_0,Total CTLU Tables Size Register" hexmask.long 0x00 4.--31. 1. " CTSIZE ,Memory allocated for lookup tables size" rgroup.long (0x1410+0x0)++0x03 line.long 0x00 "CTNCE_0,CTLU Number Of Committed Entries In Table Register" group.long (0x1408+0x20)++0x03 line.long 0x00 "CTSIZE_1,Total CTLU Tables Size Register" hexmask.long 0x00 4.--31. 1. " CTSIZE ,Memory allocated for lookup tables size" rgroup.long (0x1410+0x20)++0x03 line.long 0x00 "CTNCE_1,CTLU Number Of Committed Entries In Table Register" rgroup.long (0x1414+0x20)++0x03 line.long 0x00 "CTNEE_1,CTLU Number Of Excess Entries In Table Register" group.long (0x1408+0x40)++0x03 line.long 0x00 "CTSIZE_2,Total CTLU Tables Size Register" hexmask.long 0x00 4.--31. 1. " CTSIZE ,Memory allocated for lookup tables size" rgroup.long (0x1410+0x40)++0x03 line.long 0x00 "CTNCE_2,CTLU Number Of Committed Entries In Table Register" rgroup.long (0x1414+0x40)++0x03 line.long 0x00 "CTNEE_2,CTLU Number Of Excess Entries In Table Register" group.long (0x1408+0x60)++0x03 line.long 0x00 "CTSIZE_3,Total CTLU Tables Size Register" hexmask.long 0x00 4.--31. 1. " CTSIZE ,Memory allocated for lookup tables size" rgroup.long (0x1410+0x60)++0x03 line.long 0x00 "CTNCE_3,CTLU Number Of Committed Entries In Table Register" rgroup.long (0x1414+0x60)++0x03 line.long 0x00 "CTNEE_3,CTLU Number Of Excess Entries In Table Register" tree.end width 13. tree "CTLU Key Composition Registers" group.long (0x2000+0x0)++0x07 line.long 0x00 "CKRHCNT_0,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_0,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x8)++0x07 line.long 0x00 "CKRHCNT_1,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_1,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x10)++0x07 line.long 0x00 "CKRHCNT_2,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_2,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x18)++0x07 line.long 0x00 "CKRHCNT_3,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_3,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x20)++0x07 line.long 0x00 "CKRHCNT_4,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_4,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x28)++0x07 line.long 0x00 "CKRHCNT_5,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_5,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x30)++0x07 line.long 0x00 "CKRHCNT_6,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_6,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x38)++0x07 line.long 0x00 "CKRHCNT_7,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_7,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x40)++0x07 line.long 0x00 "CKRHCNT_8,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_8,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x48)++0x07 line.long 0x00 "CKRHCNT_9,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_9,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x50)++0x07 line.long 0x00 "CKRHCNT_10,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_10,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x58)++0x07 line.long 0x00 "CKRHCNT_11,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_11,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x60)++0x07 line.long 0x00 "CKRHCNT_12,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_12,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x68)++0x07 line.long 0x00 "CKRHCNT_13,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_13,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x70)++0x07 line.long 0x00 "CKRHCNT_14,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_14,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" group.long (0x2000+0x78)++0x07 line.long 0x00 "CKRHCNT_15,CTLU Key Composition Rule Hit Counter" line.long 0x04 "CKCERR_15,CTLU Key Composition Rule Error" bitfld.long 0x04 1. " EOFHE ,Extract out of frame header error" "No error,Error" bitfld.long 0x04 0. " KSE ,Key size error" "No error,Error" tree.end tree "Policer Detailed Programming Model" group.long 0x1C00++0x03 line.long 0x00 "CPLDROP0,Packet Counter Register 0" group.long 0x1C04++0x03 line.long 0x00 "CPLDROP1,Packet Counter Register 1" group.long 0x1C08++0x03 line.long 0x00 "CPLDROP2,Packet Counter Register 2" group.long 0x1C0C++0x03 line.long 0x00 "CPLDROP3,Packet Counter Register 3" group.long 0x1C10++0x03 line.long 0x00 "CPLDROP4,Packet Counter Register 4" group.long 0x1C14++0x03 line.long 0x00 "CPLDROP5,Packet Counter Register 5" group.long 0x1C18++0x03 line.long 0x00 "CPLDROP6,Packet Counter Register 6" group.long 0x1C1C++0x03 line.long 0x00 "CPLDROP7,Packet Counter Register 7" tree.end endian.le width 0x0B tree.end tree.end tree.end ; tree "QOSMAP (CTLU QoS Mapping And Policer)" ; base ad:0x00 ; %include lx2160/dpaa2/ctlu_qos.ph ad:0x00 ad:0x00 ; tree.end tree "CEMAC (Ethernet Media Access Controller)" tree "CEMAC 1" base ad:0x08C0A000 width 19. endian.be tree "cEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" bitfld.long 0x00 27. " PROMIS ,Promiscuous operation enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" newline bitfld.long 0x00 22. " TX_ADDR_INS ,Transmit source MAC address insertion" "Not overwritten,Overwritten" bitfld.long 0x00 21. " CGLP ,CGMII loopback enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" newline bitfld.long 0x00 14. " NO_LEN_CHK ,Payload length check disable" "No,Yes" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal,Discard" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " RX_SECTION_AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " RX_SECTION_EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " TX_AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " TX_EMPTY ,TX section empty threshold" wgroup.long 0x2C++0x03 line.long 0x00 "HASHTABLE_CTRL,Hash Table Control Register" hexmask.long.byte 0x00 26.--31. 0x04 " HASH_ADDR ,Hash table address code" bitfld.long 0x00 23. " MCAST ,Multicast frame acceptance for specified hash entry" "Rejected,Accepted" group.long 0x40++0x03 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 23. " TX_ISIDLE ,TX MAC idle state status" "Busy,Idle" eventfld.long 0x00 22. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" newline eventfld.long 0x00 21. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 20. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 19. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" newline eventfld.long 0x00 18. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 17. " TS_ECC_ER ,Timestamp FIFO ECC error event" "No error,Error" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" group.long 0x2C8++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" group.long 0x44++0x03 line.long 0x00 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" bitfld.long 0x00 25.--26. " BIAS ,IPG bias" "Normal,60,140,200" bitfld.long 0x00 31. " M ,Mode" "Dic,?..." group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" newline bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" group.long 0x2C0++0x03 line.long 0x00 "SINGLE_STEP,IEEE-1588 Single-Step Control Register" bitfld.long 0x00 24. " CH ,Checksum update" "No update,Update" hexmask.long.byte 0x00 16.--23. 0x01 " OFFSET ,Start offset from the beginning of a frame where the field to update is found" bitfld.long 0x00 0. " EN ,IEEE-1588 single-step enable" "Disabled,Enabled" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x128++0x07 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" rgroup.quad 0xD0++0x07 line.quad 0x00 "ROCT,Receive Octets Counter Register" rgroup.quad 0x98++0x07 line.quad 0x00 "RALN,Receive Alignment Error Counter Register" rgroup.quad 0xA8++0x07 line.quad 0x00 "RXPF,Receive Valid Pause Frame Counter Register" rgroup.quad 0x88++0x0F line.quad 0x00 "RFRM,Receive Frame Counter Register" line.quad 0x08 "RFCS,Receive Frame Check Sequence Error Counter Register" rgroup.quad 0xC8++0x07 line.quad 0x00 "RVLAN,Receive VLAN Frame Counter Register" rgroup.quad 0x190++0x07 line.quad 0x00 "RERR,Receive Frame Error Counter Register Register" rgroup.quad 0xE0++0x17 line.quad 0x00 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x08 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x10 "RBCA,Receive Broadcast Frame Counter Register" rgroup.quad 0x120++0x07 line.quad 0x00 "RDRP,Receive Dropped Packets Counter Register" rgroup.quad 0x130++0x5F line.quad 0x00 "RPKT,Receive Packets Counter Register" line.quad 0x08 "RUND,Receive Undersized Packet Counter Register" line.quad 0x10 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x18 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x20 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x28 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x30 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0x48 "ROVR,Receive Oversized Packet Counter Register" line.quad 0x50 "RJBR,Receive Jabber Packet Counter Register" line.quad 0x58 "RFRG,Receive Fragment Packet Counter Register" rgroup.quad 0x220++0x07 line.quad 0x00 "RCNP,Receive Control Packet Counter Register" rgroup.quad 0x270++0x07 line.quad 0x00 "RDRNTP,Receive Dropped Not Truncated Packets Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x228++0x07 line.quad 0x00 "TEOCT,Transmit Ethernet Octets Counter Register" rgroup.quad 0xD0++0x07 line.quad 0x00 "TOCT,Transmit Octets Counter Register" rgroup.quad 0xA0++0x07 line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" rgroup.quad 0x80++0x07 line.quad 0x00 "TFRM,Transmit Frame Counter Register" rgroup.quad 0xC0++0x07 line.quad 0x00 "TVLAN,Transmit VLAN Frame Counter Register" rgroup.quad 0xF8++0x07 line.quad 0x00 "TERR,Transmit Frame Error Counter Register" rgroup.quad 0x108++0x17 line.quad 0x00 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x08 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x10 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x230++0x3F line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x10 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x18 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x20 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x28 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x30 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x38 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x218++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x1D8++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x1E0++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x1E8++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x1F0++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x1F8++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x200++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x208++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x210++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x198++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x1A0++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x1A8++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x1B0++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x1B8++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x1C0++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x1C8++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x1D0++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C0A000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "CEMAC 2" base ad:0x08C0E000 width 19. endian.be tree "cEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" bitfld.long 0x00 27. " PROMIS ,Promiscuous operation enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" newline bitfld.long 0x00 22. " TX_ADDR_INS ,Transmit source MAC address insertion" "Not overwritten,Overwritten" bitfld.long 0x00 21. " CGLP ,CGMII loopback enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" newline bitfld.long 0x00 14. " NO_LEN_CHK ,Payload length check disable" "No,Yes" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal,Discard" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " RX_SECTION_AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " RX_SECTION_EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " TX_AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " TX_EMPTY ,TX section empty threshold" wgroup.long 0x2C++0x03 line.long 0x00 "HASHTABLE_CTRL,Hash Table Control Register" hexmask.long.byte 0x00 26.--31. 0x04 " HASH_ADDR ,Hash table address code" bitfld.long 0x00 23. " MCAST ,Multicast frame acceptance for specified hash entry" "Rejected,Accepted" group.long 0x40++0x03 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 23. " TX_ISIDLE ,TX MAC idle state status" "Busy,Idle" eventfld.long 0x00 22. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" newline eventfld.long 0x00 21. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 20. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 19. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" newline eventfld.long 0x00 18. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 17. " TS_ECC_ER ,Timestamp FIFO ECC error event" "No error,Error" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" group.long 0x2C8++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" group.long 0x44++0x03 line.long 0x00 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" bitfld.long 0x00 25.--26. " BIAS ,IPG bias" "Normal,60,140,200" bitfld.long 0x00 31. " M ,Mode" "Dic,?..." group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" newline bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" group.long 0x2C0++0x03 line.long 0x00 "SINGLE_STEP,IEEE-1588 Single-Step Control Register" bitfld.long 0x00 24. " CH ,Checksum update" "No update,Update" hexmask.long.byte 0x00 16.--23. 0x01 " OFFSET ,Start offset from the beginning of a frame where the field to update is found" bitfld.long 0x00 0. " EN ,IEEE-1588 single-step enable" "Disabled,Enabled" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x128++0x07 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" rgroup.quad 0xD0++0x07 line.quad 0x00 "ROCT,Receive Octets Counter Register" rgroup.quad 0x98++0x07 line.quad 0x00 "RALN,Receive Alignment Error Counter Register" rgroup.quad 0xA8++0x07 line.quad 0x00 "RXPF,Receive Valid Pause Frame Counter Register" rgroup.quad 0x88++0x0F line.quad 0x00 "RFRM,Receive Frame Counter Register" line.quad 0x08 "RFCS,Receive Frame Check Sequence Error Counter Register" rgroup.quad 0xC8++0x07 line.quad 0x00 "RVLAN,Receive VLAN Frame Counter Register" rgroup.quad 0x190++0x07 line.quad 0x00 "RERR,Receive Frame Error Counter Register Register" rgroup.quad 0xE0++0x17 line.quad 0x00 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x08 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x10 "RBCA,Receive Broadcast Frame Counter Register" rgroup.quad 0x120++0x07 line.quad 0x00 "RDRP,Receive Dropped Packets Counter Register" rgroup.quad 0x130++0x5F line.quad 0x00 "RPKT,Receive Packets Counter Register" line.quad 0x08 "RUND,Receive Undersized Packet Counter Register" line.quad 0x10 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x18 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x20 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x28 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x30 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0x48 "ROVR,Receive Oversized Packet Counter Register" line.quad 0x50 "RJBR,Receive Jabber Packet Counter Register" line.quad 0x58 "RFRG,Receive Fragment Packet Counter Register" rgroup.quad 0x220++0x07 line.quad 0x00 "RCNP,Receive Control Packet Counter Register" rgroup.quad 0x270++0x07 line.quad 0x00 "RDRNTP,Receive Dropped Not Truncated Packets Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x228++0x07 line.quad 0x00 "TEOCT,Transmit Ethernet Octets Counter Register" rgroup.quad 0xD0++0x07 line.quad 0x00 "TOCT,Transmit Octets Counter Register" rgroup.quad 0xA0++0x07 line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" rgroup.quad 0x80++0x07 line.quad 0x00 "TFRM,Transmit Frame Counter Register" rgroup.quad 0xC0++0x07 line.quad 0x00 "TVLAN,Transmit VLAN Frame Counter Register" rgroup.quad 0xF8++0x07 line.quad 0x00 "TERR,Transmit Frame Error Counter Register" rgroup.quad 0x108++0x17 line.quad 0x00 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x08 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x10 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x230++0x3F line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x10 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x18 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x20 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x28 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x30 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x38 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x218++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x1D8++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x1E0++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x1E8++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x1F0++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x1F8++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x200++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x208++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x210++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x198++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x1A0++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x1A8++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x1B0++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x1B8++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x1C0++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x1C8++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x1D0++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C0E000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree.end tree "MEMAC (Multirate Ethernet Media Access Controller)" tree "MEMAC 3" base ad:0x08C12000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C12000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C12000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 4" base ad:0x08C16000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C16000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C16000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 5" base ad:0x08C1A000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C1A000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C1A000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 6" base ad:0x08C1E000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C1E000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C1E000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 7" base ad:0x08C22000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C22000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C22000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 8" base ad:0x08C26000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C26000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C26000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 9" base ad:0x08C2A000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C2A000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C2A000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 10" base ad:0x08C2E000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C2E000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C2E000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 11" base ad:0x08C32000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C32000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C32000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 12" base ad:0x08C36000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C36000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C36000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 13" base ad:0x08C3A000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C3A000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C3A000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 14" base ad:0x08C3E000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C3E000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C3E000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 15" base ad:0x08C42000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C42000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C42000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 16" base ad:0x08C46000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C46000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C46000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 17" base ad:0x08C4A000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C4A000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C4A000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree "MEMAC 18" base ad:0x08C4E000 width 19. endian.be tree "mEMAC General Control and Status" group.long 0x08++0x0F line.long 0x00 "COMMAND_CONFIG,Command And Configuration Register" bitfld.long 0x00 31. " TX_EN ,MAC transmit path enable" "Disabled,Enabled" bitfld.long 0x00 30. " RX_EN ,MAC receive path enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " FCS ,Terminate/forward FCS of received frames" "Terminated,Forwarded" bitfld.long 0x00 24. " PAUSE_FWD ,Terminate/forward received pause frames" "Terminated,Forwarded" bitfld.long 0x00 23. " PAUSE_IGN ,Ignore pause frame quanta" "Not ignored,Ignored" bitfld.long 0x00 21. " XGLP ,XGMII/GMII loopback enable" "Disabled,Enabled" bitfld.long 0x00 20. " TXP ,Padding of frames in transmit direction enable" "Disabled,Enabled" newline eventfld.long 0x00 19. " SWR ,Software reset" "No effect,Reset" bitfld.long 0x00 18. " CNT_FRM_EN ,Control frame reception enable" "Disabled,Enabled" bitfld.long 0x00 15. " SEND_IDLE ,IDLE on transmission force" "Not forced,Forced" bitfld.long 0x00 12. " PFC_MODE ,Priority flow control mode enable" "Disabled,Enabled" bitfld.long 0x00 10. " SFD ,SFD character check at frame start disable" "No,Yes" newline bitfld.long 0x00 8. " TX_LOWP_ENA ,Transmit low power idle enable" "Disabled,Enabled" bitfld.long 0x00 7. " REG_LOWP_RXETY ,RX low power indication delay" "No delay,Delay" bitfld.long 0x00 4. " FLT_HDL_DIS ,RS fault handling disable" "No,Yes" bitfld.long 0x00 2. " RXSTP ,Rx stop" "Normal mode,Discard mode" bitfld.long 0x00 0. " MG ,Magic packet detection enable" "Disabled,Enabled" line.long 0x04 "MAC_ADDR_0,First MAC Lower Address Register" line.long 0x08 "MAC_ADDR_1,First MAC Upper Address Register" hexmask.long.word 0x08 16.--31. 0x01 " MAC_ADDR_1 ,Upper 16-bits of the first 48-bit MAC address" line.long 0x0C "MAXFRM,Maximum Frame Length Register" hexmask.long.word 0x0C 16.--31. 1. " MAXFRM ,Maximum supported received frame length" hexmask.long.word 0x0C 0.--15. 1. " TX_MTU ,Maximum frame length on transmit" group.long 0x1C++0x07 line.long 0x00 "RX_FIFO_SECTIONS,Receive FIFO Sections Register" hexmask.long.word 0x00 16.--31. 1. " AVAIL ,RX section available threshold" hexmask.long.word 0x00 0.--15. 1. " EMPTY ,RX section empty threshold" line.long 0x04 "TX_FIFO_SECTIONS,Transmit FIFO Sections Register" hexmask.long.word 0x04 16.--31. 1. " AVAIL ,TX section available threshold" hexmask.long.word 0x04 0.--15. 1. " EMPTY ,TX section empty threshold" group.long 0x40++0x07 line.long 0x00 "IEVENT,Interrupt Event Register" eventfld.long 0x00 31. " LOC_FAULT ,Local fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 30. " REM_FAULT ,Remote fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 28. " TS_AVAIL ,Timestamp available" "Not available,Available" rbitfld.long 0x00 27. " RX_LOWP ,Low power idle event interrupt" "No interrupt,Interrupt" rbitfld.long 0x00 26. " TX_EMPTY ,Transmit FIFO empty event" "Not empty,Empty" newline rbitfld.long 0x00 25. " RX_EMPTY ,Receive FIFO empty event" "Not empty,Empty" eventfld.long 0x00 24. " LI_FAULT ,Link interruption fault event (XGMII)" "No fault,Fault" eventfld.long 0x00 23. " RX_ECC_E ,Receive frame ECC error event" "No error,Error" eventfld.long 0x00 22. " TX_ECC_E ,Transmit frame ECC error event" "No error,Error" eventfld.long 0x00 21. " TX_OVFL ,Transmit FIFO overflow event" "No overflow,Overflow" newline eventfld.long 0x00 20. " TX_UNFL ,Transmit FIFO underflow event" "No underflow,Underflow" eventfld.long 0x00 19. " RX_OVFL ,Receive FIFO overflow event" "No overflow,Overflow" eventfld.long 0x00 17. " MGI ,Magic packet detection indication event" "Not detected,Detected" rbitfld.long 0x00 16. " TX_IDLE ,TX idle state status" "Busy,Idle" newline eventfld.long 0x00 15. " PCS[15] ,XGMII - PCS event interrupt/GMII - link synchronization event 15" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,XGMII - PCS event interrupt/GMII - link synchronization event 14" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,XGMII - PCS event interrupt/GMII - link synchronization event 13" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,XGMII - PCS event interrupt/GMII - link synchronization event 12" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,XGMII - PCS event interrupt/GMII - link synchronization event 11" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,XGMII - PCS event interrupt/GMII - link synchronization event 10" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,XGMII - PCS event interrupt/GMII - link synchronization event 9" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,XGMII - PCS event interrupt/GMII - link synchronization event 8" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,XGMII - PCS event interrupt/GMII - link synchronization event 7" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,XGMII - PCS event interrupt/GMII - link synchronization event 6" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,XGMII - PCS event interrupt/GMII - link synchronization event 5" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,XGMII - PCS event interrupt/GMII - link synchronization event 4" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,XGMII - PCS event interrupt/GMII - link synchronization event 3" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,XGMII - PCS event interrupt/GMII - link synchronization event 2" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,XGMII - PCS event interrupt/GMII - link synchronization event 1" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,XGMII - PCS event interrupt/GMII - link synchronization event 0" "No interrupt,Interrupt" line.long 0x04 "TX_IPG_LENGTH,Transmit Inter-Packet Gap Length Register" hexmask.long.word 0x04 0.--15. 1. " IPG_CC ,IPG compensation count" group.long 0x88++0x03 line.long 0x00 "IMASK,Interrupt Mask Register" bitfld.long 0x00 31. " PCS[15] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 15" "Masked,Enabled" bitfld.long 0x00 30. " [14] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 14" "Masked,Enabled" bitfld.long 0x00 29. " [13] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 13" "Masked,Enabled" bitfld.long 0x00 28. " [12] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 12" "Masked,Enabled" newline bitfld.long 0x00 27. " [11] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 11" "Masked,Enabled" bitfld.long 0x00 26. " [10] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 10" "Masked,Enabled" bitfld.long 0x00 25. " [9] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 9" "Masked,Enabled" bitfld.long 0x00 24. " [8] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 8" "Masked,Enabled" newline bitfld.long 0x00 23. " [7] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 7" "Masked,Enabled" bitfld.long 0x00 22. " [6] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 6" "Masked,Enabled" bitfld.long 0x00 21. " [5] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 5" "Masked,Enabled" bitfld.long 0x00 20. " [4] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 4" "Masked,Enabled" newline bitfld.long 0x00 19. " [3] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 3" "Masked,Enabled" bitfld.long 0x00 18. " [2] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 2" "Masked,Enabled" bitfld.long 0x00 17. " [1] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 1" "Masked,Enabled" bitfld.long 0x00 16. " [0] ,XGMII - PCS event interrupt mask/GMII - link synchronization event interrupt mask 0" "Masked,Enabled" newline bitfld.long 0x00 1. " MGI ,Magic packet detection indication normal interrupt mask" "Masked,Enabled" group.long 0x54++0x03 line.long 0x00 "CL01_PAUSE_QUANTA,CL01 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL0_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL1_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x58++0x03 line.long 0x00 "CL23_PAUSE_QUANTA,CL23 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL2_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL3_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x5C++0x03 line.long 0x00 "CL45_PAUSE_QUANTA,CL45 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL4_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL5_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x60++0x03 line.long 0x00 "CL67_PAUSE_QUANTA,CL67 Pause Quanta Register" hexmask.long.word 0x00 16.--31. 1. " CL6_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" hexmask.long.word 0x00 0.--15. 1. " CL7_PQNT ,Value to be sent for the PFC quanta value for that class when a class XOFF is triggered" group.long 0x64++0x03 line.long 0x00 "CL01_PAUSE_THRESH,CL01 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL0_QTH ,CL01 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL1_QTH ,CL1 pause quanta threshold" group.long 0x68++0x03 line.long 0x00 "CL23_PAUSE_THRESH,CL23 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL2_QTH ,CL23 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL3_QTH ,CL3 pause quanta threshold" group.long 0x6C++0x03 line.long 0x00 "CL45_PAUSE_THRESH,CL45 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL4_QTH ,CL45 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL5_QTH ,CL5 pause quanta threshold" group.long 0x70++0x03 line.long 0x00 "CL67_PAUSE_THRESH,CL67 Pause Quanta Threshold Register" hexmask.long.word 0x00 16.--31. 1. " CL6_QTH ,CL67 pause quanta threshold" hexmask.long.word 0x00 0.--15. 1. " CL7_QTH ,CL7 pause quanta threshold" rgroup.long 0x74++0x03 line.long 0x00 "RX_PAUSE_STATUS,Receive Pause Status Register" bitfld.long 0x00 31. " PSTAT[7] ,Pause status - class 7" "Not paused,Paused" bitfld.long 0x00 30. " [6] ,Pause status - class 6" "Not paused,Paused" bitfld.long 0x00 29. " [5] ,Pause status - class 5" "Not paused,Paused" bitfld.long 0x00 28. " [4] ,Pause status - class 4" "Not paused,Paused" bitfld.long 0x00 27. " [3] ,Pause status - class 3" "Not paused,Paused" newline bitfld.long 0x00 26. " [2] ,Pause status - class 2" "Not paused,Paused" bitfld.long 0x00 25. " [1] ,Pause status - class 1" "Not paused,Paused" bitfld.long 0x00 24. " [0] ,Pause status - class 0" "Not paused,Paused" if (((per.l.be(ad:0x08C4E000+0x80))&0x01)==0x00) group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" bitfld.long 0x00 1.--2. " SETSP ,Set SP" ",,RGMII,?..." newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "XIF_MODE,XIF Mode Register" bitfld.long 0x00 30.--31. " IFMODE ,IF mode" ",XLGMII,,GMII" bitfld.long 0x00 29. " RG ,RG mode enable" "Disabled,Enabled" bitfld.long 0x00 28. " RLP ,R loopback" "Normal,RGMII" bitfld.long 0x00 27. " PAUSETIMERX8 ,Pause time rx8" "Default,Idle" newline bitfld.long 0x00 0. " EN_AUTO ,Automatic speed enable" "Disabled,Enabled" endif rgroup.long 0x84++0x03 line.long 0x00 "IF_STATUS,Interface Status Register" bitfld.long 0x00 19. " RGFD ,RGMII full duplex link established" "Not established,Established" bitfld.long 0x00 17.--18. " RGSP ,Bit rate" "10 Mbps,100 Mbps,1 Gbps,?..." bitfld.long 0x00 16. " RGLNK ,Valid link established" "Not established,Established" group.long 0xC8++0x07 line.long 0x00 "LPWAKE_TIMER,EEE Low Power Wakeup Timer Register" hexmask.long.tbyte 0x00 8.--31. 1. " TW_SYS_TX ,ENET_CLK cycles transmission delay after low power state end request" line.long 0x04 "SLEEP_TIMER,Transmit EE Low Power Timer Register" hexmask.long.tbyte 0x04 8.--31. 1. " SLEEPT ,ENET_CLK cycles where TX idle before MAC transmits low power EEE" group.long 0xE0++0x03 line.long 0x00 "STATN_CONFIG,Statistics Configuration Register" bitfld.long 0x00 31. " SAT ,Counter saturation" "No saturation,Saturation" bitfld.long 0x00 30. " COD ,All counters read reset" "No reset,Reset" eventfld.long 0x00 29. " CLR ,Counter clear" "No effect,Clear" tree.end width 10. tree "Statistics Counter Register" tree "Receive Counter Registers" rgroup.quad 0x100++0xC7 line.quad 0x00 "REOCT,Receive Ethernet Octets Counter Register" line.quad 0x08 "ROCT,Receive Octets Counter Register" line.quad 0x10 "RALN,Receive Alignment Error Counter Register" line.quad 0x18 "RXPF,Receive Valid Pause Frame Counter Register" line.quad 0x20 "RFRM,Receive Frame Counter Register" line.quad 0x28 "RFCS,Receive Frame Check Sequence Error Counter Register" line.quad 0x30 "RVLAN,Receive VLAN Frame Counter Register" line.quad 0x38 "RERR,Receive Frame Error Counter Register Register" line.quad 0x40 "RUCA,Receive Unicast Frame Counter Register" line.quad 0x48 "RMCA,Receive Multicast Frame Counter Register" line.quad 0x50 "RBCA,Receive Broadcast Frame Counter Register" line.quad 0x58 "RDRP,Receive Dropped Packets Counter Register" line.quad 0x60 "RPKT,Receive Packets Counter Register" line.quad 0x68 "RUND,Receive Undersized Packet Counter Register" line.quad 0x70 "R64,Receive 64-Octet Packet Counter Register" line.quad 0x78 "R127,Receive 65- To 127-Octet Packet Counter Register" line.quad 0x80 "R255,Receive 128- To 255-Octet Packet Counter Register" line.quad 0x88 "R511,Receive 256- To 511-Octet Packet Counter Register" line.quad 0x90 "R1023,Receive 512- To 1023-Octet Packet Counter Register" line.quad 0x98 "R1518,Receive 1024- To 1518-Octet Packet Counter Register" line.quad 0xA0 "R1519X,Receive 1519- To Max-Octet Packet Counter Register" line.quad 0xA8 "ROVR,Receive Oversized Packet Counter Register" line.quad 0xB0 "RJBR,Receive Jabber Packet Counter Register" line.quad 0xB8 "RFRG,Receive Fragment Packet Counter Register" line.quad 0xC0 "RCNP,Receive Control Packet Counter Register" tree.end width 10. tree "Transmit Counter Registers" rgroup.quad 0x200++0x0F line.quad 0x00 "TEOCT,Transmit Ethernet Octets CounterRegister" line.quad 0x08 "TOCT,Transmit Octets Counter Register" rgroup.quad 0x218++0x3F line.quad 0x00 "TXPF,Transmit Valid Pause Frame Counter Register" line.quad 0x08 "TFRM,Transmit Frame Counter Register" line.quad 0x10 "TFCS,Transmit Frame Check Sequence Error Counter Register" line.quad 0x18 "TVLAN,Transmit VLAN Frame Counter Register" line.quad 0x20 "TERR,Transmit Frame Error Counter Register" line.quad 0x28 "TUCA,Transmit Unicast Frame Counter Register" line.quad 0x30 "TMCA,Transmit Multicast Frame Counter Register" line.quad 0x38 "TBCA,Transmit Broadcast Frame Counter Register" rgroup.quad 0x260++0x47 line.quad 0x00 "TPKT,Transmit Packets Counter Register" line.quad 0x08 "TUND,Transmit Undersized Packet Counter Register" line.quad 0x10 "T64,Transmit 64-Octet Packet Counter Register" line.quad 0x18 "T127,Transmit 65- To 127-Octet Packet Counter Register" line.quad 0x20 "T255,Transmit 128- To 255-Octet Packet Counter Register" line.quad 0x28 "T511,Transmit 256- To 511-Octet Packet Counter Register" line.quad 0x30 "T1023,Transmit 512- To 1023-Octet Packet Counter Register" line.quad 0x38 "T1518,Transmit 1024- To 1518-Octet Packet Counter Register" line.quad 0x40 "T1519X,Transmit 1519- To Max-Octet Packet Counter Register" rgroup.quad 0x2C0++0x07 line.quad 0x00 "TCNP,Transmit Control Packet Counter Register" tree.end tree.end width 9. tree "PFC Statistics Counter Registers" tree "Receive PFC Counter Registers" rgroup.long 0x380++0x07 line.long 0x00 "RPFC0_L,Lower Receive PFC Class 0 Counter" line.long 0x04 "RPFC0_U,Upper Receive PFC Class 0 Counter" rgroup.long 0x388++0x07 line.long 0x00 "RPFC1_L,Lower Receive PFC Class 1 Counter" line.long 0x04 "RPFC1_U,Upper Receive PFC Class 1 Counter" rgroup.long 0x390++0x07 line.long 0x00 "RPFC2_L,Lower Receive PFC Class 2 Counter" line.long 0x04 "RPFC2_U,Upper Receive PFC Class 2 Counter" rgroup.long 0x398++0x07 line.long 0x00 "RPFC3_L,Lower Receive PFC Class 3 Counter" line.long 0x04 "RPFC3_U,Upper Receive PFC Class 3 Counter" rgroup.long 0x3A0++0x07 line.long 0x00 "RPFC4_L,Lower Receive PFC Class 4 Counter" line.long 0x04 "RPFC4_U,Upper Receive PFC Class 4 Counter" rgroup.long 0x3A8++0x07 line.long 0x00 "RPFC5_L,Lower Receive PFC Class 5 Counter" line.long 0x04 "RPFC5_U,Upper Receive PFC Class 5 Counter" rgroup.long 0x3B0++0x07 line.long 0x00 "RPFC6_L,Lower Receive PFC Class 6 Counter" line.long 0x04 "RPFC6_U,Upper Receive PFC Class 6 Counter" rgroup.long 0x3B8++0x07 line.long 0x00 "RPFC7_L,Lower Receive PFC Class 7 Counter" line.long 0x04 "RPFC7_U,Upper Receive PFC Class 7 Counter" tree.end width 9. tree "Transmit PFC Counter Registers" rgroup.long 0x3C0++0x07 line.long 0x00 "TPFC0_L,Lower Transmit PFC Class 0 Counter" line.long 0x04 "TPFC0_U,Upper Transmit PFC Class 0 Counter" rgroup.long 0x3C8++0x07 line.long 0x00 "TPFC1_L,Lower Transmit PFC Class 1 Counter" line.long 0x04 "TPFC1_U,Upper Transmit PFC Class 1 Counter" rgroup.long 0x3D0++0x07 line.long 0x00 "TPFC2_L,Lower Transmit PFC Class 2 Counter" line.long 0x04 "TPFC2_U,Upper Transmit PFC Class 2 Counter" rgroup.long 0x3D8++0x07 line.long 0x00 "TPFC3_L,Lower Transmit PFC Class 3 Counter" line.long 0x04 "TPFC3_U,Upper Transmit PFC Class 3 Counter" rgroup.long 0x3E0++0x07 line.long 0x00 "TPFC4_L,Lower Transmit PFC Class 4 Counter" line.long 0x04 "TPFC4_U,Upper Transmit PFC Class 4 Counter" rgroup.long 0x3E8++0x07 line.long 0x00 "TPFC5_L,Lower Transmit PFC Class 5 Counter" line.long 0x04 "TPFC5_U,Upper Transmit PFC Class 5 Counter" rgroup.long 0x3F0++0x07 line.long 0x00 "TPFC6_L,Lower Transmit PFC Class 6 Counter" line.long 0x04 "TPFC6_U,Upper Transmit PFC Class 6 Counter" rgroup.long 0x3F8++0x07 line.long 0x00 "TPFC7_L,Lower Transmit PFC Class 7 Counter" line.long 0x04 "TPFC7_U,Upper Transmit PFC Class 7 Counter" tree.end tree.end width 11. tree "MDIO Ethernet Management Interface Registers" group.long 0x30++0x03 line.long 0x00 "MDIO_CFG,MDIO Configuration Register" rbitfld.long 0x00 31. " BSY2 ,MDIO busy" "Not busy,Busy" rbitfld.long 0x00 30. " MDIO_RD_ER ,MDIO read error" "No error,Error" bitfld.long 0x00 27.--29. " MDIO_HOLD ,MDIO hold time" "1,3,5,7,9,11,13,15" bitfld.long 0x00 26. " PRE_DIS ,MDIO preamble disable" "No,Yes" bitfld.long 0x00 25. " ENC45 ,Clause 45 support enable" "22,45" newline hexmask.long.word 0x00 16.--24. 1. " MDIO_CLK_DIV ,MDIO clock divisor" rbitfld.long 0x00 9. " EHOLD ,EHOLD" "0,1" rbitfld.long 0x00 8. " NEG ,NEG" "0,1" bitfld.long 0x00 2. " CIM ,MDIO command completion interrupt mask" "Masked,Not masked" eventfld.long 0x00 1. " CMP ,MDIO command completion event" "Not completed,Completed" newline rbitfld.long 0x00 0. " BSY1 ,MDIO busy" "Not busy,Busy" if (((per.l.be(ad:0x08C4E000+0x30))&0x2000000)==0x2000000) group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " DEV_ADDR ,MDIO device address" hexmask.long.byte 0x00 22.--26. 0x40 " PORT_ADDR ,MDIO port address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" else group.long 0x34++0x03 line.long 0x00 "MDIO_CTL,MDIO Control Register" hexmask.long.byte 0x00 27.--31. 0x08 " REG_ADDR ,MDIO address" hexmask.long.byte 0x00 22.--26. 0x40 " PHY_ADDR ,MDIO PHY address" bitfld.long 0x00 17. " POST_INC ,MDIO read with address post-increment initiation" "Not initiated,Initiated" bitfld.long 0x00 16. " READ ,MDIO read initiation" "Not initiated,Initiated" endif group.long 0x38++0x03 line.long 0x00 "MDIO_DATA,MDIO Data Register" hexmask.long.word 0x00 16.--31. 1. " MDIO_DATA ,MDIO data" wgroup.long 0x3C++0x03 line.long 0x00 "MDIO_ADDR,MDIO PHY Register Address Register" tree.end endian.le width 0x0B tree.end tree.end tree "MACSEC (MACsec)" tree "MACsec 1" base ad:0x08C0F000 width 7. endian.be tree "MACsec Configuration" group.long 0x00++0x07 line.long 0x00 "CFG,MACsec Configuration Register" bitfld.long 0x00 31. " S0I ,RX SCI0 is the implicit SCI for point to point enable" "Disabled,Enabled" bitfld.long 0x00 30. " BYPN ,Bypass mode" "Bypass mode,Normal mode" bitfld.long 0x00 29. " KSS ,Key store secured" "Readable,Not readable" bitfld.long 0x00 26.--27. " UFT ,Frame received without MAC security tag mode" "Deliver/discard,Discard/discard,Discard/deliver,?..." newline bitfld.long 0x00 25. " KFT ,Encryption bit set and the changed text bit clear mode" "Deliver/discard,Discard/discard" bitfld.long 0x00 24. " ITT ,Frame received with an invalid SecTAG or a zero value PN mode" "Discard/discard,Deliver/discard" bitfld.long 0x00 22.--23. " USFT ,Frame received with unknown SCI mode" "Discard/discard,Discard/deliver,Deliver/discard,Deliver/discard" bitfld.long 0x00 21. " ESCBT ,Frame received with the SC and ES bits cleared and the SCB bit set mode" "Discard/discard,Deliver/discard" newline bitfld.long 0x00 20. " UECT ,Frame received with the E bit cleared and the C bit set mode" "Discard/discard,Deliver/discard" bitfld.long 0x00 15. " SLC ,SL check" "Two bits are b00 and 6 bits <48,6 bits <48" line.long 0x04 "ET,MACsec EtherType Register" hexmask.long.word 0x04 16.--31. 1. " MACSEC_ET ,MACsec ethertype" group.long 0x40++0x07 line.long 0x00 "MFL,Maximum Frame Length Register" hexmask.long.word 0x00 16.--31. 1. " MAX_LEN ,Maximum frame length in bytes" line.long 0x04 "TPNET,TX Packet Number Exhaustion Threshold Register" group.long 0x80++0x03 line.long 0x00 "RXSCA,RX SC Access Select Register" bitfld.long 0x00 26.--31. " SC_SEL ,SC select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xC0++0x03 line.long 0x00 "TXSCA,TX SC Access Select Register" bitfld.long 0x00 27.--31. " SC_SEL ,SC select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end width 9. tree "RX Configuration, Status and Statistic" group.long 0x100++0x07 line.long 0x00 "RXSCI1H,RX Secure Channel Identifier First Half Register" line.long 0x04 "RXSCI2H,RX Secure Channel Identifier Second Half Register" tree.end width 9. tree "SecY Controlled Port RX Statistics" group.long 0x110++0x0B line.long 0x00 "IFIO1HS,IfInOctets First Half Statistic Register" line.long 0x04 "IFIO2HS,IfInOctets Second Half Statistic Register" line.long 0x08 "IFIUPS,IfInUcastPkts Statistic Register" group.long 0x120++0x0F line.long 0x00 "IFIMPS,IfInMulticastPkts Statistic Register" line.long 0x04 "IFIBPS,IfInBroadcastPkts Statistic Register" line.long 0x08 "RXSCCFG,RX Secure Channel Configuration Register" bitfld.long 0x08 26.--31. " CO ,Confidentiality offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 22.--23. " VF ,Validate frames" "Disable to disable,Check to enable,Strict to enable,?..." bitfld.long 0x08 21. " RP ,Replay protect enable" "Disabled,Enabled" bitfld.long 0x08 20. " SCI_EN ,SCI enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " CS ,Cipher suite" "GCM-AES-128,GCM-AES-256" line.long 0x0C "RPW,Replay Window" group.long 0x140++0x0F line.long 0x00 "INOV1HS,InOctetsValidated First Half Statistic Register" line.long 0x04 "INOV2HS,InOctetsValidated Second Half Statistic Register" line.long 0x08 "INOD1HS,InOctetsDecrypted First Half Statistic Register" line.long 0x0C "INOD2HS,InOctetsDecrypted Second Half Statistic Register" tree.end width 12. tree "Per RX SC Statistics" group.long 0x150++0x0B line.long 0x00 "RXSCIPUS,RX Secure Channel InPktsUnchecked Statistic Register" line.long 0x04 "RXSCIPDS,RX Secure Channel InPktsDelayed Statistic Register" line.long 0x08 "RXSCIPLS,RX Secure Channel InPktsLate Statistic Register" group.long 0x160++0x03 line.long 0x00 "RXAN0INUSS,RX AN 0 InNotUsingSA Statistic Register" group.long (0x160+0x10)++0x03 line.long 0x00 "RXAN0IPUSS,RX AN 0 InPktsUnusedSA Statistic Register" group.long 0x164++0x03 line.long 0x00 "RXAN1INUSS,RX AN 1 InNotUsingSA Statistic Register" group.long (0x164+0x10)++0x03 line.long 0x00 "RXAN1IPUSS,RX AN 1 InPktsUnusedSA Statistic Register" group.long 0x168++0x03 line.long 0x00 "RXAN2INUSS,RX AN 2 InNotUsingSA Statistic Register" group.long (0x168+0x10)++0x03 line.long 0x00 "RXAN2IPUSS,RX AN 2 InPktsUnusedSA Statistic Register" group.long 0x16C++0x03 line.long 0x00 "RXAN3INUSS,RX AN 3 InNotUsingSA Statistic Register" group.long (0x16C+0x10)++0x03 line.long 0x00 "RXAN3IPUSS,RX AN 3 InPktsUnusedSA Statistic Register" group.long 0x180++0x0F line.long 0x00 "RXSAACS,RX Security Association A Configuration And Status Register" bitfld.long 0x00 31. " EN ,Enable receive" "Disabled,Enabled" bitfld.long 0x00 29.--30. " AN ,AN association" "0,1,2,3" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "RXSAANPN,RX Security Association A NextPN Register" line.long 0x08 "RXSAALPN,RX Security Association A LowestPN Register" line.long 0x0C "RXSAAIPOS,RX Security Association A InPktsOK Statistic Register" group.long 0x1A0++0x17 line.long 0x00 "RXSAHA1Q,RX Security Association A Hash 1 Of 4 Register" line.long 0x04 "RXSAHA2Q,RX Security Association A Hash 2 Of 4 Register" line.long 0x08 "RXSAHA3Q,RX Security Association A Hash 3 Of 4 Register" line.long 0x0C "RXSAHA4Q,RX Security Association A Hash 4 Of 4 Register" line.long 0x10 "RXSAAIPIS,RX Security Association A InPktsInvalid Statistic Register" line.long 0x14 "RXSAAIPNVS,RX Security Association A InPktsNotValid Statistic Register" group.long 0x1C0++0x0F line.long 0x00 "RXSABCS,RX Security Association B Configuration And Status Register" bitfld.long 0x00 31. " EN ,Receive enable" "Disabled,Enabled" bitfld.long 0x00 29.--30. " AN ,AN association" "0,1,2,3" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "RXSABNPN,RX Security Association B NextPN Register" line.long 0x08 "RXSABLPN,RX Security Association B LowestPN Register" line.long 0x0C "RXSABIPOS,RX Security Association B InPktsOK Statistic Register" group.long 0x1E0++0x17 line.long 0x00 "RXSAHB1Q,RX Security Association B Hash 1 Of 4 Register" line.long 0x04 "RXSAHB2Q,RX Security Association B Hash 2 Of 4 Register" line.long 0x08 "RXSAHB3Q,RX Security Association B Hash 3 Of 4 Register" line.long 0x0C "RXSAHB4Q,RX Security Association B Hash 4 Of 4 Register" line.long 0x10 "RXSABIPIS,RX Security Association B InPktsInvalid Statistic Register" line.long 0x14 "RXSABIPNVS,RX Security Association B InPktsNotValid Statistic Register" tree.end width 9. tree "TX Configuration, Status and Statistic" group.long 0x200++0x07 line.long 0x00 "TXSCI1H,TX Secure Channel Identifier First Half Register" line.long 0x04 "TXSCI2H,TX Secure Channel Identifier Second Half Register" tree.end width 11. tree "SecY Controlled Port TX Statistics" group.long 0x210++0x1F line.long 0x00 "IFOO1HS,IfOutOctets First Half Statistic Register" line.long 0x04 "IFOO2HS,IfOutOctets Second Half Statistic Register" line.long 0x08 "IFOUPS,IfOutUcastPkts Statistic Register" line.long 0x0C "OPUS,OutPktsUntagged Statistic Register" line.long 0x10 "IFOMPS,IfOutMulticastPkts Statistic Register" line.long 0x14 "IFOBPS,IfOutBroadcastPkts Statistic Register" line.long 0x18 "TXSCCFG,TX Secure Channel Configuration Register" bitfld.long 0x18 31. " USCB ,Use SCB" "Not used,Used" bitfld.long 0x18 30. " UES ,Use ES" "Not used,Used" bitfld.long 0x18 29. " AIS ,Always include SCI" "Not included,Included" bitfld.long 0x18 28. " PF ,Protect frames" "Not protected,Protected" bitfld.long 0x18 27. " CE ,Confidentiality enable" "Disabled,Enabled" newline hexmask.long.byte 0x18 18.--23. 0x04 " CO ,Confidentiality offset" bitfld.long 0x18 15. " SCE ,TX SC enable" "Disabled,Enabled" bitfld.long 0x18 14. " ASA ,Active SA" "A,B" bitfld.long 0x18 12.--13. " ANA ,AN association" "0,1,2,3" bitfld.long 0x18 7. " CS ,Cipher suite" "128,256" line.long 0x1C "OPTLS,OutPktsTooLong Statistic Register" group.long 0x240++0x0F line.long 0x00 "OOP1HS,OutOctetsProtected First Half Statistic Register" line.long 0x04 "OOP2HS,OutOctetsProtected Second Half Statistic Register" line.long 0x08 "OOE1HS,OutOctetsEncrypted First Half Statistic Register" line.long 0x0C "OOE2HS,OutOctetsEncrypted Second Half Statistic Register" group.long 0x280++0x0F line.long 0x00 "TXSAACS,TX Security Association A Configuration And Status Register" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "TXSAANPN,TX Security Association A NextPN Register" line.long 0x08 "TXSAAOPPS,TX Security Association A OutPktsProtected Statistic Register" line.long 0x0C "TXSAAOPES,TX Security Association A OutPktsEncrypted Statistic Register" group.long 0x2A0++0x0F line.long 0x00 "TXSAHA1Q,TX Security Association A Hash 1 Of 4 Register" line.long 0x04 "TXSAHA2Q,TX Security Association A Hash 2 Of 4 Register" line.long 0x08 "TXSAHA3Q,TX Security Association A Hash 3 Of 4 Register" line.long 0x0C "TXSAHA4Q,TX Security Association A Hash 4 Of 4 Register" group.long 0x2C0++0x0F line.long 0x00 "TXSABCS,TX Security Association B Configuration And Status Register" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "TXSABNPN,TX Security Association B NextPN Register" line.long 0x08 "TXSABOPPS,TX Security Association B OutPktsProtected Statistic Register" line.long 0x0C "TXSABOPES,TX Security Association B OutPktsEncrypted Statistic Register" group.long 0x2E0++0x0F line.long 0x00 "TXSAHB1Q,TX Security Association B Hash 1 Of 4 Register" line.long 0x04 "TXSAHB2Q,TX Security Association B Hash 2 Of 4 Register" line.long 0x08 "TXSAHB3Q,TX Security Association B Hash 3 Of 4 Register" line.long 0x0C "TXSAHB4Q,TX Security Association B Hash 4 Of 4 Register" tree.end width 10. tree "Global Configuration And Status" rgroup.long 0x3F8++0x07 line.long 0x00 "IP_REV_1,MACsec IP Block Revision 1 Register" hexmask.long.byte 0x00 24.--31. 1. " IP_MN ,Minor revision" hexmask.long.byte 0x00 16.--23. 1. " IP_MJ ,Major revision" hexmask.long.word 0x00 0.--15. 1. " IP_ID ,IP block ID" line.long 0x04 "IP_REV_2,MACsec IP Block Revision 2 Register" hexmask.long.byte 0x04 24.--31. 1. " IP_CFG ,Configuration options" hexmask.long.byte 0x04 16.--23. 1. " IP_ERR ,Errata revision level" hexmask.long.byte 0x04 8.--15. 1. " IP_INT ,Integration options" group.long 0x400++0x07 line.long 0x00 "EVR,MACsec Event Register" eventfld.long 0x00 15. " TS[15]R ,TX SC 15 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,TX SC 14 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,TX SC 13 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,TX SC 12 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 11. " [11] ,TX SC 11 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,TX SC 10 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,TX SC 9 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 8. " [8] ,TX SC 8 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " [7] ,TX SC 7 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,TX SC 6 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,TX SC 5 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,TX SC 4 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 3. " [3] ,TX SC 3 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 2. " [2] ,TX SC 2 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,TX SC 1 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,TX SC 0 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" line.long 0x04 "EVER,MACsec Event Enable Register" bitfld.long 0x04 15. " TS[15]R ,TX SC 15 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 14. " [14] ,TX SC 14 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 13. " [13] ,TX SC 13 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 12. " [12] ,TX SC 12 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 11. " [11] ,TX SC 11 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 10. " [10] ,TX SC 10 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 9. " [9] ,TX SC 9 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 8. " [8] ,TX SC 8 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 7. " [7] ,TX SC 7 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 6. " [6] ,TX SC 6 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 5. " [5] ,TX SC 5 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 4. " [4] ,TX SC 4 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 3. " [3] ,TX SC 3 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 2. " [2] ,TX SC 2 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " [1] ,TX SC 1 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " [0] ,TX SC 0 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" group.long 0x40C++0x07 line.long 0x00 "ERR,MACsec Error Register" eventfld.long 0x00 31. " ECCE ,MACsec memory ECC multiple-bit error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 15. " TS[15]E ,TX SC 15 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,TX SC 14 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,TX SC 13 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,TX SC 12 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 11. " [11] ,TX SC 11 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,TX SC 10 frame error interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 9. " [9] ,TX SC 9 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,TX SC 8 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " [7] ,TX SC 7 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,TX SC 6 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,TX SC 5 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,TX SC 4 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 3. " [3] ,TX SC 3 frame error interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 2. " [2] ,TX SC 2 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,TX SC 1 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,TX SC 0 frame error interrupt" "No interrupt,Interrupt" line.long 0x04 "ERER,MACsec Error Enable Register" bitfld.long 0x04 31. " ECCE ,MACsec memory ECC multiple-bit error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 15. " TS[15]E ,TX SC 15 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 14. " [14] ,TX SC 14 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 13. " [13] ,TX SC 13 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 12. " [12] ,TX SC 12 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 11. " [11] ,TX SC 11 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 10. " [10] ,TX SC 10 frame error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 9. " [9] ,TX SC 9 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 8. " [8] ,TX SC 8 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 7. " [7] ,TX SC 7 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 6. " [6] ,TX SC 6 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 5. " [5] ,TX SC 5 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 4. " [4] ,TX SC 4 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 3. " [3] ,TX SC 3 frame error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 2. " [2] ,TX SC 2 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " [1] ,TX SC 1 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " [0] ,TX SC 0 frame error interrupt enable" "Disabled,Enabled" newline hgroup.long 0x440++0x03 hide.long 0x00 "MEEC,MACsec Memory ECC Error Capture Register" in newline rgroup.long 0x444++0x03 line.long 0x00 "IDLE,MACsec Idle Status Register" bitfld.long 0x00 31. " I ,Idle" "Active,Idle" tree.end width 11. tree "MACsec RX Global Statistics" group.long 0x600++0x0B line.long 0x00 "IFIOCP1HS,IfInOctetsCp First Half Statistic Register" line.long 0x04 "IFIOCP2HS,IfInOctetsCp Second Half Statistic Register" line.long 0x08 "IFIUPCPS,IfInUcastPktsCp Statistic Register" group.long 0x610++0x0B line.long 0x00 "IFIOUP1HS,IfInOctetsUp First Half Statistic Register" line.long 0x04 "IFIOUP2HS,IfInOctetsUp Second Half Statistic Register" line.long 0x08 "IFIUPUPS,IfInUcastPktsUp Statistic Register" group.long 0x620++0x2B line.long 0x00 "IFIMPCPS,IfInMulticastPktsCp Statistic Register" line.long 0x04 "IFIBPCPS,IfInBroadcastPktsCp Statistic Register" line.long 0x08 "IFIMPUPS,IfInMulticastPktsUp Statistic Register" line.long 0x0C "IFIBPUPS,IfInBroadcastPktsUp Statistic Register" line.long 0x10 "INPWTS,InPktsWithoutTag Statistic Register" line.long 0x14 "INPKAYS,InPktsKaY Statistic Register" line.long 0x18 "INPBTS,InPktsBadTag Statistic Register" line.long 0x1C "IPSNFS,InPktsSCINotFound Statistic Register" line.long 0x20 "IPUECS,InPktsUnsupportedEC Statistic Register" line.long 0x24 "IPESCBS,InPktsEponSingleCopyBroadcast Statistic Register" line.long 0x28 "IPTLS,InPktsTooLong Statistic Register" tree.end width 6. tree "MACsec TX Global Statistics" group.long 0x680++0x03 line.long 0x00 "OPDS,OutPktsDiscarded Statistic Register" tree.end width 10. tree "MACSec RX Keys" group.long 0x700++0x03 line.long 0x00 "RXSAKA1Q,RX Security Association A Key 1 Of 8 Register" group.long 0x704++0x03 line.long 0x00 "RXSAKA2Q,RX Security Association A Key 2 Of 8 Register" group.long 0x708++0x03 line.long 0x00 "RXSAKA3Q,RX Security Association A Key 3 Of 8 Register" group.long 0x70C++0x03 line.long 0x00 "RXSAKA4Q,RX Security Association A Key 4 Of 8 Register" group.long 0x710++0x03 line.long 0x00 "RXSAKA5Q,RX Security Association A Key 5 Of 8 Register" group.long 0x714++0x03 line.long 0x00 "RXSAKA6Q,RX Security Association A Key 6 Of 8 Register" group.long 0x718++0x03 line.long 0x00 "RXSAKA7Q,RX Security Association A Key 7 Of 8 Register" group.long 0x71C++0x03 line.long 0x00 "RXSAKA8Q,RX Security Association A Key 8 Of 8 Register" group.long 0x740++0x03 line.long 0x00 "RXSAKB1Q,RX Security Association B Key 1 Of 8 Register" group.long 0x744++0x03 line.long 0x00 "RXSAKB2Q,RX Security Association B Key 2 Of 8 Register" group.long 0x748++0x03 line.long 0x00 "RXSAKB3Q,RX Security Association B Key 3 Of 8 Register" group.long 0x74C++0x03 line.long 0x00 "RXSAKB4Q,RX Security Association B Key 4 Of 8 Register" group.long 0x750++0x03 line.long 0x00 "RXSAKB5Q,RX Security Association B Key 5 Of 8 Register" group.long 0x754++0x03 line.long 0x00 "RXSAKB6Q,RX Security Association B Key 6 Of 8 Register" group.long 0x758++0x03 line.long 0x00 "RXSAKB7Q,RX Security Association B Key 7 Of 8 Register" group.long 0x75C++0x03 line.long 0x00 "RXSAKB8Q,RX Security Association B Key 8 Of 8 Register" tree.end width 10. tree "MACSec TX Keys" group.long 0x780++0x03 line.long 0x00 "TXSAKA1Q,TX Security Association A Key 1 Of 8 Register" group.long 0x784++0x03 line.long 0x00 "TXSAKA2Q,TX Security Association A Key 2 Of 8 Register" group.long 0x788++0x03 line.long 0x00 "TXSAKA3Q,TX Security Association A Key 3 Of 8 Register" group.long 0x78C++0x03 line.long 0x00 "TXSAKA4Q,TX Security Association A Key 4 Of 8 Register" group.long 0x790++0x03 line.long 0x00 "TXSAKA5Q,TX Security Association A Key 5 Of 8 Register" group.long 0x794++0x03 line.long 0x00 "TXSAKA6Q,TX Security Association A Key 6 Of 8 Register" group.long 0x798++0x03 line.long 0x00 "TXSAKA7Q,TX Security Association A Key 7 Of 8 Register" group.long 0x79C++0x03 line.long 0x00 "TXSAKA8Q,TX Security Association A Key 8 Of 8 Register" group.long 0x7C0++0x03 line.long 0x00 "TXSAKB1Q,TX Security Association B Key 1 Of 8 Register" group.long 0x7C4++0x03 line.long 0x00 "TXSAKB2Q,TX Security Association B Key 2 Of 8 Register" group.long 0x7C8++0x03 line.long 0x00 "TXSAKB3Q,TX Security Association B Key 3 Of 8 Register" group.long 0x7CC++0x03 line.long 0x00 "TXSAKB4Q,TX Security Association B Key 4 Of 8 Register" group.long 0x7D0++0x03 line.long 0x00 "TXSAKB5Q,TX Security Association B Key 5 Of 8 Register" group.long 0x7D4++0x03 line.long 0x00 "TXSAKB6Q,TX Security Association B Key 6 Of 8 Register" group.long 0x7D8++0x03 line.long 0x00 "TXSAKB7Q,TX Security Association B Key 7 Of 8 Register" group.long 0x7DC++0x03 line.long 0x00 "TXSAKB8Q,TX Security Association B Key 8 Of 8 Register" tree.end endian.le width 0x0B tree.end tree "MACsec 2" base ad:0x08C13000 width 7. endian.be tree "MACsec Configuration" group.long 0x00++0x07 line.long 0x00 "CFG,MACsec Configuration Register" bitfld.long 0x00 31. " S0I ,RX SCI0 is the implicit SCI for point to point enable" "Disabled,Enabled" bitfld.long 0x00 30. " BYPN ,Bypass mode" "Bypass mode,Normal mode" bitfld.long 0x00 29. " KSS ,Key store secured" "Readable,Not readable" bitfld.long 0x00 26.--27. " UFT ,Frame received without MAC security tag mode" "Deliver/discard,Discard/discard,Discard/deliver,?..." newline bitfld.long 0x00 25. " KFT ,Encryption bit set and the changed text bit clear mode" "Deliver/discard,Discard/discard" bitfld.long 0x00 24. " ITT ,Frame received with an invalid SecTAG or a zero value PN mode" "Discard/discard,Deliver/discard" bitfld.long 0x00 22.--23. " USFT ,Frame received with unknown SCI mode" "Discard/discard,Discard/deliver,Deliver/discard,Deliver/discard" bitfld.long 0x00 21. " ESCBT ,Frame received with the SC and ES bits cleared and the SCB bit set mode" "Discard/discard,Deliver/discard" newline bitfld.long 0x00 20. " UECT ,Frame received with the E bit cleared and the C bit set mode" "Discard/discard,Deliver/discard" bitfld.long 0x00 15. " SLC ,SL check" "Two bits are b00 and 6 bits <48,6 bits <48" line.long 0x04 "ET,MACsec EtherType Register" hexmask.long.word 0x04 16.--31. 1. " MACSEC_ET ,MACsec ethertype" group.long 0x40++0x07 line.long 0x00 "MFL,Maximum Frame Length Register" hexmask.long.word 0x00 16.--31. 1. " MAX_LEN ,Maximum frame length in bytes" line.long 0x04 "TPNET,TX Packet Number Exhaustion Threshold Register" group.long 0x80++0x03 line.long 0x00 "RXSCA,RX SC Access Select Register" bitfld.long 0x00 26.--31. " SC_SEL ,SC select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xC0++0x03 line.long 0x00 "TXSCA,TX SC Access Select Register" bitfld.long 0x00 27.--31. " SC_SEL ,SC select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end width 9. tree "RX Configuration, Status and Statistic" group.long 0x100++0x07 line.long 0x00 "RXSCI1H,RX Secure Channel Identifier First Half Register" line.long 0x04 "RXSCI2H,RX Secure Channel Identifier Second Half Register" tree.end width 9. tree "SecY Controlled Port RX Statistics" group.long 0x110++0x0B line.long 0x00 "IFIO1HS,IfInOctets First Half Statistic Register" line.long 0x04 "IFIO2HS,IfInOctets Second Half Statistic Register" line.long 0x08 "IFIUPS,IfInUcastPkts Statistic Register" group.long 0x120++0x0F line.long 0x00 "IFIMPS,IfInMulticastPkts Statistic Register" line.long 0x04 "IFIBPS,IfInBroadcastPkts Statistic Register" line.long 0x08 "RXSCCFG,RX Secure Channel Configuration Register" bitfld.long 0x08 26.--31. " CO ,Confidentiality offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 22.--23. " VF ,Validate frames" "Disable to disable,Check to enable,Strict to enable,?..." bitfld.long 0x08 21. " RP ,Replay protect enable" "Disabled,Enabled" bitfld.long 0x08 20. " SCI_EN ,SCI enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " CS ,Cipher suite" "GCM-AES-128,GCM-AES-256" line.long 0x0C "RPW,Replay Window" group.long 0x140++0x0F line.long 0x00 "INOV1HS,InOctetsValidated First Half Statistic Register" line.long 0x04 "INOV2HS,InOctetsValidated Second Half Statistic Register" line.long 0x08 "INOD1HS,InOctetsDecrypted First Half Statistic Register" line.long 0x0C "INOD2HS,InOctetsDecrypted Second Half Statistic Register" tree.end width 12. tree "Per RX SC Statistics" group.long 0x150++0x0B line.long 0x00 "RXSCIPUS,RX Secure Channel InPktsUnchecked Statistic Register" line.long 0x04 "RXSCIPDS,RX Secure Channel InPktsDelayed Statistic Register" line.long 0x08 "RXSCIPLS,RX Secure Channel InPktsLate Statistic Register" group.long 0x160++0x03 line.long 0x00 "RXAN0INUSS,RX AN 0 InNotUsingSA Statistic Register" group.long (0x160+0x10)++0x03 line.long 0x00 "RXAN0IPUSS,RX AN 0 InPktsUnusedSA Statistic Register" group.long 0x164++0x03 line.long 0x00 "RXAN1INUSS,RX AN 1 InNotUsingSA Statistic Register" group.long (0x164+0x10)++0x03 line.long 0x00 "RXAN1IPUSS,RX AN 1 InPktsUnusedSA Statistic Register" group.long 0x168++0x03 line.long 0x00 "RXAN2INUSS,RX AN 2 InNotUsingSA Statistic Register" group.long (0x168+0x10)++0x03 line.long 0x00 "RXAN2IPUSS,RX AN 2 InPktsUnusedSA Statistic Register" group.long 0x16C++0x03 line.long 0x00 "RXAN3INUSS,RX AN 3 InNotUsingSA Statistic Register" group.long (0x16C+0x10)++0x03 line.long 0x00 "RXAN3IPUSS,RX AN 3 InPktsUnusedSA Statistic Register" group.long 0x180++0x0F line.long 0x00 "RXSAACS,RX Security Association A Configuration And Status Register" bitfld.long 0x00 31. " EN ,Enable receive" "Disabled,Enabled" bitfld.long 0x00 29.--30. " AN ,AN association" "0,1,2,3" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "RXSAANPN,RX Security Association A NextPN Register" line.long 0x08 "RXSAALPN,RX Security Association A LowestPN Register" line.long 0x0C "RXSAAIPOS,RX Security Association A InPktsOK Statistic Register" group.long 0x1A0++0x17 line.long 0x00 "RXSAHA1Q,RX Security Association A Hash 1 Of 4 Register" line.long 0x04 "RXSAHA2Q,RX Security Association A Hash 2 Of 4 Register" line.long 0x08 "RXSAHA3Q,RX Security Association A Hash 3 Of 4 Register" line.long 0x0C "RXSAHA4Q,RX Security Association A Hash 4 Of 4 Register" line.long 0x10 "RXSAAIPIS,RX Security Association A InPktsInvalid Statistic Register" line.long 0x14 "RXSAAIPNVS,RX Security Association A InPktsNotValid Statistic Register" group.long 0x1C0++0x0F line.long 0x00 "RXSABCS,RX Security Association B Configuration And Status Register" bitfld.long 0x00 31. " EN ,Receive enable" "Disabled,Enabled" bitfld.long 0x00 29.--30. " AN ,AN association" "0,1,2,3" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "RXSABNPN,RX Security Association B NextPN Register" line.long 0x08 "RXSABLPN,RX Security Association B LowestPN Register" line.long 0x0C "RXSABIPOS,RX Security Association B InPktsOK Statistic Register" group.long 0x1E0++0x17 line.long 0x00 "RXSAHB1Q,RX Security Association B Hash 1 Of 4 Register" line.long 0x04 "RXSAHB2Q,RX Security Association B Hash 2 Of 4 Register" line.long 0x08 "RXSAHB3Q,RX Security Association B Hash 3 Of 4 Register" line.long 0x0C "RXSAHB4Q,RX Security Association B Hash 4 Of 4 Register" line.long 0x10 "RXSABIPIS,RX Security Association B InPktsInvalid Statistic Register" line.long 0x14 "RXSABIPNVS,RX Security Association B InPktsNotValid Statistic Register" tree.end width 9. tree "TX Configuration, Status and Statistic" group.long 0x200++0x07 line.long 0x00 "TXSCI1H,TX Secure Channel Identifier First Half Register" line.long 0x04 "TXSCI2H,TX Secure Channel Identifier Second Half Register" tree.end width 11. tree "SecY Controlled Port TX Statistics" group.long 0x210++0x1F line.long 0x00 "IFOO1HS,IfOutOctets First Half Statistic Register" line.long 0x04 "IFOO2HS,IfOutOctets Second Half Statistic Register" line.long 0x08 "IFOUPS,IfOutUcastPkts Statistic Register" line.long 0x0C "OPUS,OutPktsUntagged Statistic Register" line.long 0x10 "IFOMPS,IfOutMulticastPkts Statistic Register" line.long 0x14 "IFOBPS,IfOutBroadcastPkts Statistic Register" line.long 0x18 "TXSCCFG,TX Secure Channel Configuration Register" bitfld.long 0x18 31. " USCB ,Use SCB" "Not used,Used" bitfld.long 0x18 30. " UES ,Use ES" "Not used,Used" bitfld.long 0x18 29. " AIS ,Always include SCI" "Not included,Included" bitfld.long 0x18 28. " PF ,Protect frames" "Not protected,Protected" bitfld.long 0x18 27. " CE ,Confidentiality enable" "Disabled,Enabled" newline hexmask.long.byte 0x18 18.--23. 0x04 " CO ,Confidentiality offset" bitfld.long 0x18 15. " SCE ,TX SC enable" "Disabled,Enabled" bitfld.long 0x18 14. " ASA ,Active SA" "A,B" bitfld.long 0x18 12.--13. " ANA ,AN association" "0,1,2,3" bitfld.long 0x18 7. " CS ,Cipher suite" "128,256" line.long 0x1C "OPTLS,OutPktsTooLong Statistic Register" group.long 0x240++0x0F line.long 0x00 "OOP1HS,OutOctetsProtected First Half Statistic Register" line.long 0x04 "OOP2HS,OutOctetsProtected Second Half Statistic Register" line.long 0x08 "OOE1HS,OutOctetsEncrypted First Half Statistic Register" line.long 0x0C "OOE2HS,OutOctetsEncrypted Second Half Statistic Register" group.long 0x280++0x0F line.long 0x00 "TXSAACS,TX Security Association A Configuration And Status Register" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "TXSAANPN,TX Security Association A NextPN Register" line.long 0x08 "TXSAAOPPS,TX Security Association A OutPktsProtected Statistic Register" line.long 0x0C "TXSAAOPES,TX Security Association A OutPktsEncrypted Statistic Register" group.long 0x2A0++0x0F line.long 0x00 "TXSAHA1Q,TX Security Association A Hash 1 Of 4 Register" line.long 0x04 "TXSAHA2Q,TX Security Association A Hash 2 Of 4 Register" line.long 0x08 "TXSAHA3Q,TX Security Association A Hash 3 Of 4 Register" line.long 0x0C "TXSAHA4Q,TX Security Association A Hash 4 Of 4 Register" group.long 0x2C0++0x0F line.long 0x00 "TXSABCS,TX Security Association B Configuration And Status Register" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "TXSABNPN,TX Security Association B NextPN Register" line.long 0x08 "TXSABOPPS,TX Security Association B OutPktsProtected Statistic Register" line.long 0x0C "TXSABOPES,TX Security Association B OutPktsEncrypted Statistic Register" group.long 0x2E0++0x0F line.long 0x00 "TXSAHB1Q,TX Security Association B Hash 1 Of 4 Register" line.long 0x04 "TXSAHB2Q,TX Security Association B Hash 2 Of 4 Register" line.long 0x08 "TXSAHB3Q,TX Security Association B Hash 3 Of 4 Register" line.long 0x0C "TXSAHB4Q,TX Security Association B Hash 4 Of 4 Register" tree.end width 10. tree "Global Configuration And Status" rgroup.long 0x3F8++0x07 line.long 0x00 "IP_REV_1,MACsec IP Block Revision 1 Register" hexmask.long.byte 0x00 24.--31. 1. " IP_MN ,Minor revision" hexmask.long.byte 0x00 16.--23. 1. " IP_MJ ,Major revision" hexmask.long.word 0x00 0.--15. 1. " IP_ID ,IP block ID" line.long 0x04 "IP_REV_2,MACsec IP Block Revision 2 Register" hexmask.long.byte 0x04 24.--31. 1. " IP_CFG ,Configuration options" hexmask.long.byte 0x04 16.--23. 1. " IP_ERR ,Errata revision level" hexmask.long.byte 0x04 8.--15. 1. " IP_INT ,Integration options" group.long 0x400++0x07 line.long 0x00 "EVR,MACsec Event Register" eventfld.long 0x00 15. " TS[15]R ,TX SC 15 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,TX SC 14 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,TX SC 13 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,TX SC 12 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 11. " [11] ,TX SC 11 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,TX SC 10 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,TX SC 9 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 8. " [8] ,TX SC 8 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " [7] ,TX SC 7 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,TX SC 6 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,TX SC 5 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,TX SC 4 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 3. " [3] ,TX SC 3 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 2. " [2] ,TX SC 2 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,TX SC 1 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,TX SC 0 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" line.long 0x04 "EVER,MACsec Event Enable Register" bitfld.long 0x04 15. " TS[15]R ,TX SC 15 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 14. " [14] ,TX SC 14 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 13. " [13] ,TX SC 13 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 12. " [12] ,TX SC 12 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 11. " [11] ,TX SC 11 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 10. " [10] ,TX SC 10 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 9. " [9] ,TX SC 9 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 8. " [8] ,TX SC 8 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 7. " [7] ,TX SC 7 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 6. " [6] ,TX SC 6 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 5. " [5] ,TX SC 5 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 4. " [4] ,TX SC 4 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 3. " [3] ,TX SC 3 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 2. " [2] ,TX SC 2 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " [1] ,TX SC 1 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " [0] ,TX SC 0 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" group.long 0x40C++0x07 line.long 0x00 "ERR,MACsec Error Register" eventfld.long 0x00 31. " ECCE ,MACsec memory ECC multiple-bit error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 15. " TS[15]E ,TX SC 15 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,TX SC 14 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,TX SC 13 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,TX SC 12 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 11. " [11] ,TX SC 11 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,TX SC 10 frame error interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 9. " [9] ,TX SC 9 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,TX SC 8 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " [7] ,TX SC 7 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,TX SC 6 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,TX SC 5 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,TX SC 4 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 3. " [3] ,TX SC 3 frame error interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 2. " [2] ,TX SC 2 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,TX SC 1 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,TX SC 0 frame error interrupt" "No interrupt,Interrupt" line.long 0x04 "ERER,MACsec Error Enable Register" bitfld.long 0x04 31. " ECCE ,MACsec memory ECC multiple-bit error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 15. " TS[15]E ,TX SC 15 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 14. " [14] ,TX SC 14 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 13. " [13] ,TX SC 13 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 12. " [12] ,TX SC 12 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 11. " [11] ,TX SC 11 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 10. " [10] ,TX SC 10 frame error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 9. " [9] ,TX SC 9 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 8. " [8] ,TX SC 8 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 7. " [7] ,TX SC 7 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 6. " [6] ,TX SC 6 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 5. " [5] ,TX SC 5 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 4. " [4] ,TX SC 4 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 3. " [3] ,TX SC 3 frame error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 2. " [2] ,TX SC 2 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " [1] ,TX SC 1 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " [0] ,TX SC 0 frame error interrupt enable" "Disabled,Enabled" newline hgroup.long 0x440++0x03 hide.long 0x00 "MEEC,MACsec Memory ECC Error Capture Register" in newline rgroup.long 0x444++0x03 line.long 0x00 "IDLE,MACsec Idle Status Register" bitfld.long 0x00 31. " I ,Idle" "Active,Idle" tree.end width 11. tree "MACsec RX Global Statistics" group.long 0x600++0x0B line.long 0x00 "IFIOCP1HS,IfInOctetsCp First Half Statistic Register" line.long 0x04 "IFIOCP2HS,IfInOctetsCp Second Half Statistic Register" line.long 0x08 "IFIUPCPS,IfInUcastPktsCp Statistic Register" group.long 0x610++0x0B line.long 0x00 "IFIOUP1HS,IfInOctetsUp First Half Statistic Register" line.long 0x04 "IFIOUP2HS,IfInOctetsUp Second Half Statistic Register" line.long 0x08 "IFIUPUPS,IfInUcastPktsUp Statistic Register" group.long 0x620++0x2B line.long 0x00 "IFIMPCPS,IfInMulticastPktsCp Statistic Register" line.long 0x04 "IFIBPCPS,IfInBroadcastPktsCp Statistic Register" line.long 0x08 "IFIMPUPS,IfInMulticastPktsUp Statistic Register" line.long 0x0C "IFIBPUPS,IfInBroadcastPktsUp Statistic Register" line.long 0x10 "INPWTS,InPktsWithoutTag Statistic Register" line.long 0x14 "INPKAYS,InPktsKaY Statistic Register" line.long 0x18 "INPBTS,InPktsBadTag Statistic Register" line.long 0x1C "IPSNFS,InPktsSCINotFound Statistic Register" line.long 0x20 "IPUECS,InPktsUnsupportedEC Statistic Register" line.long 0x24 "IPESCBS,InPktsEponSingleCopyBroadcast Statistic Register" line.long 0x28 "IPTLS,InPktsTooLong Statistic Register" tree.end width 6. tree "MACsec TX Global Statistics" group.long 0x680++0x03 line.long 0x00 "OPDS,OutPktsDiscarded Statistic Register" tree.end width 10. tree "MACSec RX Keys" group.long 0x700++0x03 line.long 0x00 "RXSAKA1Q,RX Security Association A Key 1 Of 8 Register" group.long 0x704++0x03 line.long 0x00 "RXSAKA2Q,RX Security Association A Key 2 Of 8 Register" group.long 0x708++0x03 line.long 0x00 "RXSAKA3Q,RX Security Association A Key 3 Of 8 Register" group.long 0x70C++0x03 line.long 0x00 "RXSAKA4Q,RX Security Association A Key 4 Of 8 Register" group.long 0x710++0x03 line.long 0x00 "RXSAKA5Q,RX Security Association A Key 5 Of 8 Register" group.long 0x714++0x03 line.long 0x00 "RXSAKA6Q,RX Security Association A Key 6 Of 8 Register" group.long 0x718++0x03 line.long 0x00 "RXSAKA7Q,RX Security Association A Key 7 Of 8 Register" group.long 0x71C++0x03 line.long 0x00 "RXSAKA8Q,RX Security Association A Key 8 Of 8 Register" group.long 0x740++0x03 line.long 0x00 "RXSAKB1Q,RX Security Association B Key 1 Of 8 Register" group.long 0x744++0x03 line.long 0x00 "RXSAKB2Q,RX Security Association B Key 2 Of 8 Register" group.long 0x748++0x03 line.long 0x00 "RXSAKB3Q,RX Security Association B Key 3 Of 8 Register" group.long 0x74C++0x03 line.long 0x00 "RXSAKB4Q,RX Security Association B Key 4 Of 8 Register" group.long 0x750++0x03 line.long 0x00 "RXSAKB5Q,RX Security Association B Key 5 Of 8 Register" group.long 0x754++0x03 line.long 0x00 "RXSAKB6Q,RX Security Association B Key 6 Of 8 Register" group.long 0x758++0x03 line.long 0x00 "RXSAKB7Q,RX Security Association B Key 7 Of 8 Register" group.long 0x75C++0x03 line.long 0x00 "RXSAKB8Q,RX Security Association B Key 8 Of 8 Register" tree.end width 10. tree "MACSec TX Keys" group.long 0x780++0x03 line.long 0x00 "TXSAKA1Q,TX Security Association A Key 1 Of 8 Register" group.long 0x784++0x03 line.long 0x00 "TXSAKA2Q,TX Security Association A Key 2 Of 8 Register" group.long 0x788++0x03 line.long 0x00 "TXSAKA3Q,TX Security Association A Key 3 Of 8 Register" group.long 0x78C++0x03 line.long 0x00 "TXSAKA4Q,TX Security Association A Key 4 Of 8 Register" group.long 0x790++0x03 line.long 0x00 "TXSAKA5Q,TX Security Association A Key 5 Of 8 Register" group.long 0x794++0x03 line.long 0x00 "TXSAKA6Q,TX Security Association A Key 6 Of 8 Register" group.long 0x798++0x03 line.long 0x00 "TXSAKA7Q,TX Security Association A Key 7 Of 8 Register" group.long 0x79C++0x03 line.long 0x00 "TXSAKA8Q,TX Security Association A Key 8 Of 8 Register" group.long 0x7C0++0x03 line.long 0x00 "TXSAKB1Q,TX Security Association B Key 1 Of 8 Register" group.long 0x7C4++0x03 line.long 0x00 "TXSAKB2Q,TX Security Association B Key 2 Of 8 Register" group.long 0x7C8++0x03 line.long 0x00 "TXSAKB3Q,TX Security Association B Key 3 Of 8 Register" group.long 0x7CC++0x03 line.long 0x00 "TXSAKB4Q,TX Security Association B Key 4 Of 8 Register" group.long 0x7D0++0x03 line.long 0x00 "TXSAKB5Q,TX Security Association B Key 5 Of 8 Register" group.long 0x7D4++0x03 line.long 0x00 "TXSAKB6Q,TX Security Association B Key 6 Of 8 Register" group.long 0x7D8++0x03 line.long 0x00 "TXSAKB7Q,TX Security Association B Key 7 Of 8 Register" group.long 0x7DC++0x03 line.long 0x00 "TXSAKB8Q,TX Security Association B Key 8 Of 8 Register" tree.end endian.le width 0x0B tree.end tree "MACsec 3" base ad:0x08C17000 width 7. endian.be tree "MACsec Configuration" group.long 0x00++0x07 line.long 0x00 "CFG,MACsec Configuration Register" bitfld.long 0x00 31. " S0I ,RX SCI0 is the implicit SCI for point to point enable" "Disabled,Enabled" bitfld.long 0x00 30. " BYPN ,Bypass mode" "Bypass mode,Normal mode" bitfld.long 0x00 29. " KSS ,Key store secured" "Readable,Not readable" bitfld.long 0x00 26.--27. " UFT ,Frame received without MAC security tag mode" "Deliver/discard,Discard/discard,Discard/deliver,?..." newline bitfld.long 0x00 25. " KFT ,Encryption bit set and the changed text bit clear mode" "Deliver/discard,Discard/discard" bitfld.long 0x00 24. " ITT ,Frame received with an invalid SecTAG or a zero value PN mode" "Discard/discard,Deliver/discard" bitfld.long 0x00 22.--23. " USFT ,Frame received with unknown SCI mode" "Discard/discard,Discard/deliver,Deliver/discard,Deliver/discard" bitfld.long 0x00 21. " ESCBT ,Frame received with the SC and ES bits cleared and the SCB bit set mode" "Discard/discard,Deliver/discard" newline bitfld.long 0x00 20. " UECT ,Frame received with the E bit cleared and the C bit set mode" "Discard/discard,Deliver/discard" bitfld.long 0x00 15. " SLC ,SL check" "Two bits are b00 and 6 bits <48,6 bits <48" line.long 0x04 "ET,MACsec EtherType Register" hexmask.long.word 0x04 16.--31. 1. " MACSEC_ET ,MACsec ethertype" group.long 0x40++0x07 line.long 0x00 "MFL,Maximum Frame Length Register" hexmask.long.word 0x00 16.--31. 1. " MAX_LEN ,Maximum frame length in bytes" line.long 0x04 "TPNET,TX Packet Number Exhaustion Threshold Register" group.long 0x80++0x03 line.long 0x00 "RXSCA,RX SC Access Select Register" bitfld.long 0x00 26.--31. " SC_SEL ,SC select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xC0++0x03 line.long 0x00 "TXSCA,TX SC Access Select Register" bitfld.long 0x00 27.--31. " SC_SEL ,SC select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end width 9. tree "RX Configuration, Status and Statistic" group.long 0x100++0x07 line.long 0x00 "RXSCI1H,RX Secure Channel Identifier First Half Register" line.long 0x04 "RXSCI2H,RX Secure Channel Identifier Second Half Register" tree.end width 9. tree "SecY Controlled Port RX Statistics" group.long 0x110++0x0B line.long 0x00 "IFIO1HS,IfInOctets First Half Statistic Register" line.long 0x04 "IFIO2HS,IfInOctets Second Half Statistic Register" line.long 0x08 "IFIUPS,IfInUcastPkts Statistic Register" group.long 0x120++0x0F line.long 0x00 "IFIMPS,IfInMulticastPkts Statistic Register" line.long 0x04 "IFIBPS,IfInBroadcastPkts Statistic Register" line.long 0x08 "RXSCCFG,RX Secure Channel Configuration Register" bitfld.long 0x08 26.--31. " CO ,Confidentiality offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 22.--23. " VF ,Validate frames" "Disable to disable,Check to enable,Strict to enable,?..." bitfld.long 0x08 21. " RP ,Replay protect enable" "Disabled,Enabled" bitfld.long 0x08 20. " SCI_EN ,SCI enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " CS ,Cipher suite" "GCM-AES-128,GCM-AES-256" line.long 0x0C "RPW,Replay Window" group.long 0x140++0x0F line.long 0x00 "INOV1HS,InOctetsValidated First Half Statistic Register" line.long 0x04 "INOV2HS,InOctetsValidated Second Half Statistic Register" line.long 0x08 "INOD1HS,InOctetsDecrypted First Half Statistic Register" line.long 0x0C "INOD2HS,InOctetsDecrypted Second Half Statistic Register" tree.end width 12. tree "Per RX SC Statistics" group.long 0x150++0x0B line.long 0x00 "RXSCIPUS,RX Secure Channel InPktsUnchecked Statistic Register" line.long 0x04 "RXSCIPDS,RX Secure Channel InPktsDelayed Statistic Register" line.long 0x08 "RXSCIPLS,RX Secure Channel InPktsLate Statistic Register" group.long 0x160++0x03 line.long 0x00 "RXAN0INUSS,RX AN 0 InNotUsingSA Statistic Register" group.long (0x160+0x10)++0x03 line.long 0x00 "RXAN0IPUSS,RX AN 0 InPktsUnusedSA Statistic Register" group.long 0x164++0x03 line.long 0x00 "RXAN1INUSS,RX AN 1 InNotUsingSA Statistic Register" group.long (0x164+0x10)++0x03 line.long 0x00 "RXAN1IPUSS,RX AN 1 InPktsUnusedSA Statistic Register" group.long 0x168++0x03 line.long 0x00 "RXAN2INUSS,RX AN 2 InNotUsingSA Statistic Register" group.long (0x168+0x10)++0x03 line.long 0x00 "RXAN2IPUSS,RX AN 2 InPktsUnusedSA Statistic Register" group.long 0x16C++0x03 line.long 0x00 "RXAN3INUSS,RX AN 3 InNotUsingSA Statistic Register" group.long (0x16C+0x10)++0x03 line.long 0x00 "RXAN3IPUSS,RX AN 3 InPktsUnusedSA Statistic Register" group.long 0x180++0x0F line.long 0x00 "RXSAACS,RX Security Association A Configuration And Status Register" bitfld.long 0x00 31. " EN ,Enable receive" "Disabled,Enabled" bitfld.long 0x00 29.--30. " AN ,AN association" "0,1,2,3" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "RXSAANPN,RX Security Association A NextPN Register" line.long 0x08 "RXSAALPN,RX Security Association A LowestPN Register" line.long 0x0C "RXSAAIPOS,RX Security Association A InPktsOK Statistic Register" group.long 0x1A0++0x17 line.long 0x00 "RXSAHA1Q,RX Security Association A Hash 1 Of 4 Register" line.long 0x04 "RXSAHA2Q,RX Security Association A Hash 2 Of 4 Register" line.long 0x08 "RXSAHA3Q,RX Security Association A Hash 3 Of 4 Register" line.long 0x0C "RXSAHA4Q,RX Security Association A Hash 4 Of 4 Register" line.long 0x10 "RXSAAIPIS,RX Security Association A InPktsInvalid Statistic Register" line.long 0x14 "RXSAAIPNVS,RX Security Association A InPktsNotValid Statistic Register" group.long 0x1C0++0x0F line.long 0x00 "RXSABCS,RX Security Association B Configuration And Status Register" bitfld.long 0x00 31. " EN ,Receive enable" "Disabled,Enabled" bitfld.long 0x00 29.--30. " AN ,AN association" "0,1,2,3" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "RXSABNPN,RX Security Association B NextPN Register" line.long 0x08 "RXSABLPN,RX Security Association B LowestPN Register" line.long 0x0C "RXSABIPOS,RX Security Association B InPktsOK Statistic Register" group.long 0x1E0++0x17 line.long 0x00 "RXSAHB1Q,RX Security Association B Hash 1 Of 4 Register" line.long 0x04 "RXSAHB2Q,RX Security Association B Hash 2 Of 4 Register" line.long 0x08 "RXSAHB3Q,RX Security Association B Hash 3 Of 4 Register" line.long 0x0C "RXSAHB4Q,RX Security Association B Hash 4 Of 4 Register" line.long 0x10 "RXSABIPIS,RX Security Association B InPktsInvalid Statistic Register" line.long 0x14 "RXSABIPNVS,RX Security Association B InPktsNotValid Statistic Register" tree.end width 9. tree "TX Configuration, Status and Statistic" group.long 0x200++0x07 line.long 0x00 "TXSCI1H,TX Secure Channel Identifier First Half Register" line.long 0x04 "TXSCI2H,TX Secure Channel Identifier Second Half Register" tree.end width 11. tree "SecY Controlled Port TX Statistics" group.long 0x210++0x1F line.long 0x00 "IFOO1HS,IfOutOctets First Half Statistic Register" line.long 0x04 "IFOO2HS,IfOutOctets Second Half Statistic Register" line.long 0x08 "IFOUPS,IfOutUcastPkts Statistic Register" line.long 0x0C "OPUS,OutPktsUntagged Statistic Register" line.long 0x10 "IFOMPS,IfOutMulticastPkts Statistic Register" line.long 0x14 "IFOBPS,IfOutBroadcastPkts Statistic Register" line.long 0x18 "TXSCCFG,TX Secure Channel Configuration Register" bitfld.long 0x18 31. " USCB ,Use SCB" "Not used,Used" bitfld.long 0x18 30. " UES ,Use ES" "Not used,Used" bitfld.long 0x18 29. " AIS ,Always include SCI" "Not included,Included" bitfld.long 0x18 28. " PF ,Protect frames" "Not protected,Protected" bitfld.long 0x18 27. " CE ,Confidentiality enable" "Disabled,Enabled" newline hexmask.long.byte 0x18 18.--23. 0x04 " CO ,Confidentiality offset" bitfld.long 0x18 15. " SCE ,TX SC enable" "Disabled,Enabled" bitfld.long 0x18 14. " ASA ,Active SA" "A,B" bitfld.long 0x18 12.--13. " ANA ,AN association" "0,1,2,3" bitfld.long 0x18 7. " CS ,Cipher suite" "128,256" line.long 0x1C "OPTLS,OutPktsTooLong Statistic Register" group.long 0x240++0x0F line.long 0x00 "OOP1HS,OutOctetsProtected First Half Statistic Register" line.long 0x04 "OOP2HS,OutOctetsProtected Second Half Statistic Register" line.long 0x08 "OOE1HS,OutOctetsEncrypted First Half Statistic Register" line.long 0x0C "OOE2HS,OutOctetsEncrypted Second Half Statistic Register" group.long 0x280++0x0F line.long 0x00 "TXSAACS,TX Security Association A Configuration And Status Register" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "TXSAANPN,TX Security Association A NextPN Register" line.long 0x08 "TXSAAOPPS,TX Security Association A OutPktsProtected Statistic Register" line.long 0x0C "TXSAAOPES,TX Security Association A OutPktsEncrypted Statistic Register" group.long 0x2A0++0x0F line.long 0x00 "TXSAHA1Q,TX Security Association A Hash 1 Of 4 Register" line.long 0x04 "TXSAHA2Q,TX Security Association A Hash 2 Of 4 Register" line.long 0x08 "TXSAHA3Q,TX Security Association A Hash 3 Of 4 Register" line.long 0x0C "TXSAHA4Q,TX Security Association A Hash 4 Of 4 Register" group.long 0x2C0++0x0F line.long 0x00 "TXSABCS,TX Security Association B Configuration And Status Register" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "TXSABNPN,TX Security Association B NextPN Register" line.long 0x08 "TXSABOPPS,TX Security Association B OutPktsProtected Statistic Register" line.long 0x0C "TXSABOPES,TX Security Association B OutPktsEncrypted Statistic Register" group.long 0x2E0++0x0F line.long 0x00 "TXSAHB1Q,TX Security Association B Hash 1 Of 4 Register" line.long 0x04 "TXSAHB2Q,TX Security Association B Hash 2 Of 4 Register" line.long 0x08 "TXSAHB3Q,TX Security Association B Hash 3 Of 4 Register" line.long 0x0C "TXSAHB4Q,TX Security Association B Hash 4 Of 4 Register" tree.end width 10. tree "Global Configuration And Status" rgroup.long 0x3F8++0x07 line.long 0x00 "IP_REV_1,MACsec IP Block Revision 1 Register" hexmask.long.byte 0x00 24.--31. 1. " IP_MN ,Minor revision" hexmask.long.byte 0x00 16.--23. 1. " IP_MJ ,Major revision" hexmask.long.word 0x00 0.--15. 1. " IP_ID ,IP block ID" line.long 0x04 "IP_REV_2,MACsec IP Block Revision 2 Register" hexmask.long.byte 0x04 24.--31. 1. " IP_CFG ,Configuration options" hexmask.long.byte 0x04 16.--23. 1. " IP_ERR ,Errata revision level" hexmask.long.byte 0x04 8.--15. 1. " IP_INT ,Integration options" group.long 0x400++0x07 line.long 0x00 "EVR,MACsec Event Register" eventfld.long 0x00 15. " TS[15]R ,TX SC 15 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,TX SC 14 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,TX SC 13 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,TX SC 12 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 11. " [11] ,TX SC 11 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,TX SC 10 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,TX SC 9 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 8. " [8] ,TX SC 8 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " [7] ,TX SC 7 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,TX SC 6 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,TX SC 5 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,TX SC 4 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 3. " [3] ,TX SC 3 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 2. " [2] ,TX SC 2 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,TX SC 1 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,TX SC 0 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" line.long 0x04 "EVER,MACsec Event Enable Register" bitfld.long 0x04 15. " TS[15]R ,TX SC 15 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 14. " [14] ,TX SC 14 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 13. " [13] ,TX SC 13 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 12. " [12] ,TX SC 12 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 11. " [11] ,TX SC 11 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 10. " [10] ,TX SC 10 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 9. " [9] ,TX SC 9 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 8. " [8] ,TX SC 8 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 7. " [7] ,TX SC 7 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 6. " [6] ,TX SC 6 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 5. " [5] ,TX SC 5 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 4. " [4] ,TX SC 4 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 3. " [3] ,TX SC 3 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 2. " [2] ,TX SC 2 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " [1] ,TX SC 1 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " [0] ,TX SC 0 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" group.long 0x40C++0x07 line.long 0x00 "ERR,MACsec Error Register" eventfld.long 0x00 31. " ECCE ,MACsec memory ECC multiple-bit error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 15. " TS[15]E ,TX SC 15 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,TX SC 14 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,TX SC 13 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,TX SC 12 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 11. " [11] ,TX SC 11 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,TX SC 10 frame error interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 9. " [9] ,TX SC 9 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,TX SC 8 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " [7] ,TX SC 7 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,TX SC 6 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,TX SC 5 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,TX SC 4 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 3. " [3] ,TX SC 3 frame error interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 2. " [2] ,TX SC 2 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,TX SC 1 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,TX SC 0 frame error interrupt" "No interrupt,Interrupt" line.long 0x04 "ERER,MACsec Error Enable Register" bitfld.long 0x04 31. " ECCE ,MACsec memory ECC multiple-bit error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 15. " TS[15]E ,TX SC 15 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 14. " [14] ,TX SC 14 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 13. " [13] ,TX SC 13 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 12. " [12] ,TX SC 12 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 11. " [11] ,TX SC 11 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 10. " [10] ,TX SC 10 frame error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 9. " [9] ,TX SC 9 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 8. " [8] ,TX SC 8 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 7. " [7] ,TX SC 7 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 6. " [6] ,TX SC 6 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 5. " [5] ,TX SC 5 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 4. " [4] ,TX SC 4 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 3. " [3] ,TX SC 3 frame error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 2. " [2] ,TX SC 2 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " [1] ,TX SC 1 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " [0] ,TX SC 0 frame error interrupt enable" "Disabled,Enabled" newline hgroup.long 0x440++0x03 hide.long 0x00 "MEEC,MACsec Memory ECC Error Capture Register" in newline rgroup.long 0x444++0x03 line.long 0x00 "IDLE,MACsec Idle Status Register" bitfld.long 0x00 31. " I ,Idle" "Active,Idle" tree.end width 11. tree "MACsec RX Global Statistics" group.long 0x600++0x0B line.long 0x00 "IFIOCP1HS,IfInOctetsCp First Half Statistic Register" line.long 0x04 "IFIOCP2HS,IfInOctetsCp Second Half Statistic Register" line.long 0x08 "IFIUPCPS,IfInUcastPktsCp Statistic Register" group.long 0x610++0x0B line.long 0x00 "IFIOUP1HS,IfInOctetsUp First Half Statistic Register" line.long 0x04 "IFIOUP2HS,IfInOctetsUp Second Half Statistic Register" line.long 0x08 "IFIUPUPS,IfInUcastPktsUp Statistic Register" group.long 0x620++0x2B line.long 0x00 "IFIMPCPS,IfInMulticastPktsCp Statistic Register" line.long 0x04 "IFIBPCPS,IfInBroadcastPktsCp Statistic Register" line.long 0x08 "IFIMPUPS,IfInMulticastPktsUp Statistic Register" line.long 0x0C "IFIBPUPS,IfInBroadcastPktsUp Statistic Register" line.long 0x10 "INPWTS,InPktsWithoutTag Statistic Register" line.long 0x14 "INPKAYS,InPktsKaY Statistic Register" line.long 0x18 "INPBTS,InPktsBadTag Statistic Register" line.long 0x1C "IPSNFS,InPktsSCINotFound Statistic Register" line.long 0x20 "IPUECS,InPktsUnsupportedEC Statistic Register" line.long 0x24 "IPESCBS,InPktsEponSingleCopyBroadcast Statistic Register" line.long 0x28 "IPTLS,InPktsTooLong Statistic Register" tree.end width 6. tree "MACsec TX Global Statistics" group.long 0x680++0x03 line.long 0x00 "OPDS,OutPktsDiscarded Statistic Register" tree.end width 10. tree "MACSec RX Keys" group.long 0x700++0x03 line.long 0x00 "RXSAKA1Q,RX Security Association A Key 1 Of 8 Register" group.long 0x704++0x03 line.long 0x00 "RXSAKA2Q,RX Security Association A Key 2 Of 8 Register" group.long 0x708++0x03 line.long 0x00 "RXSAKA3Q,RX Security Association A Key 3 Of 8 Register" group.long 0x70C++0x03 line.long 0x00 "RXSAKA4Q,RX Security Association A Key 4 Of 8 Register" group.long 0x710++0x03 line.long 0x00 "RXSAKA5Q,RX Security Association A Key 5 Of 8 Register" group.long 0x714++0x03 line.long 0x00 "RXSAKA6Q,RX Security Association A Key 6 Of 8 Register" group.long 0x718++0x03 line.long 0x00 "RXSAKA7Q,RX Security Association A Key 7 Of 8 Register" group.long 0x71C++0x03 line.long 0x00 "RXSAKA8Q,RX Security Association A Key 8 Of 8 Register" group.long 0x740++0x03 line.long 0x00 "RXSAKB1Q,RX Security Association B Key 1 Of 8 Register" group.long 0x744++0x03 line.long 0x00 "RXSAKB2Q,RX Security Association B Key 2 Of 8 Register" group.long 0x748++0x03 line.long 0x00 "RXSAKB3Q,RX Security Association B Key 3 Of 8 Register" group.long 0x74C++0x03 line.long 0x00 "RXSAKB4Q,RX Security Association B Key 4 Of 8 Register" group.long 0x750++0x03 line.long 0x00 "RXSAKB5Q,RX Security Association B Key 5 Of 8 Register" group.long 0x754++0x03 line.long 0x00 "RXSAKB6Q,RX Security Association B Key 6 Of 8 Register" group.long 0x758++0x03 line.long 0x00 "RXSAKB7Q,RX Security Association B Key 7 Of 8 Register" group.long 0x75C++0x03 line.long 0x00 "RXSAKB8Q,RX Security Association B Key 8 Of 8 Register" tree.end width 10. tree "MACSec TX Keys" group.long 0x780++0x03 line.long 0x00 "TXSAKA1Q,TX Security Association A Key 1 Of 8 Register" group.long 0x784++0x03 line.long 0x00 "TXSAKA2Q,TX Security Association A Key 2 Of 8 Register" group.long 0x788++0x03 line.long 0x00 "TXSAKA3Q,TX Security Association A Key 3 Of 8 Register" group.long 0x78C++0x03 line.long 0x00 "TXSAKA4Q,TX Security Association A Key 4 Of 8 Register" group.long 0x790++0x03 line.long 0x00 "TXSAKA5Q,TX Security Association A Key 5 Of 8 Register" group.long 0x794++0x03 line.long 0x00 "TXSAKA6Q,TX Security Association A Key 6 Of 8 Register" group.long 0x798++0x03 line.long 0x00 "TXSAKA7Q,TX Security Association A Key 7 Of 8 Register" group.long 0x79C++0x03 line.long 0x00 "TXSAKA8Q,TX Security Association A Key 8 Of 8 Register" group.long 0x7C0++0x03 line.long 0x00 "TXSAKB1Q,TX Security Association B Key 1 Of 8 Register" group.long 0x7C4++0x03 line.long 0x00 "TXSAKB2Q,TX Security Association B Key 2 Of 8 Register" group.long 0x7C8++0x03 line.long 0x00 "TXSAKB3Q,TX Security Association B Key 3 Of 8 Register" group.long 0x7CC++0x03 line.long 0x00 "TXSAKB4Q,TX Security Association B Key 4 Of 8 Register" group.long 0x7D0++0x03 line.long 0x00 "TXSAKB5Q,TX Security Association B Key 5 Of 8 Register" group.long 0x7D4++0x03 line.long 0x00 "TXSAKB6Q,TX Security Association B Key 6 Of 8 Register" group.long 0x7D8++0x03 line.long 0x00 "TXSAKB7Q,TX Security Association B Key 7 Of 8 Register" group.long 0x7DC++0x03 line.long 0x00 "TXSAKB8Q,TX Security Association B Key 8 Of 8 Register" tree.end endian.le width 0x0B tree.end tree "MACsec 4" base ad:0x08C1B000 width 7. endian.be tree "MACsec Configuration" group.long 0x00++0x07 line.long 0x00 "CFG,MACsec Configuration Register" bitfld.long 0x00 31. " S0I ,RX SCI0 is the implicit SCI for point to point enable" "Disabled,Enabled" bitfld.long 0x00 30. " BYPN ,Bypass mode" "Bypass mode,Normal mode" bitfld.long 0x00 29. " KSS ,Key store secured" "Readable,Not readable" bitfld.long 0x00 26.--27. " UFT ,Frame received without MAC security tag mode" "Deliver/discard,Discard/discard,Discard/deliver,?..." newline bitfld.long 0x00 25. " KFT ,Encryption bit set and the changed text bit clear mode" "Deliver/discard,Discard/discard" bitfld.long 0x00 24. " ITT ,Frame received with an invalid SecTAG or a zero value PN mode" "Discard/discard,Deliver/discard" bitfld.long 0x00 22.--23. " USFT ,Frame received with unknown SCI mode" "Discard/discard,Discard/deliver,Deliver/discard,Deliver/discard" bitfld.long 0x00 21. " ESCBT ,Frame received with the SC and ES bits cleared and the SCB bit set mode" "Discard/discard,Deliver/discard" newline bitfld.long 0x00 20. " UECT ,Frame received with the E bit cleared and the C bit set mode" "Discard/discard,Deliver/discard" bitfld.long 0x00 15. " SLC ,SL check" "Two bits are b00 and 6 bits <48,6 bits <48" line.long 0x04 "ET,MACsec EtherType Register" hexmask.long.word 0x04 16.--31. 1. " MACSEC_ET ,MACsec ethertype" group.long 0x40++0x07 line.long 0x00 "MFL,Maximum Frame Length Register" hexmask.long.word 0x00 16.--31. 1. " MAX_LEN ,Maximum frame length in bytes" line.long 0x04 "TPNET,TX Packet Number Exhaustion Threshold Register" group.long 0x80++0x03 line.long 0x00 "RXSCA,RX SC Access Select Register" bitfld.long 0x00 26.--31. " SC_SEL ,SC select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xC0++0x03 line.long 0x00 "TXSCA,TX SC Access Select Register" bitfld.long 0x00 27.--31. " SC_SEL ,SC select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end width 9. tree "RX Configuration, Status and Statistic" group.long 0x100++0x07 line.long 0x00 "RXSCI1H,RX Secure Channel Identifier First Half Register" line.long 0x04 "RXSCI2H,RX Secure Channel Identifier Second Half Register" tree.end width 9. tree "SecY Controlled Port RX Statistics" group.long 0x110++0x0B line.long 0x00 "IFIO1HS,IfInOctets First Half Statistic Register" line.long 0x04 "IFIO2HS,IfInOctets Second Half Statistic Register" line.long 0x08 "IFIUPS,IfInUcastPkts Statistic Register" group.long 0x120++0x0F line.long 0x00 "IFIMPS,IfInMulticastPkts Statistic Register" line.long 0x04 "IFIBPS,IfInBroadcastPkts Statistic Register" line.long 0x08 "RXSCCFG,RX Secure Channel Configuration Register" bitfld.long 0x08 26.--31. " CO ,Confidentiality offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 22.--23. " VF ,Validate frames" "Disable to disable,Check to enable,Strict to enable,?..." bitfld.long 0x08 21. " RP ,Replay protect enable" "Disabled,Enabled" bitfld.long 0x08 20. " SCI_EN ,SCI enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " CS ,Cipher suite" "GCM-AES-128,GCM-AES-256" line.long 0x0C "RPW,Replay Window" group.long 0x140++0x0F line.long 0x00 "INOV1HS,InOctetsValidated First Half Statistic Register" line.long 0x04 "INOV2HS,InOctetsValidated Second Half Statistic Register" line.long 0x08 "INOD1HS,InOctetsDecrypted First Half Statistic Register" line.long 0x0C "INOD2HS,InOctetsDecrypted Second Half Statistic Register" tree.end width 12. tree "Per RX SC Statistics" group.long 0x150++0x0B line.long 0x00 "RXSCIPUS,RX Secure Channel InPktsUnchecked Statistic Register" line.long 0x04 "RXSCIPDS,RX Secure Channel InPktsDelayed Statistic Register" line.long 0x08 "RXSCIPLS,RX Secure Channel InPktsLate Statistic Register" group.long 0x160++0x03 line.long 0x00 "RXAN0INUSS,RX AN 0 InNotUsingSA Statistic Register" group.long (0x160+0x10)++0x03 line.long 0x00 "RXAN0IPUSS,RX AN 0 InPktsUnusedSA Statistic Register" group.long 0x164++0x03 line.long 0x00 "RXAN1INUSS,RX AN 1 InNotUsingSA Statistic Register" group.long (0x164+0x10)++0x03 line.long 0x00 "RXAN1IPUSS,RX AN 1 InPktsUnusedSA Statistic Register" group.long 0x168++0x03 line.long 0x00 "RXAN2INUSS,RX AN 2 InNotUsingSA Statistic Register" group.long (0x168+0x10)++0x03 line.long 0x00 "RXAN2IPUSS,RX AN 2 InPktsUnusedSA Statistic Register" group.long 0x16C++0x03 line.long 0x00 "RXAN3INUSS,RX AN 3 InNotUsingSA Statistic Register" group.long (0x16C+0x10)++0x03 line.long 0x00 "RXAN3IPUSS,RX AN 3 InPktsUnusedSA Statistic Register" group.long 0x180++0x0F line.long 0x00 "RXSAACS,RX Security Association A Configuration And Status Register" bitfld.long 0x00 31. " EN ,Enable receive" "Disabled,Enabled" bitfld.long 0x00 29.--30. " AN ,AN association" "0,1,2,3" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "RXSAANPN,RX Security Association A NextPN Register" line.long 0x08 "RXSAALPN,RX Security Association A LowestPN Register" line.long 0x0C "RXSAAIPOS,RX Security Association A InPktsOK Statistic Register" group.long 0x1A0++0x17 line.long 0x00 "RXSAHA1Q,RX Security Association A Hash 1 Of 4 Register" line.long 0x04 "RXSAHA2Q,RX Security Association A Hash 2 Of 4 Register" line.long 0x08 "RXSAHA3Q,RX Security Association A Hash 3 Of 4 Register" line.long 0x0C "RXSAHA4Q,RX Security Association A Hash 4 Of 4 Register" line.long 0x10 "RXSAAIPIS,RX Security Association A InPktsInvalid Statistic Register" line.long 0x14 "RXSAAIPNVS,RX Security Association A InPktsNotValid Statistic Register" group.long 0x1C0++0x0F line.long 0x00 "RXSABCS,RX Security Association B Configuration And Status Register" bitfld.long 0x00 31. " EN ,Receive enable" "Disabled,Enabled" bitfld.long 0x00 29.--30. " AN ,AN association" "0,1,2,3" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "RXSABNPN,RX Security Association B NextPN Register" line.long 0x08 "RXSABLPN,RX Security Association B LowestPN Register" line.long 0x0C "RXSABIPOS,RX Security Association B InPktsOK Statistic Register" group.long 0x1E0++0x17 line.long 0x00 "RXSAHB1Q,RX Security Association B Hash 1 Of 4 Register" line.long 0x04 "RXSAHB2Q,RX Security Association B Hash 2 Of 4 Register" line.long 0x08 "RXSAHB3Q,RX Security Association B Hash 3 Of 4 Register" line.long 0x0C "RXSAHB4Q,RX Security Association B Hash 4 Of 4 Register" line.long 0x10 "RXSABIPIS,RX Security Association B InPktsInvalid Statistic Register" line.long 0x14 "RXSABIPNVS,RX Security Association B InPktsNotValid Statistic Register" tree.end width 9. tree "TX Configuration, Status and Statistic" group.long 0x200++0x07 line.long 0x00 "TXSCI1H,TX Secure Channel Identifier First Half Register" line.long 0x04 "TXSCI2H,TX Secure Channel Identifier Second Half Register" tree.end width 11. tree "SecY Controlled Port TX Statistics" group.long 0x210++0x1F line.long 0x00 "IFOO1HS,IfOutOctets First Half Statistic Register" line.long 0x04 "IFOO2HS,IfOutOctets Second Half Statistic Register" line.long 0x08 "IFOUPS,IfOutUcastPkts Statistic Register" line.long 0x0C "OPUS,OutPktsUntagged Statistic Register" line.long 0x10 "IFOMPS,IfOutMulticastPkts Statistic Register" line.long 0x14 "IFOBPS,IfOutBroadcastPkts Statistic Register" line.long 0x18 "TXSCCFG,TX Secure Channel Configuration Register" bitfld.long 0x18 31. " USCB ,Use SCB" "Not used,Used" bitfld.long 0x18 30. " UES ,Use ES" "Not used,Used" bitfld.long 0x18 29. " AIS ,Always include SCI" "Not included,Included" bitfld.long 0x18 28. " PF ,Protect frames" "Not protected,Protected" bitfld.long 0x18 27. " CE ,Confidentiality enable" "Disabled,Enabled" newline hexmask.long.byte 0x18 18.--23. 0x04 " CO ,Confidentiality offset" bitfld.long 0x18 15. " SCE ,TX SC enable" "Disabled,Enabled" bitfld.long 0x18 14. " ASA ,Active SA" "A,B" bitfld.long 0x18 12.--13. " ANA ,AN association" "0,1,2,3" bitfld.long 0x18 7. " CS ,Cipher suite" "128,256" line.long 0x1C "OPTLS,OutPktsTooLong Statistic Register" group.long 0x240++0x0F line.long 0x00 "OOP1HS,OutOctetsProtected First Half Statistic Register" line.long 0x04 "OOP2HS,OutOctetsProtected Second Half Statistic Register" line.long 0x08 "OOE1HS,OutOctetsEncrypted First Half Statistic Register" line.long 0x0C "OOE2HS,OutOctetsEncrypted Second Half Statistic Register" group.long 0x280++0x0F line.long 0x00 "TXSAACS,TX Security Association A Configuration And Status Register" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "TXSAANPN,TX Security Association A NextPN Register" line.long 0x08 "TXSAAOPPS,TX Security Association A OutPktsProtected Statistic Register" line.long 0x0C "TXSAAOPES,TX Security Association A OutPktsEncrypted Statistic Register" group.long 0x2A0++0x0F line.long 0x00 "TXSAHA1Q,TX Security Association A Hash 1 Of 4 Register" line.long 0x04 "TXSAHA2Q,TX Security Association A Hash 2 Of 4 Register" line.long 0x08 "TXSAHA3Q,TX Security Association A Hash 3 Of 4 Register" line.long 0x0C "TXSAHA4Q,TX Security Association A Hash 4 Of 4 Register" group.long 0x2C0++0x0F line.long 0x00 "TXSABCS,TX Security Association B Configuration And Status Register" eventfld.long 0x00 0. " A ,Active" "Not active,Active" line.long 0x04 "TXSABNPN,TX Security Association B NextPN Register" line.long 0x08 "TXSABOPPS,TX Security Association B OutPktsProtected Statistic Register" line.long 0x0C "TXSABOPES,TX Security Association B OutPktsEncrypted Statistic Register" group.long 0x2E0++0x0F line.long 0x00 "TXSAHB1Q,TX Security Association B Hash 1 Of 4 Register" line.long 0x04 "TXSAHB2Q,TX Security Association B Hash 2 Of 4 Register" line.long 0x08 "TXSAHB3Q,TX Security Association B Hash 3 Of 4 Register" line.long 0x0C "TXSAHB4Q,TX Security Association B Hash 4 Of 4 Register" tree.end width 10. tree "Global Configuration And Status" rgroup.long 0x3F8++0x07 line.long 0x00 "IP_REV_1,MACsec IP Block Revision 1 Register" hexmask.long.byte 0x00 24.--31. 1. " IP_MN ,Minor revision" hexmask.long.byte 0x00 16.--23. 1. " IP_MJ ,Major revision" hexmask.long.word 0x00 0.--15. 1. " IP_ID ,IP block ID" line.long 0x04 "IP_REV_2,MACsec IP Block Revision 2 Register" hexmask.long.byte 0x04 24.--31. 1. " IP_CFG ,Configuration options" hexmask.long.byte 0x04 16.--23. 1. " IP_ERR ,Errata revision level" hexmask.long.byte 0x04 8.--15. 1. " IP_INT ,Integration options" group.long 0x400++0x07 line.long 0x00 "EVR,MACsec Event Register" eventfld.long 0x00 15. " TS[15]R ,TX SC 15 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,TX SC 14 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,TX SC 13 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,TX SC 12 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 11. " [11] ,TX SC 11 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,TX SC 10 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,TX SC 9 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 8. " [8] ,TX SC 8 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " [7] ,TX SC 7 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,TX SC 6 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,TX SC 5 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,TX SC 4 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 3. " [3] ,TX SC 3 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 2. " [2] ,TX SC 2 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,TX SC 1 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,TX SC 0 next_PN exhaustion threshold reached interrupt" "No interrupt,Interrupt" line.long 0x04 "EVER,MACsec Event Enable Register" bitfld.long 0x04 15. " TS[15]R ,TX SC 15 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 14. " [14] ,TX SC 14 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 13. " [13] ,TX SC 13 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 12. " [12] ,TX SC 12 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 11. " [11] ,TX SC 11 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 10. " [10] ,TX SC 10 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 9. " [9] ,TX SC 9 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 8. " [8] ,TX SC 8 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 7. " [7] ,TX SC 7 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 6. " [6] ,TX SC 6 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 5. " [5] ,TX SC 5 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 4. " [4] ,TX SC 4 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 3. " [3] ,TX SC 3 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 2. " [2] ,TX SC 2 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " [1] ,TX SC 1 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " [0] ,TX SC 0 next_PN exhaustion threshold reached interrupt enable" "Disabled,Enabled" group.long 0x40C++0x07 line.long 0x00 "ERR,MACsec Error Register" eventfld.long 0x00 31. " ECCE ,MACsec memory ECC multiple-bit error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 15. " TS[15]E ,TX SC 15 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,TX SC 14 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,TX SC 13 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,TX SC 12 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 11. " [11] ,TX SC 11 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,TX SC 10 frame error interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 9. " [9] ,TX SC 9 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,TX SC 8 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " [7] ,TX SC 7 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,TX SC 6 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,TX SC 5 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,TX SC 4 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 3. " [3] ,TX SC 3 frame error interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 2. " [2] ,TX SC 2 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,TX SC 1 frame error interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,TX SC 0 frame error interrupt" "No interrupt,Interrupt" line.long 0x04 "ERER,MACsec Error Enable Register" bitfld.long 0x04 31. " ECCE ,MACsec memory ECC multiple-bit error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 15. " TS[15]E ,TX SC 15 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 14. " [14] ,TX SC 14 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 13. " [13] ,TX SC 13 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 12. " [12] ,TX SC 12 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 11. " [11] ,TX SC 11 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 10. " [10] ,TX SC 10 frame error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 9. " [9] ,TX SC 9 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 8. " [8] ,TX SC 8 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 7. " [7] ,TX SC 7 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 6. " [6] ,TX SC 6 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 5. " [5] ,TX SC 5 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 4. " [4] ,TX SC 4 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 3. " [3] ,TX SC 3 frame error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 2. " [2] ,TX SC 2 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " [1] ,TX SC 1 frame error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " [0] ,TX SC 0 frame error interrupt enable" "Disabled,Enabled" newline hgroup.long 0x440++0x03 hide.long 0x00 "MEEC,MACsec Memory ECC Error Capture Register" in newline rgroup.long 0x444++0x03 line.long 0x00 "IDLE,MACsec Idle Status Register" bitfld.long 0x00 31. " I ,Idle" "Active,Idle" tree.end width 11. tree "MACsec RX Global Statistics" group.long 0x600++0x0B line.long 0x00 "IFIOCP1HS,IfInOctetsCp First Half Statistic Register" line.long 0x04 "IFIOCP2HS,IfInOctetsCp Second Half Statistic Register" line.long 0x08 "IFIUPCPS,IfInUcastPktsCp Statistic Register" group.long 0x610++0x0B line.long 0x00 "IFIOUP1HS,IfInOctetsUp First Half Statistic Register" line.long 0x04 "IFIOUP2HS,IfInOctetsUp Second Half Statistic Register" line.long 0x08 "IFIUPUPS,IfInUcastPktsUp Statistic Register" group.long 0x620++0x2B line.long 0x00 "IFIMPCPS,IfInMulticastPktsCp Statistic Register" line.long 0x04 "IFIBPCPS,IfInBroadcastPktsCp Statistic Register" line.long 0x08 "IFIMPUPS,IfInMulticastPktsUp Statistic Register" line.long 0x0C "IFIBPUPS,IfInBroadcastPktsUp Statistic Register" line.long 0x10 "INPWTS,InPktsWithoutTag Statistic Register" line.long 0x14 "INPKAYS,InPktsKaY Statistic Register" line.long 0x18 "INPBTS,InPktsBadTag Statistic Register" line.long 0x1C "IPSNFS,InPktsSCINotFound Statistic Register" line.long 0x20 "IPUECS,InPktsUnsupportedEC Statistic Register" line.long 0x24 "IPESCBS,InPktsEponSingleCopyBroadcast Statistic Register" line.long 0x28 "IPTLS,InPktsTooLong Statistic Register" tree.end width 6. tree "MACsec TX Global Statistics" group.long 0x680++0x03 line.long 0x00 "OPDS,OutPktsDiscarded Statistic Register" tree.end width 10. tree "MACSec RX Keys" group.long 0x700++0x03 line.long 0x00 "RXSAKA1Q,RX Security Association A Key 1 Of 8 Register" group.long 0x704++0x03 line.long 0x00 "RXSAKA2Q,RX Security Association A Key 2 Of 8 Register" group.long 0x708++0x03 line.long 0x00 "RXSAKA3Q,RX Security Association A Key 3 Of 8 Register" group.long 0x70C++0x03 line.long 0x00 "RXSAKA4Q,RX Security Association A Key 4 Of 8 Register" group.long 0x710++0x03 line.long 0x00 "RXSAKA5Q,RX Security Association A Key 5 Of 8 Register" group.long 0x714++0x03 line.long 0x00 "RXSAKA6Q,RX Security Association A Key 6 Of 8 Register" group.long 0x718++0x03 line.long 0x00 "RXSAKA7Q,RX Security Association A Key 7 Of 8 Register" group.long 0x71C++0x03 line.long 0x00 "RXSAKA8Q,RX Security Association A Key 8 Of 8 Register" group.long 0x740++0x03 line.long 0x00 "RXSAKB1Q,RX Security Association B Key 1 Of 8 Register" group.long 0x744++0x03 line.long 0x00 "RXSAKB2Q,RX Security Association B Key 2 Of 8 Register" group.long 0x748++0x03 line.long 0x00 "RXSAKB3Q,RX Security Association B Key 3 Of 8 Register" group.long 0x74C++0x03 line.long 0x00 "RXSAKB4Q,RX Security Association B Key 4 Of 8 Register" group.long 0x750++0x03 line.long 0x00 "RXSAKB5Q,RX Security Association B Key 5 Of 8 Register" group.long 0x754++0x03 line.long 0x00 "RXSAKB6Q,RX Security Association B Key 6 Of 8 Register" group.long 0x758++0x03 line.long 0x00 "RXSAKB7Q,RX Security Association B Key 7 Of 8 Register" group.long 0x75C++0x03 line.long 0x00 "RXSAKB8Q,RX Security Association B Key 8 Of 8 Register" tree.end width 10. tree "MACSec TX Keys" group.long 0x780++0x03 line.long 0x00 "TXSAKA1Q,TX Security Association A Key 1 Of 8 Register" group.long 0x784++0x03 line.long 0x00 "TXSAKA2Q,TX Security Association A Key 2 Of 8 Register" group.long 0x788++0x03 line.long 0x00 "TXSAKA3Q,TX Security Association A Key 3 Of 8 Register" group.long 0x78C++0x03 line.long 0x00 "TXSAKA4Q,TX Security Association A Key 4 Of 8 Register" group.long 0x790++0x03 line.long 0x00 "TXSAKA5Q,TX Security Association A Key 5 Of 8 Register" group.long 0x794++0x03 line.long 0x00 "TXSAKA6Q,TX Security Association A Key 6 Of 8 Register" group.long 0x798++0x03 line.long 0x00 "TXSAKA7Q,TX Security Association A Key 7 Of 8 Register" group.long 0x79C++0x03 line.long 0x00 "TXSAKA8Q,TX Security Association A Key 8 Of 8 Register" group.long 0x7C0++0x03 line.long 0x00 "TXSAKB1Q,TX Security Association B Key 1 Of 8 Register" group.long 0x7C4++0x03 line.long 0x00 "TXSAKB2Q,TX Security Association B Key 2 Of 8 Register" group.long 0x7C8++0x03 line.long 0x00 "TXSAKB3Q,TX Security Association B Key 3 Of 8 Register" group.long 0x7CC++0x03 line.long 0x00 "TXSAKB4Q,TX Security Association B Key 4 Of 8 Register" group.long 0x7D0++0x03 line.long 0x00 "TXSAKB5Q,TX Security Association B Key 5 Of 8 Register" group.long 0x7D4++0x03 line.long 0x00 "TXSAKB6Q,TX Security Association B Key 6 Of 8 Register" group.long 0x7D8++0x03 line.long 0x00 "TXSAKB7Q,TX Security Association B Key 7 Of 8 Register" group.long 0x7DC++0x03 line.long 0x00 "TXSAKB8Q,TX Security Association B Key 8 Of 8 Register" tree.end endian.le width 0x0B tree.end tree.end tree "1588 TIM IP (1588 Timer IP Module)" base ad:0x08B95000 endian.be width 14. rgroup.long 0x00++0x03 line.long 0x00 "TMR_ID,Module ID Register" hexmask.long.byte 0x00 24.--31. 1. " REV_MN ,Value identifies the minor revision of the 1588 timer module" hexmask.long.byte 0x00 16.--23. 1. " REV_MJ ,Value identifies the major revision of the 1588 timer module" hexmask.long.word 0x00 0.--15. 1. " TMR_ID ,Value identifying the 1588 timer module" group.long 0x80++0x0B line.long 0x00 "TMR_CTRL,Timer Control Register" bitfld.long 0x00 30.--31. " CKSEL ,1588 Timer reference clock source select" "TMR_1588_CLK,MAC system clock,,RTC oscillator" newline bitfld.long 0x00 29. " TE ,1588 timer enable" "Disabled,Enabled" bitfld.long 0x00 28. " BYP ,Bypass drift compensated clock" "Normal,Bypassed" bitfld.long 0x00 26. " TMSR ,Timer soft reset" "Normal,Reset" newline bitfld.long 0x00 25. " CIPH ,External oscillator input clock phase" "Not inverted,Inverted" bitfld.long 0x00 24. " COPH ,Generated clock (TMR_GCLK) output phase" "Not inverted,Inverted" bitfld.long 0x00 23. " ETEP1 ,External trigger 1 edge polarity" "Rising,Falling" newline bitfld.long 0x00 22. " ETEP2 ,External trigger 2 edge polarity" "Rising,Falling" bitfld.long 0x00 18. " SLV ,Timer master/slave mode" "Master,Slave" bitfld.long 0x00 17. " FRD ,FIPER realignment disable" "No,Yes" newline hexmask.long.word 0x00 6.--15. 1. " TCLK_PERIOD ,1588 timer reference clock period" bitfld.long 0x00 5. " PP2L ,Fiper 2 pulse loopback mode enabled" "Disabled,Enabled" bitfld.long 0x00 4. " PP1L ,Fiper 1 pulse loopback mode enabled" "Disabled,Enabled" newline bitfld.long 0x00 3. " FS ,FIPER start indication" "Timer,Timer/Alarm" bitfld.long 0x00 1. " ALM2P ,Alarm 2 output polarity" "Active high,Active low" bitfld.long 0x00 0. " ALM1P ,Alarm 1 output polarity" "Active high,Active low" line.long 0x04 "TMR_TEVENT,Timer Event Register" eventfld.long 0x04 26. " PP3 ,Periodic pulse has been generated based on FIPER3 register" "Not generated,Generated" eventfld.long 0x04 25. " PP2 ,Indicates that a periodic pulse has been generated based on FIPER2 register" "Not generated,Generated" eventfld.long 0x04 24. " PP1 ,Indicates that a periodic pulse has been generated based on FIPER1 register" "Not generated,Generated" newline eventfld.long 0x04 15. " ALM1 ,Current time equaled alarm time register 1" "Not reached,Reached" eventfld.long 0x04 14. " ALM2 ,Current time equaled alarm time register 2" "Not reached,Reached" newline eventfld.long 0x04 11. " ETS1_THR ,External trigger 1 timestamp FIFO threshold level hit" "No hit,Hit" eventfld.long 0x04 10. " ETS2_THR ,External trigger 2 timestamp FIFO threshold level hit" "No hit,Hit" newline eventfld.long 0x04 7. " ETS1 ,External trigger 1 timestamp sampled" "Not sampled,Sampled" eventfld.long 0x04 6. " ETS2 ,External trigger 2 timestamp sampled" "Not sampled,Sampled" newline eventfld.long 0x04 3. " ETS1_OV ,External trigger 1 timestamp FIFO overflow" "Not overflowed,Overflowed" eventfld.long 0x04 2. " ETS2_OV ,External trigger 2 timestamp FIFO overflow" "Not overflowed,Overflowed" line.long 0x08 "TMR_TEMASK,Timer Event Mask Register" bitfld.long 0x08 26. " PP3EN ,Periodic pulse event 3 enable" "Disabled,Enabled" bitfld.long 0x08 25. " PP2EN ,Periodic pulse event 2 enable" "Disabled,Enabled" bitfld.long 0x08 24. " PP1EN ,Periodic pulse event 1 enable" "Disabled,Enabled" newline bitfld.long 0x08 15. " ALM1EN ,Timer ALM1 event enable" "Disabled,Enabled" bitfld.long 0x08 14. " ALM2EN ,Timer ALM2 event enable" "Disabled,Enabled" newline bitfld.long 0x08 11. " ETS1_THREN ,External trigger 1 timestamp FIFO threshold level hit sample event enable" "Disabled,Enabled" bitfld.long 0x08 10. " ETS2_THREN ,External trigger 2 timestamp FIFO threshold level hit sample event enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " ETS1EN ,External trigger 1 timestamp sample event enable" "Disabled,Enabled" bitfld.long 0x08 6. " ETS2EN ,External trigger 2 timestamp sample event enable" "Disabled,Enabled" newline bitfld.long 0x08 3. " ETS1_OVEN ,External trigger 2 timestamp overflow event enable" "Disabled,Enabled" bitfld.long 0x08 2. " ETS2_OVEN ,External trigger 2 timestamp overflow event enable" "Disabled,Enabled" rgroup.long 0x94++0x03 line.long 0x00 "TMR_STAT,Timer Status Register" bitfld.long 0x00 7. " ETS1_VLD ,External trigger 1 valid time-stamp read" "All read,Not all read" bitfld.long 0x00 6. " ETS2_VLD ,External trigger 2 valid time-stamp read" "All read,Not all read" bitfld.long 0x00 0. " RCD ,Timer reference clock detected" "Not detected,Detected" if (((per.l.be(ad:0x08B95000+0x80))&0x40000)==0x0000) group.long 0x98++0x07 line.long 0x00 "TMR_CNT_H,Timer Counter High Register" line.long 0x04 "TMR_CNT_L,Timer Counter Low Register" else hgroup.long 0x98++0x03 hide.long 0x00 "TMR_CNT_H,Timer Counter High Register" hgroup.long 0x9C++0x03 hide.long 0x00 "TMR_CNT_L,Timer Counter Low Register" endif group.long 0xA0++0x03 line.long 0x00 "TMR_ADD,Timer Drift Compensation Addend Register" rgroup.long 0xA4++0x03 line.long 0x00 "TMR_ACC,Timer Accumulator Register" group.long 0xA8++0x03 line.long 0x00 "TMR_PRSC,Timer Prescale Register" hexmask.long.word 0x00 16.--31. 1. " PRSC_OCK ,Output clock division/prescale factor" group.long 0xAC++0x03 line.long 0x00 "TMR_ECTRL,Extended Timer Control Register" bitfld.long 0x00 28.--31. " ETFF_THR ,External trigger FIFO interrupt threshold" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" if (((per.l.be(ad:0x08B95000+0x80))&0x40000)==0x0000) group.long 0xB0++0x07 line.long 0x00 "TMROFF_H,Timer Offset High Register" line.long 0x04 "TMROFF_L,Timer Offset Low Register" else hgroup.long 0xB0++0x03 hide.long 0x00 "TMROFF_H,Timer Offset High Register" hgroup.long 0xB4++0x03 hide.long 0x00 "TMROFF_L,Timer Offset Low Register" endif group.long 0xB8++0x0F line.long 0x00 "TMR_ALARM1_H,Alarm 1 Time Comparator High Register" line.long 0x04 "TMR_ALARM1_L,Alarm 1 Time Comparator Low Register" line.long 0x08 "TMR_ALARM2_H,Alarm 2 Time Comparator High Register" line.long 0x0C "TMR_ALARM2_L,Alarm 2 Time Comparator Low Register" group.long 0xD0++0x0B line.long 0x00 "TMR_FIPER1,Timer Fixed Interval Period Register 1" line.long 0x04 "TMR_FIPER2,Timer Fixed Interval Period Register 2" line.long 0x08 "TMR_FIPER3,Timer Fixed Interval Period Register 3" newline hgroup.quad 0xE0++0x07 hide.quad 0x00 "TMR_ETTS1,External Trigger Stamp 1 Register" in hgroup.quad 0xE8++0x07 hide.quad 0x00 "TMR_ETTS2,External Trigger Stamp 2 Register" in endian.le width 0x0B tree.end tree "PEBM (Packet Express Buffer Memory)" base ad:0x08200000 width 16. group.long 0x00++0x07 line.long 0x00 "CR,PEBM Control Register" bitfld.long 0x00 8. " SRL ,Scrubber read length" "8 beats,1 beats" bitfld.long 0x00 5. " SBIT_DIS ,Disable SBit detection and correction unit" "No,Yes" bitfld.long 0x00 4. " RDSCRB_DIS ,Disable read scrubber unit" "No,Yes" bitfld.long 0x00 2.--3. " AIDM ,Address ID mode" "Specified in ADDRM,?..." bitfld.long 0x00 0.--1. " ADDR_MODE ,Address mode" "Non-hash,Hashed,?..." line.long 0x04 "SR,PEBM Status Register" bitfld.long 0x04 1. " IDLE ,Idle" "Not idle,Idle" bitfld.long 0x04 0. " SRAM_INIT ,SRAM initialization in progress" "Not finished,Finished" group.long 0x10++0x03 line.long 0x00 "TMR,PEBM Timer Register" hexmask.long.byte 0x00 24.--31. 1. " SBIT_TMR ,Sbit timer" hexmask.long.word 0x00 0.--15. 1. " SCRUB_TMR ,Scrubber timer" newline rgroup.long 0xBF8++0x07 line.long 0x00 "RID1,PEBM Revision ID Register 1" hexmask.long.word 0x00 16.--31. 1. " ID ,ID" hexmask.long.word 0x00 0.--15. 1. " MAJ_REV ,Major revision" line.long 0x04 "RID2,PEBM Revision ID Register 2" group.long 0xE00++0x0B line.long 0x00 "ECC_ERR_CR,PEBM ECC Error Control Register" bitfld.long 0x00 2.--3. " SRAM_ECC_SEL ,Selects the SRAM in a column of a bank for error injection" "0,1,2,3" bitfld.long 0x00 1. " SBIT_ECC_ERR_EN ,Single bit ECC error enable" "Disabled,Enabled" bitfld.long 0x00 0. " ECC_INJ_EN ,ECC error inject enable" "Disabled,Enabled" line.long 0x04 "ECC_ERR_INJ_H,PEBM ECC Error Inject Mask High Register" line.long 0x08 "ECC_ERR_INJ_L,PEBM ECC Error Inject Mask Low Register" group.long 0xE10++0x0B line.long 0x00 "ERR_DET,PEBM Error Detect Register" eventfld.long 0x00 5. " MBERR ,Multibit ECC error" "Not detected,Detected" eventfld.long 0x00 4. " SBERR ,Single bit ECC error" "Not detected,Detected" eventfld.long 0x00 1. " RDERR ,Read transaction error" "Not detected,Detected" eventfld.long 0x00 0. " WRERR ,Write transaction error" "Not detected,Detected" line.long 0x04 "ERR_INT_EN,PEBM Error Interrupt Enable Register" bitfld.long 0x04 5. " MBERR_IE ,Multibit ECC error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 1. " RDERR_IE ,Read transaction error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 0. " WRERR_IE ,Write transaction error interrupt enable" "Disabled,Enabled" line.long 0x08 "ERR_DIS,PEBM Error Disable Register" bitfld.long 0x08 5. " MBERR_DIS ,Multibit ECC error disable" "No,Yes" bitfld.long 0x08 4. " SBERR_DIS ,Single bit ECC error disable" "No,Yes" bitfld.long 0x08 1. " RDERR_DIS ,Read transaction error disable" "No,Yes" bitfld.long 0x08 0. " WRERR_DIS ,Write transaction error disable" "No,Yes" group.long 0xE20++0x03 line.long 0x00 "ERR_CAP_SR,PEBM Error Capture Status Register" bitfld.long 0x00 4.--7. " PORT ,Error port number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" eventfld.long 0x00 0. " V ,Error capture valid" "No error,Error" rgroup.long 0xE24++0x0B line.long 0x00 "ERR_CAP0,PEBM Error Capture Register 0" line.long 0x04 "ERR_CAP1,PEBM Error Capture Register 1" hexmask.long.word 0x04 0.--11. 0x01 " ERR_ADDR_H ,Error address high" line.long 0x08 "ERR_CAP2,PEBM Error Capture Register 2" bitfld.long 0x08 21.--24. " AXI_LEN ,Error length" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 18.--20. " AXI_SIZE ,Error size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 16.--17. " AXI_BURST ,Error burst" "0,1,2,3" hexmask.long.word 0x08 0.--15. 1. " AXI_ID ,Error id" group.long 0xE40++0x03 line.long 0x00 "ECC_ERR_CAP_SR,PEBM ECC Error Capture Status Register" bitfld.long 0x00 4.--6. " PORT ,ECC error port number" "0,1,2,3,4,5,6,7" eventfld.long 0x00 0. " V ,ECC error capture valid" "Not valid,Valid" rgroup.long 0xE44++0x03 line.long 0x00 "ECC_ERR_CAP0,PEBM ECC Error Capture Register 0" width 0x0B tree.end tree "SEC" base ad:0x08000000 width 7. group.long 0x04++0x03 line.long 0x00 "MCFGR,Master Configuration Register" bitfld.long 0x00 31. " SWRST ,Software reset" "Normal,Reset" bitfld.long 0x00 30. " WDE ,DECO watchdog enable" "Disabled,Enabled" bitfld.long 0x00 29. " WDF ,Watchdog fast" "Normal,Fast" bitfld.long 0x00 28. " DMA_RST ,DMA reset" "No effect,Reset" newline bitfld.long 0x00 27. " WRHD ,Write handoff disable" "No,Yes" bitfld.long 0x00 21. " DJPC ,Disable job performance counters" "No,Yes" bitfld.long 0x00 20. " DBPC ,Disable byte performance counters" "No,Yes" bitfld.long 0x00 17. " NSP ,No snoop" "Snooped,Not snooped" newline bitfld.long 0x00 16. " PS ,Pointer size" "32-bit,49-bit" bitfld.long 0x00 15. " ARCACHE[3] ,AXI read transaction attribute - check for already cached" "Not cached,Cached" bitfld.long 0x00 14. " [2] ,AXI read transaction attribute - read data allocate recommended" "Not recommended,Recommended" bitfld.long 0x00 13. " [1] ,AXI read transaction attribute - cacheable/modifiable" "Not modified,Modified" newline bitfld.long 0x00 12. " [0] ,AXI read transaction attribute - bufferable" "Not Fetched,Fetched" bitfld.long 0x00 11. " AWCACHE[3] ,AXI write transaction attribute - write data allocate recommended" "Not recommended,Recommended" bitfld.long 0x00 10. " [2] ,AXI write transaction attribute - check for already cached" "Not cached,Cached" bitfld.long 0x00 9. " [1] ,AXI write transaction attribute - cacheable/modifiable" "Not modified,Modified" newline bitfld.long 0x00 8. " [0] ,AXI write transaction attribute - bufferable" "Not generated,Generated" bitfld.long 0x00 4.--7. " AXIPIPE ,AXI pipeline depth" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 2. " LARGE_BURST ,Enable large bursts" "Disabled,Enabled" rbitfld.long 0x00 0. " NORMAL_BURST ,Maximum burst size" "32 byte,64 byte" group.long 0x0C++0x03 line.long 0x00 "SCFGR,Security Configuration Register" rbitfld.long 0x00 28.--31. " MPCURVE ,Manufacturing protection curve" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. " MPPKRC ,Manufacturing protection private key register clear" "No effect,Clear" bitfld.long 0x00 26. " MPMRL ,Manufacturing protection message register lock" "Not locked,Locked" bitfld.long 0x00 15. " VIRT_EN ,Virtualization enable" "Disabled,Enabled" newline bitfld.long 0x00 11. " LCK_TRNG ,Lock TRNG program mode" "Not locked,Locked" bitfld.long 0x00 10. " RDB ,Enable random data buffer" "Disabled,Enabled" bitfld.long 0x00 9. " RNGSH0 ,Random number generator state handle 0" "Any mode,Not in test mode" bitfld.long 0x00 8. " RANDDPAR ,Random differential power analysis resistance (DPAR) mask" "Default seed,State handle 0" newline bitfld.long 0x00 0.--1. " PRIBLOB ,Private blob type during trusted mode selection" "Secure boot,Provisioning type 1,Provisioning type 2,Normal operation" width 12. tree "Job Ring ICID Registers" if ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x10)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x10)&0x80000000)==0x80000000) group.long 0x10++0x03 line.long 0x00 "JR0ICID_MS,Job Ring 0 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x10)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x10)&0x80000000)==0x80000000) group.long 0x10++0x03 line.long 0x00 "JR0ICID_MS,Job Ring 0 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x10)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x10)&0x80000000)==0x00) group.long 0x10++0x03 line.long 0x00 "JR0ICID_MS,Job Ring 0 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x10)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x10)&0x80000000)==0x00) group.long 0x10++0x03 line.long 0x00 "JR0ICID_MS,Job Ring 0 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x10)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x10)&0x80000000)==0x80000000) group.long 0x10++0x03 line.long 0x00 "JR0ICID_MS,Job Ring 0 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x10)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x10)&0x80000000)==0x80000000) group.long 0x10++0x03 line.long 0x00 "JR0ICID_MS,Job Ring 0 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x10)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x10)&0x80000000)==0x00) group.long 0x10++0x03 line.long 0x00 "JR0ICID_MS,Job Ring 0 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" else group.long 0x10++0x03 line.long 0x00 "JR0ICID_MS,Job Ring 0 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" endif if ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x18)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x18)&0x80000000)==0x80000000) group.long 0x18++0x03 line.long 0x00 "JR1ICID_MS,Job Ring 1 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x18)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x18)&0x80000000)==0x80000000) group.long 0x18++0x03 line.long 0x00 "JR1ICID_MS,Job Ring 1 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x18)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x18)&0x80000000)==0x00) group.long 0x18++0x03 line.long 0x00 "JR1ICID_MS,Job Ring 1 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x18)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x18)&0x80000000)==0x00) group.long 0x18++0x03 line.long 0x00 "JR1ICID_MS,Job Ring 1 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x18)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x18)&0x80000000)==0x80000000) group.long 0x18++0x03 line.long 0x00 "JR1ICID_MS,Job Ring 1 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x18)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x18)&0x80000000)==0x80000000) group.long 0x18++0x03 line.long 0x00 "JR1ICID_MS,Job Ring 1 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x18)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x18)&0x80000000)==0x00) group.long 0x18++0x03 line.long 0x00 "JR1ICID_MS,Job Ring 1 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" else group.long 0x18++0x03 line.long 0x00 "JR1ICID_MS,Job Ring 1 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" endif if ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x20)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x20)&0x80000000)==0x80000000) group.long 0x20++0x03 line.long 0x00 "JR2ICID_MS,Job Ring 2 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x20)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x20)&0x80000000)==0x80000000) group.long 0x20++0x03 line.long 0x00 "JR2ICID_MS,Job Ring 2 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x20)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x20)&0x80000000)==0x00) group.long 0x20++0x03 line.long 0x00 "JR2ICID_MS,Job Ring 2 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x20)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x20)&0x80000000)==0x00) group.long 0x20++0x03 line.long 0x00 "JR2ICID_MS,Job Ring 2 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x20)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x20)&0x80000000)==0x80000000) group.long 0x20++0x03 line.long 0x00 "JR2ICID_MS,Job Ring 2 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x20)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x20)&0x80000000)==0x80000000) group.long 0x20++0x03 line.long 0x00 "JR2ICID_MS,Job Ring 2 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x20)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x20)&0x80000000)==0x00) group.long 0x20++0x03 line.long 0x00 "JR2ICID_MS,Job Ring 2 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" else group.long 0x20++0x03 line.long 0x00 "JR2ICID_MS,Job Ring 2 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" endif if ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x28)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x28)&0x80000000)==0x80000000) group.long 0x28++0x03 line.long 0x00 "JR3ICID_MS,Job Ring 3 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x28)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x28)&0x80000000)==0x80000000) group.long 0x28++0x03 line.long 0x00 "JR3ICID_MS,Job Ring 3 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x28)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x28)&0x80000000)==0x00) group.long 0x28++0x03 line.long 0x00 "JR3ICID_MS,Job Ring 3 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x8000)&&((per.l(ad:0x08000000+0x28)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x28)&0x80000000)==0x00) group.long 0x28++0x03 line.long 0x00 "JR3ICID_MS,Job Ring 3 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" bitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x28)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x28)&0x80000000)==0x80000000) group.long 0x28++0x03 line.long 0x00 "JR3ICID_MS,Job Ring 3 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x28)&0x20000)==0x00)&&((per.l(ad:0x08000000+0x28)&0x80000000)==0x80000000) group.long 0x28++0x03 line.long 0x00 "JR3ICID_MS,Job Ring 3 ICID Register - Most Significant Half" rbitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" elif ((per.l(ad:0x08000000+0x0C)&0x8000)==0x00)&&((per.l(ad:0x08000000+0x28)&0x20000)==0x20000)&&((per.l(ad:0x08000000+0x28)&0x80000000)==0x00) group.long 0x28++0x03 line.long 0x00 "JR3ICID_MS,Job Ring 3 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" rbitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" else group.long 0x28++0x03 line.long 0x00 "JR3ICID_MS,Job Ring 3 ICID Register - Most Significant Half" bitfld.long 0x00 31. " LICID ,Lock ICID" "Not locked,Locked" rbitfld.long 0x00 17. " LAMTD ,Lock AMTD" "Not locked,Locked" bitfld.long 0x00 16. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 15. " TZ ,TrustZone SecureWorld" "Non-SecureWorld,SecureWorld" hexmask.long.word 0x00 0.--11. 1. " SDID ,Security domain identifier" endif if ((per.l(ad:0x08000000+0x10)&0x80000000)==0x80000000) rgroup.long 0x14++0x03 line.long 0x00 "JR0ICID_LS,Job Ring 0 ICID Register - Least Significant Half" bitfld.long 0x00 16. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" else group.long 0x14++0x03 line.long 0x00 "JR0ICID_LS,Job Ring 0 ICID Register - Least Significant Half" bitfld.long 0x00 16. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" endif if ((per.l(ad:0x08000000+0x18)&0x80000000)==0x80000000) rgroup.long 0x1C++0x03 line.long 0x00 "JR1ICID_LS,Job Ring 1 ICID Register - Least Significant Half" bitfld.long 0x00 16. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" else group.long 0x1C++0x03 line.long 0x00 "JR1ICID_LS,Job Ring 1 ICID Register - Least Significant Half" bitfld.long 0x00 16. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" endif if ((per.l(ad:0x08000000+0x20)&0x80000000)==0x80000000) rgroup.long 0x24++0x03 line.long 0x00 "JR2ICID_LS,Job Ring 2 ICID Register - Least Significant Half" bitfld.long 0x00 16. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" else group.long 0x24++0x03 line.long 0x00 "JR2ICID_LS,Job Ring 2 ICID Register - Least Significant Half" bitfld.long 0x00 16. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" endif if ((per.l(ad:0x08000000+0x28)&0x80000000)==0x80000000) rgroup.long 0x2C++0x03 line.long 0x00 "JR3ICID_LS,Job Ring 3 ICID Register - Least Significant Half" bitfld.long 0x00 16. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" else group.long 0x2C++0x03 line.long 0x00 "JR3ICID_LS,Job Ring 3 ICID Register - Least Significant Half" bitfld.long 0x00 16. " BMT ,Bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " PL ,Privilege level" "0,1" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" endif tree.end newline width 17. group.long 0x58++0x03 line.long 0x00 "DEBUGCTL,Debug Control Register" rbitfld.long 0x00 17. " STOP_ACK ,Job queue controller stop acknowledge" "Not stopped,Stopped" bitfld.long 0x00 16. " STOP ,Stop jobs processing request" "Not requested,Requested" if (((per.l(ad:0x08000000+0x0C))&0x8000)==0x8000) group.long 0x5C++0x03 line.long 0x00 "JRSTARTR,Job Ring Start Register" bitfld.long 0x00 3. " START_JR3 ,Start job ring 3" "Stop mode,Start mode" bitfld.long 0x00 2. " START_JR2 ,Start job ring 2" "Stop mode,Start mode" bitfld.long 0x00 1. " START_JR1 ,Start job ring 1" "Stop mode,Start mode" bitfld.long 0x00 0. " START_JR0 ,Start job ring 0" "Stop mode,Start mode" else rgroup.long 0x5C++0x03 line.long 0x00 "JRSTARTR,Job Ring Start Register" bitfld.long 0x00 3. " START_JR3 ,Start job ring 3" "Stop mode,Start mode" bitfld.long 0x00 2. " START_JR2 ,Start job ring 2" "Stop mode,Start mode" bitfld.long 0x00 1. " START_JR1 ,Start job ring 1" "Stop mode,Start mode" bitfld.long 0x00 0. " START_JR0 ,Start job ring 0" "Stop mode,Start mode" endif if (((per.l(ad:0x08000000+0x60))&0x80000000)==0x00) group.long 0x60++0x03 line.long 0x00 "RTICAICID_MS,RTIC ICID Register For Block A" bitfld.long 0x00 31. " LCK ,RTIC ICID lock" "Not locked,Locked" bitfld.long 0x00 30. " TZCTL ,TrustZone control" "Disabled,Enabled" newline bitfld.long 0x00 15. " TZ ,RTIC TrustZone" "0,1" else rgroup.long 0x60++0x03 line.long 0x00 "RTICAICID_MS,RTIC ICID Register For Block A" bitfld.long 0x00 31. " LCK ,RTIC ICID lock" "Not locked,Locked" bitfld.long 0x00 30. " TZCTL ,TrustZone control" "Disabled,Enabled" newline bitfld.long 0x00 15. " TZ ,RTIC TrustZone" "0,1" endif if (((per.l(ad:0x08000000+0x68))&0x80000000)==0x00) group.long 0x68++0x03 line.long 0x00 "RTICBICID_MS,RTIC ICID Register For Block B" bitfld.long 0x00 31. " LCK ,RTIC ICID lock" "Not locked,Locked" newline bitfld.long 0x00 15. " TZ ,RTIC TrustZone" "0,1" else rgroup.long 0x68++0x03 line.long 0x00 "RTICBICID_MS,RTIC ICID Register For Block B" bitfld.long 0x00 31. " LCK ,RTIC ICID lock" "Not locked,Locked" newline bitfld.long 0x00 15. " TZ ,RTIC TrustZone" "0,1" endif if (((per.l(ad:0x08000000+0x70))&0x80000000)==0x00) group.long 0x70++0x03 line.long 0x00 "RTICCICID_MS,RTIC ICID Register For Block C" bitfld.long 0x00 31. " LCK ,RTIC ICID lock" "Not locked,Locked" newline bitfld.long 0x00 15. " TZ ,RTIC TrustZone" "0,1" else rgroup.long 0x70++0x03 line.long 0x00 "RTICCICID_MS,RTIC ICID Register For Block C" bitfld.long 0x00 31. " LCK ,RTIC ICID lock" "Not locked,Locked" newline bitfld.long 0x00 15. " TZ ,RTIC TrustZone" "0,1" endif if (((per.l(ad:0x08000000+0x78))&0x80000000)==0x00) group.long 0x78++0x03 line.long 0x00 "RTICDICID_MS,RTIC ICID Register For Block D" bitfld.long 0x00 31. " LCK ,RTIC ICID lock" "Not locked,Locked" newline bitfld.long 0x00 15. " TZ ,RTIC TrustZone" "0,1" else rgroup.long 0x78++0x03 line.long 0x00 "RTICDICID_MS,RTIC ICID Register For Block D" bitfld.long 0x00 31. " LCK ,RTIC ICID lock" "Not locked,Locked" newline bitfld.long 0x00 15. " TZ ,RTIC TrustZone" "0,1" endif group.long 0x64++0x03 line.long 0x00 "RTICAICID_LS,RTIC ICID Register For Block A" bitfld.long 0x00 16. " BMT ,RTIC bypass memory translation" "0,1" hexmask.long.byte 0x00 0.--6. 1. " R_ICID ,RTIC ICID" group.long 0x6C++0x03 line.long 0x00 "RTICBICID_LS,RTIC ICID Register For Block B" bitfld.long 0x00 16. " BMT ,RTIC bypass memory translation" "0,1" hexmask.long.byte 0x00 0.--6. 1. " R_ICID ,RTIC ICID" group.long 0x74++0x03 line.long 0x00 "RTICCICID_LS,RTIC ICID Register For Block C" bitfld.long 0x00 16. " BMT ,RTIC bypass memory translation" "0,1" hexmask.long.byte 0x00 0.--6. 1. " R_ICID ,RTIC ICID" group.long 0x7C++0x03 line.long 0x00 "RTICDICID_LS,RTIC ICID Register For Block D" bitfld.long 0x00 16. " BMT ,RTIC bypass memory translation" "0,1" hexmask.long.byte 0x00 0.--6. 1. " R_ICID ,RTIC ICID" group.long 0x84++0x03 line.long 0x00 "PROTCFG,Protocol Configuration Register" bitfld.long 0x00 0. " IPSEC_CKSUM ,Configure the IPSEC checksum calculation" "Included,Not included" if (((per.l(ad:0x08000000+0x0C))&0x8000)==0x8000)||(((per.l(ad:0x08000000+0x9C))&0xFFFFFFFF)==0x00) group.long 0x94++0x03 line.long 0x00 "DECORSR,DECO Request Source Register" rbitfld.long 0x00 31. " VALID ,Job ring number in JR field validity" "Invalid,Valid" bitfld.long 0x00 0.--1. " JR ,Job ring number" "0,1,2,3" else rgroup.long 0x94++0x03 line.long 0x00 "DECORSR,DECO Request Source Register" bitfld.long 0x00 31. " VALID ,Job ring number in JR field validity" "Invalid,Valid" bitfld.long 0x00 0.--1. " JR ,Job ring number" "0,1,2,3" endif group.long 0x98++0x03 line.long 0x00 "DECO_BANK_SEL,DECO Bank Select Register" bitfld.long 0x00 29. " REQALL ,Request all" "Not requested,Requested" bitfld.long 0x00 28. " RELALL ,Release all" "Not released,Released" bitfld.long 0x00 23. " SETNYA ,Set NYA bits" "Not set,Set" if (((per.l(ad:0x08000000+0x94))&0x80000000)==0x80000000) group.long 0x9C++0x03 line.long 0x00 "DECORR,DECO Request Register" bitfld.long 0x00 31. " DEN[15] ,Permission for the software to directly access DECO 15/CCB 15 granted" "Not granted,Granted" bitfld.long 0x00 30. " [14] ,Permission for the software to directly access DECO 15/CCB 14 granted" "Not granted,Granted" bitfld.long 0x00 29. " [13] ,Permission for the software to directly access DECO 13/CCB 13 granted" "Not granted,Granted" bitfld.long 0x00 28. " [12] ,Permission for the software to directly access DECO 12/CCB 12 granted" "Not granted,Granted" newline bitfld.long 0x00 27. " [11] ,Permission for the software to directly access DECO 11/CCB 11 granted" "Not granted,Granted" bitfld.long 0x00 26. " [10] ,Permission for the software to directly access DECO 10/CCB 10 granted" "Not granted,Granted" bitfld.long 0x00 25. " [9] ,Permission for the software to directly access DECO 9/CCB 9 granted" "Not granted,Granted" bitfld.long 0x00 24. " [8] ,Permission for the software to directly access DECO 8/CCB 8 granted" "Not granted,Granted" newline bitfld.long 0x00 23. " [7] ,Permission for the software to directly access DECO 7/CCB 7 granted" "Not granted,Granted" bitfld.long 0x00 22. " [6] ,Permission for the software to directly access DECO 6/CCB 6 granted" "Not granted,Granted" bitfld.long 0x00 21. " [5] ,Permission for the software to directly access DECO 5/CCB 5 granted" "Not granted,Granted" bitfld.long 0x00 20. " [4] ,Permission for the software to directly access DECO 4/CCB 4 granted" "Not granted,Granted" newline bitfld.long 0x00 19. " [3] ,Permission for the software to directly access DECO 3/CCB 3 granted" "Not granted,Granted" bitfld.long 0x00 18. " [2] ,Permission for the software to directly access DECO 2/CCB 2 granted" "Not granted,Granted" bitfld.long 0x00 17. " [1] ,Permission for the software to directly access DECO 1/CCB 1 granted" "Not granted,Granted" bitfld.long 0x00 16. " [0] ,Permission for the software to directly access DECO 0/CCB 0 granted" "Not granted,Granted" newline bitfld.long 0x00 15. " RQD[15] ,Direct access to DECO 15/CCB 15 request" "Not requested,Requested" bitfld.long 0x00 14. " [14] ,Direct access to DECO 14/CCB 14 request" "Not requested,Requested" bitfld.long 0x00 13. " [13] ,Direct access to DECO 13/CCB 13 request" "Not requested,Requested" bitfld.long 0x00 12. " [12] ,Direct access to DECO 12/CCB 12 request" "Not requested,Requested" newline bitfld.long 0x00 11. " [11] ,Direct access to DECO 11/CCB 11 request" "Not requested,Requested" bitfld.long 0x00 10. " [10] ,Direct access to DECO 10/CCB 10 request" "Not requested,Requested" bitfld.long 0x00 9. " [9] ,Direct access to DECO 9/CCB 9 request" "Not requested,Requested" bitfld.long 0x00 8. " [8] ,Direct access to DECO 8/CCB 8 request" "Not requested,Requested" newline bitfld.long 0x00 7. " [7] ,Direct access to DECO 7/CCB 7 request" "Not requested,Requested" bitfld.long 0x00 6. " [6] ,Direct access to DECO 6/CCB 6 request" "Not requested,Requested" bitfld.long 0x00 5. " [5] ,Direct access to DECO 5/CCB 5 request" "Not requested,Requested" bitfld.long 0x00 4. " [4] ,Direct access to DECO 4/CCB 4 request" "Not requested,Requested" newline bitfld.long 0x00 3. " [3] ,Direct access to DECO 3/CCB 3 request" "Not requested,Requested" bitfld.long 0x00 2. " [2] ,Direct access to DECO 2/CCB 2 request" "Not requested,Requested" bitfld.long 0x00 1. " [1] ,Direct access to DECO 1/CCB 1 request" "Not requested,Requested" bitfld.long 0x00 0. " [0] ,Direct access to DECO 0/CCB 0 request" "Not requested,Requested" else rgroup.long 0x9C++0x03 line.long 0x00 "DECORR,DECO Request Register" bitfld.long 0x00 31. " DEN[15] ,Permission for the software to directly access DECO 15/CCB 15 granted" "Not granted,Granted" bitfld.long 0x00 30. " [14] ,Permission for the software to directly access DECO 15/CCB 14 granted" "Not granted,Granted" bitfld.long 0x00 29. " [13] ,Permission for the software to directly access DECO 13/CCB 13 granted" "Not granted,Granted" bitfld.long 0x00 28. " [12] ,Permission for the software to directly access DECO 12/CCB 12 granted" "Not granted,Granted" newline bitfld.long 0x00 27. " [11] ,Permission for the software to directly access DECO 11/CCB 11 granted" "Not granted,Granted" bitfld.long 0x00 26. " [10] ,Permission for the software to directly access DECO 10/CCB 10 granted" "Not granted,Granted" bitfld.long 0x00 25. " [9] ,Permission for the software to directly access DECO 9/CCB 9 granted" "Not granted,Granted" bitfld.long 0x00 24. " [8] ,Permission for the software to directly access DECO 8/CCB 8 granted" "Not granted,Granted" newline bitfld.long 0x00 23. " [7] ,Permission for the software to directly access DECO 7/CCB 7 granted" "Not granted,Granted" bitfld.long 0x00 22. " [6] ,Permission for the software to directly access DECO 6/CCB 6 granted" "Not granted,Granted" bitfld.long 0x00 21. " [5] ,Permission for the software to directly access DECO 5/CCB 5 granted" "Not granted,Granted" bitfld.long 0x00 20. " [4] ,Permission for the software to directly access DECO 4/CCB 4 granted" "Not granted,Granted" newline bitfld.long 0x00 19. " [3] ,Permission for the software to directly access DECO 3/CCB 3 granted" "Not granted,Granted" bitfld.long 0x00 18. " [2] ,Permission for the software to directly access DECO 2/CCB 2 granted" "Not granted,Granted" bitfld.long 0x00 17. " [1] ,Permission for the software to directly access DECO 1/CCB 1 granted" "Not granted,Granted" bitfld.long 0x00 16. " [0] ,Permission for the software to directly access DECO 0/CCB 0 granted" "Not granted,Granted" newline bitfld.long 0x00 15. " RQD[15] ,Direct access to DECO 15/CCB 15 request" "Not requested,Requested" bitfld.long 0x00 14. " [14] ,Direct access to DECO 14/CCB 14 request" "Not requested,Requested" bitfld.long 0x00 13. " [13] ,Direct access to DECO 13/CCB 13 request" "Not requested,Requested" bitfld.long 0x00 12. " [12] ,Direct access to DECO 12/CCB 12 request" "Not requested,Requested" newline bitfld.long 0x00 11. " [11] ,Direct access to DECO 11/CCB 11 request" "Not requested,Requested" bitfld.long 0x00 10. " [10] ,Direct access to DECO 10/CCB 10 request" "Not requested,Requested" bitfld.long 0x00 9. " [9] ,Direct access to DECO 9/CCB 9 request" "Not requested,Requested" bitfld.long 0x00 8. " [8] ,Direct access to DECO 8/CCB 8 request" "Not requested,Requested" newline bitfld.long 0x00 7. " [7] ,Direct access to DECO 7/CCB 7 request" "Not requested,Requested" bitfld.long 0x00 6. " [6] ,Direct access to DECO 6/CCB 6 request" "Not requested,Requested" bitfld.long 0x00 5. " [5] ,Direct access to DECO 5/CCB 5 request" "Not requested,Requested" bitfld.long 0x00 4. " [4] ,Direct access to DECO 4/CCB 4 request" "Not requested,Requested" newline bitfld.long 0x00 3. " [3] ,Direct access to DECO 3/CCB 3 request" "Not requested,Requested" bitfld.long 0x00 2. " [2] ,Direct access to DECO 2/CCB 2 request" "Not requested,Requested" bitfld.long 0x00 1. " [1] ,Direct access to DECO 1/CCB 1 request" "Not requested,Requested" bitfld.long 0x00 0. " [0] ,Direct access to DECO 0/CCB 0 request" "Not requested,Requested" endif if (((per.l(ad:0x08000000+0x0C))&0x8000)==0x8000) rgroup.long 0xA0++0x03 line.long 0x00 "DECO$2ICID_MS,DECO$2 ICID Most Significant Half Register" bitfld.long 0x00 31. " LCK ,Lock" "Not locked,Locked" group.long 0xA4++0x03 line.long 0x00 "DECO$2ICID_LS,DECO$2 ICID Least Significant Half Register" bitfld.long 0x00 16. " DBMT ,DECO bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x00 15. " DPL ,DECO privilege level" "0,1" rhexmask.long.byte 0x00 0.--6. 1. " D_ICID ,ICID" else group.long 0xA0++0x07 line.long 0x00 "DECO$2ICID_MS,DECO$2 ICID Most Significant Half Register" bitfld.long 0x00 31. " LCK ,Lock" "Not locked,Locked" line.long 0x04 "DECO$2ICID_LS,DECO$2 ICID Least Significant Half Register" bitfld.long 0x04 16. " DBMT ,DECO bypass memory translation" "Not bypassed,Bypassed" bitfld.long 0x04 15. " DPL ,DECO privilege level" "0,1" hexmask.long.byte 0x04 0.--6. 1. " D_ICID ,ICID" endif group.long 0x120++0x03 line.long 0x00 "DAR,DECO Availability Register" bitfld.long 0x00 15. " NYA[15] ,Start polling for the availability of DECO 15" "Not started,Started" bitfld.long 0x00 14. " [14] ,Start polling for the availability of DECO 14" "Not started,Started" bitfld.long 0x00 13. " [13] ,Start polling for the availability of DECO 13" "Not started,Started" bitfld.long 0x00 12. " [12] ,Start polling for the availability of DECO 12" "Not started,Started" newline bitfld.long 0x00 11. " [11] ,Start polling for the availability of DECO 11" "Not started,Started" bitfld.long 0x00 10. " [10] ,Start polling for the availability of DECO 10" "Not started,Started" bitfld.long 0x00 9. " [9] ,Start polling for the availability of DECO 9" "Not started,Started" bitfld.long 0x00 8. " [8] ,Start polling for the availability of DECO 8" "Not started,Started" newline bitfld.long 0x00 7. " [7] ,Start polling for the availability of DECO 7" "Not started,Started" bitfld.long 0x00 6. " [6] ,Start polling for the availability of DECO 6" "Not started,Started" bitfld.long 0x00 5. " [5] ,Start polling for the availability of DECO 5" "Not started,Started" bitfld.long 0x00 4. " [4] ,Start polling for the availability of DECO 4" "Not started,Started" newline bitfld.long 0x00 3. " [3] ,Start polling for the availability of DECO 3" "Not started,Started" bitfld.long 0x00 2. " [2] ,Start polling for the availability of DECO 2" "Not started,Started" bitfld.long 0x00 1. " [1] ,Start polling for the availability of DECO 1" "Not started,Started" bitfld.long 0x00 0. " [0] ,Start polling for the availability of DECO 0" "Not started,Started" wgroup.long 0x124++0x0B line.long 0x00 "DRR,DECO Reset Register" bitfld.long 0x00 15. " RST[15] ,Soft reset of DECO 15" "No reset,Reset" bitfld.long 0x00 14. " [14] ,Soft reset of DECO 14" "No reset,Reset" bitfld.long 0x00 13. " [13] ,Soft reset of DECO 13" "No reset,Reset" bitfld.long 0x00 12. " [12] ,Soft reset of DECO 12" "No reset,Reset" newline bitfld.long 0x00 11. " [11] ,Soft reset of DECO 11" "No reset,Reset" bitfld.long 0x00 10. " [10] ,Soft reset of DECO 10" "No reset,Reset" bitfld.long 0x00 9. " [9] ,Soft reset of DECO 9" "No reset,Reset" bitfld.long 0x00 8. " [8] ,Soft reset of DECO 8" "No reset,Reset" newline bitfld.long 0x00 7. " [7] ,Soft reset of DECO 7" "No reset,Reset" bitfld.long 0x00 6. " [6] ,Soft reset of DECO 6" "No reset,Reset" bitfld.long 0x00 5. " [5] ,Soft reset of DECO 5" "No reset,Reset" bitfld.long 0x00 4. " [4] ,Soft reset of DECO 4" "No reset,Reset" newline bitfld.long 0x00 3. " [3] ,Soft reset of DECO 3" "No reset,Reset" bitfld.long 0x00 2. " [2] ,Soft reset of DECO 2" "No reset,Reset" bitfld.long 0x00 1. " [1] ,Soft reset of DECO 1" "No reset,Reset" bitfld.long 0x00 0. " [0] ,Soft reset of DECO 0" "No reset,Reset" line.long 0x04 "DSMSR,DECO Shared Memory Status Register" bitfld.long 0x04 5. " SM[5] ,Availability status of shared memory 5" "Available,In use" bitfld.long 0x04 4. " [4] ,Availability status of shared memory 4" "Available,In use" bitfld.long 0x04 3. " [3] ,Availability status of shared memory 3" "Available,In use" bitfld.long 0x04 2. " [2] ,Availability status of shared memory 2" "Available,In use" newline bitfld.long 0x04 1. " [1] ,Availability status of shared memory 1" "Available,In use" bitfld.long 0x04 0. " [0] ,Availability status of shared memory 0" "Available,In use" line.long 0x08 "DSMDR,DECO Shared Memory Disable Register" bitfld.long 0x08 5. " SM[5] ,Disabled status of shared memory 5" "No,Yes" bitfld.long 0x08 4. " [4] ,Disabled status of shared memory 4" "No,Yes" bitfld.long 0x08 3. " [3] ,Disabled status of shared memory 3" "No,Yes" bitfld.long 0x08 2. " [2] ,Disabled status of shared memory 2" "No,Yes" newline bitfld.long 0x08 1. " [1] ,Disabled status of shared memory 1" "No,Yes" bitfld.long 0x08 0. " [0] ,Disabled status of shared memory 0" "No,Yes" group.long 0x204++0x03 line.long 0x00 "DMAC,DMA Control Register" bitfld.long 0x00 8. " DAI ,DMA access index" "0,1" bitfld.long 0x00 1. " WSE ,Write safe enable" "Disabled,Enabled" bitfld.long 0x00 0. " RSE ,Read safe enable" "Disabled,Enabled" group.long 0x220++0x03 line.long 0x00 "PBSL,Peak Bandwidth Smoothing Limit Register" hexmask.long.byte 0x00 0.--6. 1. " PBSL ,Read safe enable" rgroup.long 0x240++0x13 line.long 0x00 "DMA0AIDL_MAP_MS,Mapping For DMA AXI IDs 7-4" hexmask.long.byte 0x00 24.--31. 1. " AID7_BID ,SEC block ID using AXI ID 7" hexmask.long.byte 0x00 16.--23. 1. " AID6_BID ,SEC block ID using AXI ID 6" hexmask.long.byte 0x00 8.--15. 1. " AID5_BID ,SEC block ID using AXI ID 5" hexmask.long.byte 0x00 0.--7. 1. " AID4_BID ,SEC block ID using AXI ID 4" line.long 0x04 "DMA0AIDL_MAP_LS,Mapping For DMA AXI IDs 3-0" hexmask.long.byte 0x04 24.--31. 1. " AID3_BID ,SEC block ID using AXI ID 3" hexmask.long.byte 0x04 16.--23. 1. " AID2_BID ,SEC block ID using AXI ID 2" hexmask.long.byte 0x04 8.--15. 1. " AID1_BID ,SEC block ID using AXI ID 1" hexmask.long.byte 0x04 0.--7. 1. " AID0_BID ,SEC block ID using AXI ID 0" line.long 0x08 "DMA0AIDM_MAP_MS,Mapping For DMA AXI IDs 15-12" hexmask.long.byte 0x08 24.--31. 1. " AID15_BID ,SEC block ID using AXI ID 15" hexmask.long.byte 0x08 16.--23. 1. " AID14_BID ,SEC block ID using AXI ID 14" hexmask.long.byte 0x08 8.--15. 1. " AID13_BID ,SEC block ID using AXI ID 13" hexmask.long.byte 0x08 0.--7. 1. " AID12_BID ,SEC block ID using AXI ID 12" line.long 0x0C "DMA0AIDM_MAP_LS,Mapping For DMA AXI IDs 11-8" hexmask.long.byte 0x0C 24.--31. 1. " AID11_BID ,SEC block ID using AXI ID 11" hexmask.long.byte 0x0C 16.--23. 1. " AID10_BID ,SEC block ID using AXI ID 10" hexmask.long.byte 0x0C 8.--15. 1. " AID9_BID ,SEC block ID using AXI ID 9" hexmask.long.byte 0x0C 0.--7. 1. " AID8_BID ,SEC block ID using AXI ID 8" line.long 0x10 "DMA0_AID_ENB,DMA0 AXI ID Enable Register" bitfld.long 0x10 15. " AID15E ,AXI ID 15 enable" "Disabled,Enabled" bitfld.long 0x10 14. " AID14E ,AXI ID 14 enable" "Disabled,Enabled" bitfld.long 0x10 13. " AID13E ,AXI ID 13 enable" "Disabled,Enabled" bitfld.long 0x10 12. " AID12E ,AXI ID 12 enable" "Disabled,Enabled" newline bitfld.long 0x10 11. " AID11E ,AXI ID 11 enable" "Disabled,Enabled" bitfld.long 0x10 10. " AID10E ,AXI ID 10 enable" "Disabled,Enabled" bitfld.long 0x10 9. " AID9E ,AXI ID 9 enable" "Disabled,Enabled" bitfld.long 0x10 8. " AID8E ,AXI ID 8 enable" "Disabled,Enabled" newline bitfld.long 0x10 7. " AID7E ,AXI ID 7 enable" "Disabled,Enabled" bitfld.long 0x10 6. " AID6E ,AXI ID 6 enable" "Disabled,Enabled" bitfld.long 0x10 5. " AID5E ,AXI ID 5 enable" "Disabled,Enabled" bitfld.long 0x10 4. " AID4E ,AXI ID 4 enable" "Disabled,Enabled" newline bitfld.long 0x10 3. " AID3E ,AXI ID 3 enable" "Disabled,Enabled" bitfld.long 0x10 2. " AID2E ,AXI ID 2 enable" "Disabled,Enabled" bitfld.long 0x10 1. " AID1E ,AXI ID 1 enable" "Disabled,Enabled" bitfld.long 0x10 0. " AID0E ,AXI ID 0 enable" "Disabled,Enabled" group.quad (0x240+0x20)++0x07 line.quad 0x00 "DMA0_ARD_TC,DMA0 AXI Read Timing Check Register" bitfld.quad 0x00 63. " ARTCE ,AXI read timing check enable" "Disabled,Enabled" bitfld.quad 0x00 62. " ARCT ,AXI read counter test" "No test,Test" bitfld.quad 0x00 61. " ARTT ,AXI read timer test" "No test,Test" bitfld.quad 0x00 60. " ARTL ,AXI read timer last" "First,Last" newline hexmask.quad.word 0x00 48.--59. 1. " ARL ,AXI read limit" hexmask.quad.tbyte 0x00 24.--43. 1. " ARLC ,AXI read late count" hexmask.quad.tbyte 0x00 0.--19. 1. " ARSC ,AXI read sample count" if (((per.l(ad:0x08000000+0x240+0x20))&0x8000000000000000)==0x00) group.long (0x240+0x2C)++0x03 line.long 0x00 "DMA0_ARD_LAT,DMA0 Read Timing Check Latency Register" else rgroup.long (0x240+0x2C)++0x03 line.long 0x00 "DMA0_ARD_LAT,DMA0 Read Timing Check Latency Register" endif group.quad (0x240+0x30)++0x07 line.quad 0x00 "DMA0_AWR_TC,DMA0 AXI Write Timing Check Register" bitfld.quad 0x00 63. " AWTCE ,AXI write timing check enable" "Disabled,Enabled" bitfld.quad 0x00 62. " AWCT ,AXI write counter test" "No test,Test" bitfld.quad 0x00 61. " AWTT ,AXI write timer test" "No test,Test" hexmask.quad.word 0x00 48.--59. 1. " AWL ,AXI write limit" newline hexmask.quad.tbyte 0x00 24.--43. 1. " AWLC ,AXI write late count" hexmask.quad.tbyte 0x00 0.--19. 1. " AWSC ,AXI write sample count" if (((per.l(ad:0x08000000+0x240+0x30))&0x8000000000000000)==0x00) group.long (0x240+0x3C)++0x03 line.long 0x00 "DMA0_AWR_LAT,DMA0 Write Timing Check Latency Register" else rgroup.long (0x240+0x3C)++0x03 line.long 0x00 "DMA0_AWR_LAT,DMA0 Write Timing Check Latency Register" endif rgroup.long 0x280++0x13 line.long 0x00 "DMA1AIDL_MAP_MS,Mapping For DMA AXI IDs 7-4" hexmask.long.byte 0x00 24.--31. 1. " AID7_BID ,SEC block ID using AXI ID 7" hexmask.long.byte 0x00 16.--23. 1. " AID6_BID ,SEC block ID using AXI ID 6" hexmask.long.byte 0x00 8.--15. 1. " AID5_BID ,SEC block ID using AXI ID 5" hexmask.long.byte 0x00 0.--7. 1. " AID4_BID ,SEC block ID using AXI ID 4" line.long 0x04 "DMA1AIDL_MAP_LS,Mapping For DMA AXI IDs 3-0" hexmask.long.byte 0x04 24.--31. 1. " AID3_BID ,SEC block ID using AXI ID 3" hexmask.long.byte 0x04 16.--23. 1. " AID2_BID ,SEC block ID using AXI ID 2" hexmask.long.byte 0x04 8.--15. 1. " AID1_BID ,SEC block ID using AXI ID 1" hexmask.long.byte 0x04 0.--7. 1. " AID0_BID ,SEC block ID using AXI ID 0" line.long 0x08 "DMA1AIDM_MAP_MS,Mapping For DMA AXI IDs 15-12" hexmask.long.byte 0x08 24.--31. 1. " AID15_BID ,SEC block ID using AXI ID 15" hexmask.long.byte 0x08 16.--23. 1. " AID14_BID ,SEC block ID using AXI ID 14" hexmask.long.byte 0x08 8.--15. 1. " AID13_BID ,SEC block ID using AXI ID 13" hexmask.long.byte 0x08 0.--7. 1. " AID12_BID ,SEC block ID using AXI ID 12" line.long 0x0C "DMA1AIDM_MAP_LS,Mapping For DMA AXI IDs 11-8" hexmask.long.byte 0x0C 24.--31. 1. " AID11_BID ,SEC block ID using AXI ID 11" hexmask.long.byte 0x0C 16.--23. 1. " AID10_BID ,SEC block ID using AXI ID 10" hexmask.long.byte 0x0C 8.--15. 1. " AID9_BID ,SEC block ID using AXI ID 9" hexmask.long.byte 0x0C 0.--7. 1. " AID8_BID ,SEC block ID using AXI ID 8" line.long 0x10 "DMA1_AID_ENB,DMA1 AXI ID Enable Register" bitfld.long 0x10 15. " AID15E ,AXI ID 15 enable" "Disabled,Enabled" bitfld.long 0x10 14. " AID14E ,AXI ID 14 enable" "Disabled,Enabled" bitfld.long 0x10 13. " AID13E ,AXI ID 13 enable" "Disabled,Enabled" bitfld.long 0x10 12. " AID12E ,AXI ID 12 enable" "Disabled,Enabled" newline bitfld.long 0x10 11. " AID11E ,AXI ID 11 enable" "Disabled,Enabled" bitfld.long 0x10 10. " AID10E ,AXI ID 10 enable" "Disabled,Enabled" bitfld.long 0x10 9. " AID9E ,AXI ID 9 enable" "Disabled,Enabled" bitfld.long 0x10 8. " AID8E ,AXI ID 8 enable" "Disabled,Enabled" newline bitfld.long 0x10 7. " AID7E ,AXI ID 7 enable" "Disabled,Enabled" bitfld.long 0x10 6. " AID6E ,AXI ID 6 enable" "Disabled,Enabled" bitfld.long 0x10 5. " AID5E ,AXI ID 5 enable" "Disabled,Enabled" bitfld.long 0x10 4. " AID4E ,AXI ID 4 enable" "Disabled,Enabled" newline bitfld.long 0x10 3. " AID3E ,AXI ID 3 enable" "Disabled,Enabled" bitfld.long 0x10 2. " AID2E ,AXI ID 2 enable" "Disabled,Enabled" bitfld.long 0x10 1. " AID1E ,AXI ID 1 enable" "Disabled,Enabled" bitfld.long 0x10 0. " AID0E ,AXI ID 0 enable" "Disabled,Enabled" group.quad (0x280+0x20)++0x07 line.quad 0x00 "DMA1_ARD_TC,DMA1 AXI Read Timing Check Register" bitfld.quad 0x00 63. " ARTCE ,AXI read timing check enable" "Disabled,Enabled" bitfld.quad 0x00 62. " ARCT ,AXI read counter test" "No test,Test" bitfld.quad 0x00 61. " ARTT ,AXI read timer test" "No test,Test" bitfld.quad 0x00 60. " ARTL ,AXI read timer last" "First,Last" newline hexmask.quad.word 0x00 48.--59. 1. " ARL ,AXI read limit" hexmask.quad.tbyte 0x00 24.--43. 1. " ARLC ,AXI read late count" hexmask.quad.tbyte 0x00 0.--19. 1. " ARSC ,AXI read sample count" if (((per.l(ad:0x08000000+0x280+0x20))&0x8000000000000000)==0x00) group.long (0x280+0x2C)++0x03 line.long 0x00 "DMA1_ARD_LAT,DMA1 Read Timing Check Latency Register" else rgroup.long (0x280+0x2C)++0x03 line.long 0x00 "DMA1_ARD_LAT,DMA1 Read Timing Check Latency Register" endif group.quad (0x280+0x30)++0x07 line.quad 0x00 "DMA1_AWR_TC,DMA1 AXI Write Timing Check Register" bitfld.quad 0x00 63. " AWTCE ,AXI write timing check enable" "Disabled,Enabled" bitfld.quad 0x00 62. " AWCT ,AXI write counter test" "No test,Test" bitfld.quad 0x00 61. " AWTT ,AXI write timer test" "No test,Test" hexmask.quad.word 0x00 48.--59. 1. " AWL ,AXI write limit" newline hexmask.quad.tbyte 0x00 24.--43. 1. " AWLC ,AXI write late count" hexmask.quad.tbyte 0x00 0.--19. 1. " AWSC ,AXI write sample count" if (((per.l(ad:0x08000000+0x280+0x30))&0x8000000000000000)==0x00) group.long (0x280+0x3C)++0x03 line.long 0x00 "DMA1_AWR_LAT,DMA1 Write Timing Check Latency Register" else rgroup.long (0x280+0x3C)++0x03 line.long 0x00 "DMA1_AWR_LAT,DMA1 Write Timing Check Latency Register" endif group.byte 0x300++0x00 line.byte 0x00 "MPPKR0,Manufacturing Protection Private Key Register" group.byte 0x301++0x00 line.byte 0x00 "MPPKR1,Manufacturing Protection Private Key Register" group.byte 0x302++0x00 line.byte 0x00 "MPPKR2,Manufacturing Protection Private Key Register" group.byte 0x303++0x00 line.byte 0x00 "MPPKR3,Manufacturing Protection Private Key Register" group.byte 0x304++0x00 line.byte 0x00 "MPPKR4,Manufacturing Protection Private Key Register" group.byte 0x305++0x00 line.byte 0x00 "MPPKR5,Manufacturing Protection Private Key Register" group.byte 0x306++0x00 line.byte 0x00 "MPPKR6,Manufacturing Protection Private Key Register" group.byte 0x307++0x00 line.byte 0x00 "MPPKR7,Manufacturing Protection Private Key Register" group.byte 0x308++0x00 line.byte 0x00 "MPPKR8,Manufacturing Protection Private Key Register" group.byte 0x309++0x00 line.byte 0x00 "MPPKR9,Manufacturing Protection Private Key Register" group.byte 0x30A++0x00 line.byte 0x00 "MPPKR10,Manufacturing Protection Private Key Register" group.byte 0x30B++0x00 line.byte 0x00 "MPPKR11,Manufacturing Protection Private Key Register" group.byte 0x30C++0x00 line.byte 0x00 "MPPKR12,Manufacturing Protection Private Key Register" group.byte 0x30D++0x00 line.byte 0x00 "MPPKR13,Manufacturing Protection Private Key Register" group.byte 0x30E++0x00 line.byte 0x00 "MPPKR14,Manufacturing Protection Private Key Register" group.byte 0x30F++0x00 line.byte 0x00 "MPPKR15,Manufacturing Protection Private Key Register" group.byte 0x310++0x00 line.byte 0x00 "MPPKR16,Manufacturing Protection Private Key Register" group.byte 0x311++0x00 line.byte 0x00 "MPPKR17,Manufacturing Protection Private Key Register" group.byte 0x312++0x00 line.byte 0x00 "MPPKR18,Manufacturing Protection Private Key Register" group.byte 0x313++0x00 line.byte 0x00 "MPPKR19,Manufacturing Protection Private Key Register" group.byte 0x314++0x00 line.byte 0x00 "MPPKR20,Manufacturing Protection Private Key Register" group.byte 0x315++0x00 line.byte 0x00 "MPPKR21,Manufacturing Protection Private Key Register" group.byte 0x316++0x00 line.byte 0x00 "MPPKR22,Manufacturing Protection Private Key Register" group.byte 0x317++0x00 line.byte 0x00 "MPPKR23,Manufacturing Protection Private Key Register" group.byte 0x318++0x00 line.byte 0x00 "MPPKR24,Manufacturing Protection Private Key Register" group.byte 0x319++0x00 line.byte 0x00 "MPPKR25,Manufacturing Protection Private Key Register" group.byte 0x31A++0x00 line.byte 0x00 "MPPKR26,Manufacturing Protection Private Key Register" group.byte 0x31B++0x00 line.byte 0x00 "MPPKR27,Manufacturing Protection Private Key Register" group.byte 0x31C++0x00 line.byte 0x00 "MPPKR28,Manufacturing Protection Private Key Register" group.byte 0x31D++0x00 line.byte 0x00 "MPPKR29,Manufacturing Protection Private Key Register" group.byte 0x31E++0x00 line.byte 0x00 "MPPKR30,Manufacturing Protection Private Key Register" group.byte 0x31F++0x00 line.byte 0x00 "MPPKR31,Manufacturing Protection Private Key Register" group.byte 0x320++0x00 line.byte 0x00 "MPPKR32,Manufacturing Protection Private Key Register" group.byte 0x321++0x00 line.byte 0x00 "MPPKR33,Manufacturing Protection Private Key Register" group.byte 0x322++0x00 line.byte 0x00 "MPPKR34,Manufacturing Protection Private Key Register" group.byte 0x323++0x00 line.byte 0x00 "MPPKR35,Manufacturing Protection Private Key Register" group.byte 0x324++0x00 line.byte 0x00 "MPPKR36,Manufacturing Protection Private Key Register" group.byte 0x325++0x00 line.byte 0x00 "MPPKR37,Manufacturing Protection Private Key Register" group.byte 0x326++0x00 line.byte 0x00 "MPPKR38,Manufacturing Protection Private Key Register" group.byte 0x327++0x00 line.byte 0x00 "MPPKR39,Manufacturing Protection Private Key Register" group.byte 0x328++0x00 line.byte 0x00 "MPPKR40,Manufacturing Protection Private Key Register" group.byte 0x329++0x00 line.byte 0x00 "MPPKR41,Manufacturing Protection Private Key Register" group.byte 0x32A++0x00 line.byte 0x00 "MPPKR42,Manufacturing Protection Private Key Register" group.byte 0x32B++0x00 line.byte 0x00 "MPPKR43,Manufacturing Protection Private Key Register" group.byte 0x32C++0x00 line.byte 0x00 "MPPKR44,Manufacturing Protection Private Key Register" group.byte 0x32D++0x00 line.byte 0x00 "MPPKR45,Manufacturing Protection Private Key Register" group.byte 0x32E++0x00 line.byte 0x00 "MPPKR46,Manufacturing Protection Private Key Register" group.byte 0x32F++0x00 line.byte 0x00 "MPPKR47,Manufacturing Protection Private Key Register" group.byte 0x330++0x00 line.byte 0x00 "MPPKR48,Manufacturing Protection Private Key Register" group.byte 0x331++0x00 line.byte 0x00 "MPPKR49,Manufacturing Protection Private Key Register" group.byte 0x332++0x00 line.byte 0x00 "MPPKR50,Manufacturing Protection Private Key Register" group.byte 0x333++0x00 line.byte 0x00 "MPPKR51,Manufacturing Protection Private Key Register" group.byte 0x334++0x00 line.byte 0x00 "MPPKR52,Manufacturing Protection Private Key Register" group.byte 0x335++0x00 line.byte 0x00 "MPPKR53,Manufacturing Protection Private Key Register" group.byte 0x336++0x00 line.byte 0x00 "MPPKR54,Manufacturing Protection Private Key Register" group.byte 0x337++0x00 line.byte 0x00 "MPPKR55,Manufacturing Protection Private Key Register" group.byte 0x338++0x00 line.byte 0x00 "MPPKR56,Manufacturing Protection Private Key Register" group.byte 0x339++0x00 line.byte 0x00 "MPPKR57,Manufacturing Protection Private Key Register" group.byte 0x33A++0x00 line.byte 0x00 "MPPKR58,Manufacturing Protection Private Key Register" group.byte 0x33B++0x00 line.byte 0x00 "MPPKR59,Manufacturing Protection Private Key Register" group.byte 0x33C++0x00 line.byte 0x00 "MPPKR60,Manufacturing Protection Private Key Register" group.byte 0x33D++0x00 line.byte 0x00 "MPPKR61,Manufacturing Protection Private Key Register" group.byte 0x33E++0x00 line.byte 0x00 "MPPKR62,Manufacturing Protection Private Key Register" group.byte 0x33F++0x00 line.byte 0x00 "MPPKR63,Manufacturing Protection Private Key Register" group.byte 0x380++0x00 line.byte 0x00 "MPMR0,Manufacturing Protection Message Register" rgroup.byte (0x380+0x40)++0x00 line.byte 0x00 "MPTESTR0,Manufacturing Protection Test Register" group.byte 0x381++0x00 line.byte 0x00 "MPMR1,Manufacturing Protection Message Register" rgroup.byte (0x381+0x40)++0x00 line.byte 0x00 "MPTESTR1,Manufacturing Protection Test Register" group.byte 0x382++0x00 line.byte 0x00 "MPMR2,Manufacturing Protection Message Register" rgroup.byte (0x382+0x40)++0x00 line.byte 0x00 "MPTESTR2,Manufacturing Protection Test Register" group.byte 0x383++0x00 line.byte 0x00 "MPMR3,Manufacturing Protection Message Register" rgroup.byte (0x383+0x40)++0x00 line.byte 0x00 "MPTESTR3,Manufacturing Protection Test Register" group.byte 0x384++0x00 line.byte 0x00 "MPMR4,Manufacturing Protection Message Register" rgroup.byte (0x384+0x40)++0x00 line.byte 0x00 "MPTESTR4,Manufacturing Protection Test Register" group.byte 0x385++0x00 line.byte 0x00 "MPMR5,Manufacturing Protection Message Register" rgroup.byte (0x385+0x40)++0x00 line.byte 0x00 "MPTESTR5,Manufacturing Protection Test Register" group.byte 0x386++0x00 line.byte 0x00 "MPMR6,Manufacturing Protection Message Register" rgroup.byte (0x386+0x40)++0x00 line.byte 0x00 "MPTESTR6,Manufacturing Protection Test Register" group.byte 0x387++0x00 line.byte 0x00 "MPMR7,Manufacturing Protection Message Register" rgroup.byte (0x387+0x40)++0x00 line.byte 0x00 "MPTESTR7,Manufacturing Protection Test Register" group.byte 0x388++0x00 line.byte 0x00 "MPMR8,Manufacturing Protection Message Register" rgroup.byte (0x388+0x40)++0x00 line.byte 0x00 "MPTESTR8,Manufacturing Protection Test Register" group.byte 0x389++0x00 line.byte 0x00 "MPMR9,Manufacturing Protection Message Register" rgroup.byte (0x389+0x40)++0x00 line.byte 0x00 "MPTESTR9,Manufacturing Protection Test Register" group.byte 0x38A++0x00 line.byte 0x00 "MPMR10,Manufacturing Protection Message Register" rgroup.byte (0x38A+0x40)++0x00 line.byte 0x00 "MPTESTR10,Manufacturing Protection Test Register" group.byte 0x38B++0x00 line.byte 0x00 "MPMR11,Manufacturing Protection Message Register" rgroup.byte (0x38B+0x40)++0x00 line.byte 0x00 "MPTESTR11,Manufacturing Protection Test Register" group.byte 0x38C++0x00 line.byte 0x00 "MPMR12,Manufacturing Protection Message Register" rgroup.byte (0x38C+0x40)++0x00 line.byte 0x00 "MPTESTR12,Manufacturing Protection Test Register" group.byte 0x38D++0x00 line.byte 0x00 "MPMR13,Manufacturing Protection Message Register" rgroup.byte (0x38D+0x40)++0x00 line.byte 0x00 "MPTESTR13,Manufacturing Protection Test Register" group.byte 0x38E++0x00 line.byte 0x00 "MPMR14,Manufacturing Protection Message Register" rgroup.byte (0x38E+0x40)++0x00 line.byte 0x00 "MPTESTR14,Manufacturing Protection Test Register" group.byte 0x38F++0x00 line.byte 0x00 "MPMR15,Manufacturing Protection Message Register" rgroup.byte (0x38F+0x40)++0x00 line.byte 0x00 "MPTESTR15,Manufacturing Protection Test Register" group.byte 0x390++0x00 line.byte 0x00 "MPMR16,Manufacturing Protection Message Register" rgroup.byte (0x390+0x40)++0x00 line.byte 0x00 "MPTESTR16,Manufacturing Protection Test Register" group.byte 0x391++0x00 line.byte 0x00 "MPMR17,Manufacturing Protection Message Register" rgroup.byte (0x391+0x40)++0x00 line.byte 0x00 "MPTESTR17,Manufacturing Protection Test Register" group.byte 0x392++0x00 line.byte 0x00 "MPMR18,Manufacturing Protection Message Register" rgroup.byte (0x392+0x40)++0x00 line.byte 0x00 "MPTESTR18,Manufacturing Protection Test Register" group.byte 0x393++0x00 line.byte 0x00 "MPMR19,Manufacturing Protection Message Register" rgroup.byte (0x393+0x40)++0x00 line.byte 0x00 "MPTESTR19,Manufacturing Protection Test Register" group.byte 0x394++0x00 line.byte 0x00 "MPMR20,Manufacturing Protection Message Register" rgroup.byte (0x394+0x40)++0x00 line.byte 0x00 "MPTESTR20,Manufacturing Protection Test Register" group.byte 0x395++0x00 line.byte 0x00 "MPMR21,Manufacturing Protection Message Register" rgroup.byte (0x395+0x40)++0x00 line.byte 0x00 "MPTESTR21,Manufacturing Protection Test Register" group.byte 0x396++0x00 line.byte 0x00 "MPMR22,Manufacturing Protection Message Register" rgroup.byte (0x396+0x40)++0x00 line.byte 0x00 "MPTESTR22,Manufacturing Protection Test Register" group.byte 0x397++0x00 line.byte 0x00 "MPMR23,Manufacturing Protection Message Register" rgroup.byte (0x397+0x40)++0x00 line.byte 0x00 "MPTESTR23,Manufacturing Protection Test Register" group.byte 0x398++0x00 line.byte 0x00 "MPMR24,Manufacturing Protection Message Register" rgroup.byte (0x398+0x40)++0x00 line.byte 0x00 "MPTESTR24,Manufacturing Protection Test Register" group.byte 0x399++0x00 line.byte 0x00 "MPMR25,Manufacturing Protection Message Register" rgroup.byte (0x399+0x40)++0x00 line.byte 0x00 "MPTESTR25,Manufacturing Protection Test Register" group.byte 0x39A++0x00 line.byte 0x00 "MPMR26,Manufacturing Protection Message Register" rgroup.byte (0x39A+0x40)++0x00 line.byte 0x00 "MPTESTR26,Manufacturing Protection Test Register" group.byte 0x39B++0x00 line.byte 0x00 "MPMR27,Manufacturing Protection Message Register" rgroup.byte (0x39B+0x40)++0x00 line.byte 0x00 "MPTESTR27,Manufacturing Protection Test Register" group.byte 0x39C++0x00 line.byte 0x00 "MPMR28,Manufacturing Protection Message Register" rgroup.byte (0x39C+0x40)++0x00 line.byte 0x00 "MPTESTR28,Manufacturing Protection Test Register" group.byte 0x39D++0x00 line.byte 0x00 "MPMR29,Manufacturing Protection Message Register" rgroup.byte (0x39D+0x40)++0x00 line.byte 0x00 "MPTESTR29,Manufacturing Protection Test Register" group.byte 0x39E++0x00 line.byte 0x00 "MPMR30,Manufacturing Protection Message Register" rgroup.byte (0x39E+0x40)++0x00 line.byte 0x00 "MPTESTR30,Manufacturing Protection Test Register" group.byte 0x39F++0x00 line.byte 0x00 "MPMR31,Manufacturing Protection Message Register" rgroup.byte (0x39F+0x40)++0x00 line.byte 0x00 "MPTESTR31,Manufacturing Protection Test Register" rgroup.long 0x3F8++0x03 line.long 0x00 "MPECC,Manufacturing Protection ECC Register" bitfld.long 0x00 27. " MP_ZERO ,SFP non-zero value indication" "Non-zero,All zero" hexmask.long.word 0x00 16.--24. 1. " MP_SYNDROME ,Syndrome produced by ECC check" width 10. tree "Descriptor Key Encryption Key Registers" if (((per.l(ad:0x08000000+0xFD4))&0x300)==0x00) group.long 0x400++0x03 line.long 0x00 "JDKEKR0,Job Descriptor Key Encryption Key Register 0" group.long 0x404++0x03 line.long 0x00 "JDKEKR1,Job Descriptor Key Encryption Key Register 1" group.long 0x408++0x03 line.long 0x00 "JDKEKR2,Job Descriptor Key Encryption Key Register 2" group.long 0x40C++0x03 line.long 0x00 "JDKEKR3,Job Descriptor Key Encryption Key Register 3" group.long 0x410++0x03 line.long 0x00 "JDKEKR4,Job Descriptor Key Encryption Key Register 4" group.long 0x414++0x03 line.long 0x00 "JDKEKR5,Job Descriptor Key Encryption Key Register 5" group.long 0x418++0x03 line.long 0x00 "JDKEKR6,Job Descriptor Key Encryption Key Register 6" group.long 0x41C++0x03 line.long 0x00 "JDKEKR7,Job Descriptor Key Encryption Key Register 7" group.long 0x420++0x03 line.long 0x00 "TDKEKR00,Trusted Descriptor Key Encryption Key Register 0" group.long 0x424++0x03 line.long 0x00 "TDKEKR01,Trusted Descriptor Key Encryption Key Register 1" group.long 0x428++0x03 line.long 0x00 "TDKEKR02,Trusted Descriptor Key Encryption Key Register 2" group.long 0x42C++0x03 line.long 0x00 "TDKEKR03,Trusted Descriptor Key Encryption Key Register 3" group.long 0x430++0x03 line.long 0x00 "TDKEKR04,Trusted Descriptor Key Encryption Key Register 4" group.long 0x434++0x03 line.long 0x00 "TDKEKR05,Trusted Descriptor Key Encryption Key Register 5" group.long 0x438++0x03 line.long 0x00 "TDKEKR06,Trusted Descriptor Key Encryption Key Register 6" group.long 0x43C++0x03 line.long 0x00 "TDKEKR07,Trusted Descriptor Key Encryption Key Register 7" group.long 0x440++0x03 line.long 0x00 "TDSKR0,Trusted Descriptor Signing Key Register 0" group.long 0x444++0x03 line.long 0x00 "TDSKR1,Trusted Descriptor Signing Key Register 1" group.long 0x448++0x03 line.long 0x00 "TDSKR2,Trusted Descriptor Signing Key Register 2" group.long 0x44C++0x03 line.long 0x00 "TDSKR3,Trusted Descriptor Signing Key Register 3" group.long 0x450++0x03 line.long 0x00 "TDSKR4,Trusted Descriptor Signing Key Register 4" group.long 0x454++0x03 line.long 0x00 "TDSKR5,Trusted Descriptor Signing Key Register 5" group.long 0x458++0x03 line.long 0x00 "TDSKR6,Trusted Descriptor Signing Key Register 6" group.long 0x45C++0x03 line.long 0x00 "TDSKR7,Trusted Descriptor Signing Key Register 7" else hgroup.long 0x400++0x03 hide.long 0x00 "JDKEKR0,Job Descriptor Key Encryption Key Register 0" hgroup.long 0x404++0x03 hide.long 0x00 "JDKEKR1,Job Descriptor Key Encryption Key Register 1" hgroup.long 0x408++0x03 hide.long 0x00 "JDKEKR2,Job Descriptor Key Encryption Key Register 2" hgroup.long 0x40C++0x03 hide.long 0x00 "JDKEKR3,Job Descriptor Key Encryption Key Register 3" hgroup.long 0x410++0x03 hide.long 0x00 "JDKEKR4,Job Descriptor Key Encryption Key Register 4" hgroup.long 0x414++0x03 hide.long 0x00 "JDKEKR5,Job Descriptor Key Encryption Key Register 5" hgroup.long 0x418++0x03 hide.long 0x00 "JDKEKR6,Job Descriptor Key Encryption Key Register 6" hgroup.long 0x41C++0x03 hide.long 0x00 "JDKEKR7,Job Descriptor Key Encryption Key Register 7" hgroup.long 0x420++0x03 hide.long 0x00 "TDKEKR00,Trusted Descriptor Key Encryption Key Register 0" hgroup.long 0x424++0x03 hide.long 0x00 "TDKEKR01,Trusted Descriptor Key Encryption Key Register 1" hgroup.long 0x428++0x03 hide.long 0x00 "TDKEKR02,Trusted Descriptor Key Encryption Key Register 2" hgroup.long 0x42C++0x03 hide.long 0x00 "TDKEKR03,Trusted Descriptor Key Encryption Key Register 3" hgroup.long 0x430++0x03 hide.long 0x00 "TDKEKR04,Trusted Descriptor Key Encryption Key Register 4" hgroup.long 0x434++0x03 hide.long 0x00 "TDKEKR05,Trusted Descriptor Key Encryption Key Register 5" hgroup.long 0x438++0x03 hide.long 0x00 "TDKEKR06,Trusted Descriptor Key Encryption Key Register 6" hgroup.long 0x43C++0x03 hide.long 0x00 "TDKEKR07,Trusted Descriptor Key Encryption Key Register 7" hgroup.long 0x440++0x03 hide.long 0x00 "TDSKR0,Trusted Descriptor Signing Key Register 0" hgroup.long 0x444++0x03 hide.long 0x00 "TDSKR1,Trusted Descriptor Signing Key Register 1" hgroup.long 0x448++0x03 hide.long 0x00 "TDSKR2,Trusted Descriptor Signing Key Register 2" hgroup.long 0x44C++0x03 hide.long 0x00 "TDSKR3,Trusted Descriptor Signing Key Register 3" hgroup.long 0x450++0x03 hide.long 0x00 "TDSKR4,Trusted Descriptor Signing Key Register 4" hgroup.long 0x454++0x03 hide.long 0x00 "TDSKR5,Trusted Descriptor Signing Key Register 5" hgroup.long 0x458++0x03 hide.long 0x00 "TDSKR6,Trusted Descriptor Signing Key Register 6" hgroup.long 0x45C++0x03 hide.long 0x00 "TDSKR7,Trusted Descriptor Signing Key Register 7" endif tree.end newline width 21. if (((per.l(ad:0x08000000+0xFD4))&0x300)==0x00) group.quad 0x4E0++0x07 line.quad 0x00 "SKNR,Secure Key Nonce Register" hexmask.quad.word 0x00 32.--44. 1. " SK_NONCE_MS ,Secure key nonce most significant bits" hexmask.quad.long 0x00 0.--31. 1. " SK_NONCE_LS ,Secure key nonce least significant bits" else rgroup.quad 0x4E0++0x07 line.quad 0x00 "SKNR,Secure Key Nonce Register" hexmask.quad.word 0x00 32.--44. 1. " SK_NONCE_MS ,Secure key nonce most significant bits" hexmask.quad.long 0x00 0.--31. 1. " SK_NONCE_LS ,Secure key nonce least significant bits" endif group.long 0x504++0x03 line.long 0x00 "DMA_CTRL,DMA Control Register" bitfld.long 0x00 8. " DAI ,DMA access index" "0,1" bitfld.long 0x00 1. " WSE ,Write safe enable" "Disabled,Enabled" bitfld.long 0x00 0. " RSE ,Read safe enable" "Disabled,Enabled" rgroup.long 0x50C++0x13 line.long 0x00 "DMA_STA,DMA Status Register" bitfld.long 0x00 15. " DMA1_IDLE ,DMA1 is idle" "Busy,Idle" bitfld.long 0x00 8.--12. " DMA1_ETIF ,DMA1 external transactions in flight" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 7. " DMA0_IDLE ,DMA0 is idle" "Busy,Idle" bitfld.long 0x00 0.--4. " DMA0_ETIF ,DMA0 external transactions in flight" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "DMA_X_AID_7_4_MAP,Mapping For DMA AXI IDs 7-4 Register" hexmask.long.byte 0x04 24.--31. 1. " AID7_BID ,SEC block ID using AXI ID 7" hexmask.long.byte 0x04 16.--23. 1. " AID6_BID ,SEC block ID using AXI ID 6" hexmask.long.byte 0x04 8.--15. 1. " AID5_BID ,SEC block ID using AXI ID 5" hexmask.long.byte 0x04 0.--7. 1. " AID4_BID ,SEC block ID using AXI ID 4" line.long 0x08 "DMA_X_AID_3_0_MAP,Mapping For DMA AXI IDs 3-0 Register" hexmask.long.byte 0x08 24.--31. 1. " AID3_BID ,SEC block ID using AXI ID 3" hexmask.long.byte 0x08 16.--23. 1. " AID2_BID ,SEC block ID using AXI ID 2" hexmask.long.byte 0x08 8.--15. 1. " AID1_BID ,SEC block ID using AXI ID 1" hexmask.long.byte 0x08 0.--7. 1. " AID0_BID ,SEC block ID using AXI ID 0" line.long 0x0C "DMA_X_AID_15_12_MAP,Mapping For DMA AXI IDs 15-12 Register" hexmask.long.byte 0x0C 24.--31. 1. " AID15_BID ,SEC block ID using AXI ID 15" hexmask.long.byte 0x0C 16.--23. 1. " AID14_BID ,SEC block ID using AXI ID 14" hexmask.long.byte 0x0C 8.--15. 1. " AID13_BID ,SEC block ID using AXI ID 13" hexmask.long.byte 0x0C 0.--7. 1. " AID12_BID ,SEC block ID using AXI ID 12" line.long 0x10 "DMA_X_AID_11_8_MAP,Mapping For DMA AXI IDs 11-8 Register" hexmask.long.byte 0x10 24.--31. 1. " AID11_BID ,SEC block ID using AXI ID 11" hexmask.long.byte 0x10 16.--23. 1. " AID10_BID ,SEC block ID using AXI ID 10" hexmask.long.byte 0x10 8.--15. 1. " AID9_BID ,SEC block ID using AXI ID 9" hexmask.long.byte 0x10 0.--7. 1. " AID8_BID ,SEC block ID using AXI ID 8" rgroup.long 0x524++0x03 line.long 0x00 "DMA_X_AID_15_0_EN,DMA_X AXI ID Map Enable Register" bitfld.long 0x00 15. " AID15E ,DMA engine 15 enabled" "Disabled,Enabled" bitfld.long 0x00 14. " AID14E ,DMA engine 14 enabled" "Disabled,Enabled" bitfld.long 0x00 13. " AID13E ,DMA engine 13 enabled" "Disabled,Enabled" bitfld.long 0x00 12. " AID12E ,DMA engine 12 enabled" "Disabled,Enabled" newline bitfld.long 0x00 11. " AID11E ,DMA engine 11 enabled" "Disabled,Enabled" bitfld.long 0x00 10. " AID10E ,DMA engine 10 enabled" "Disabled,Enabled" bitfld.long 0x00 9. " AID9E ,DMA engine 9 enabled" "Disabled,Enabled" bitfld.long 0x00 8. " AID8E ,DMA engine 8 enabled" "Disabled,Enabled" newline bitfld.long 0x00 7. " AID7E ,DMA engine 7 enabled" "Disabled,Enabled" bitfld.long 0x00 6. " AID6E ,DMA engine 6 enabled" "Disabled,Enabled" bitfld.long 0x00 5. " AID5E ,DMA engine 5 enabled" "Disabled,Enabled" bitfld.long 0x00 4. " AID4E ,DMA engine 4 enabled" "Disabled,Enabled" newline bitfld.long 0x00 3. " AID3E ,DMA engine 3 enabled" "Disabled,Enabled" bitfld.long 0x00 2. " AID2E ,DMA engine 2 enabled" "Disabled,Enabled" bitfld.long 0x00 1. " AID1E ,DMA engine 1 enabled" "Disabled,Enabled" bitfld.long 0x00 0. " AID0E ,DMA engine 0 enabled" "Disabled,Enabled" group.long 0x530++0x03 line.long 0x00 "DMA_X_ARTC_CTL,DMA_X AXI Read Timing Check Control Register" bitfld.long 0x00 31. " ARTCE ,AXI read timing check enable" "Disabled,Enabled" bitfld.long 0x00 30. " ARCT ,AXI read counter test" "No test,Test" bitfld.long 0x00 29. " ARTT ,AXI read timer test" "No test,Test" bitfld.long 0x00 28. " ARTL ,AXI read timer last" "First,Last" newline hexmask.long.word 0x00 16.--27. 1. " ARL ,AXI read limit" hexmask.long.word 0x00 0.--11. 1. " ART ,AXI read timer" if (((per.l(ad:0x08000000+0x530))&0x80000000)==0x00) group.long 0x534++0x03 line.long 0x00 "DMA_X_ARTC_LC,DMA_X AXI Read Timing Check Late Count Register" hexmask.long.tbyte 0x00 0.--19. 1. " ARLC ,AXI read late count" wgroup.long 0x538++0x03 line.long 0x00 "DMA_X_ARTC_SC,DMA_X AXI Read Timing Check Sample Count Register" hexmask.long.tbyte 0x00 0.--19. 1. " ARSC ,AXI read sample count" group.long 0x53C++0x03 line.long 0x00 "DMA_X_ARTC_LAT,DMA_X Read Timing Check Latency Register" else rgroup.long 0x534++0x03 line.long 0x00 "DMA_X_ARTC_LC,DMA_X AXI Read Timing Check Late Count Register" hexmask.long.tbyte 0x00 0.--19. 1. " ARLC ,AXI read late count" group.long 0x538++0x03 line.long 0x00 "DMA_X_ARTC_SC,DMA_X AXI Read Timing Check Sample Count Register" hexmask.long.tbyte 0x00 0.--19. 1. " ARSC ,AXI read sample count" rgroup.long 0x53C++0x03 line.long 0x00 "DMA_X_ARTC_LAT,DMA_X Read Timing Check Latency Register" endif group.long 0x540++0x03 line.long 0x00 "DMA_X_AWTC_CTL,DMA_X AXI Write Timing Check Control Register" bitfld.long 0x00 31. " AWTCE ,AXI write timing check enable" "Disabled,Enabled" bitfld.long 0x00 30. " AWCT ,AXI write counter test" "No test,Test" bitfld.long 0x00 29. " AWTT ,AXI write timer test" "No test,Test" hexmask.long.word 0x00 16.--27. 1. " AWL ,AXI write limit" newline hexmask.long.word 0x00 0.--11. 1. " AWT ,AXI write timer" if (((per.l(ad:0x08000000+0x540))&0x80000000)==0x00) group.long 0x544++0x03 line.long 0x00 "DMA_X_AWTC_LC,DMA_X AXI Write Timing Check Late Count Register" hexmask.long.tbyte 0x00 0.--19. 1. " AWLC ,AXI write late count" wgroup.long 0x548++0x03 line.long 0x00 "DMA_X_AWTC_SC,DMA_X AXI Write Timing Check Sample Count Register" hexmask.long.tbyte 0x00 0.--19. 1. " AWSC ,AXI write sample count" group.long 0x54C++0x03 line.long 0x00 "DMA_X_AWTC_LAT,DMA_X Write Timing Check Latency Register" else rgroup.long 0x544++0x03 line.long 0x00 "DMA_X_AWTC_LC,DMA_X AXI Write Timing Check Late Count Register" hexmask.long.tbyte 0x00 0.--19. 1. " AWLC ,AXI write late count" group.long 0x548++0x03 line.long 0x00 "DMA_X_AWTC_SC,DMA_X AXI Write Timing Check Sample Count Register" hexmask.long.tbyte 0x00 0.--19. 1. " AWSC ,AXI write sample count" rgroup.long 0x54C++0x03 line.long 0x00 "DMA_X_AWTC_LAT,DMA_X Write Timing Check Latency Register" endif width 12. tree "RNG (Random-Number Generator) Registers" if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) group.long 0x600++0x0F line.long 0x00 "RTMCTL,RNG TRNG Miscellaneous Control Register" bitfld.long 0x00 16. " PRGM ,Programming mode select" "Run mode,Program mode" rbitfld.long 0x00 15. " OSC2_FAIL ,Oscillator 2 fail indication" "Not failed,Failed" bitfld.long 0x00 14. " LRUN_CONT ,Long run count continue between entropy generations" "Not continued,Continued" rbitfld.long 0x00 13. " TSTOP_OK ,TRNG ring oscillator stopped" "Running,Stopped" newline eventfld.long 0x00 12. " ERR ,Error status" "Not detected,Detected" rbitfld.long 0x00 11. " TST_OUT ,Test point inside ring oscillator" "0,1" rbitfld.long 0x00 10. " ENT_VAL ,Entropy valid" "Not valid,Valid" rbitfld.long 0x00 9. " FCT_VAL ,Frequency count valid" "Not valid,Valid" newline rbitfld.long 0x00 8. " FCT_FAIL ,Frequency count fail" "Not failed,Failed" bitfld.long 0x00 7. " FORCE_SYSCLK ,Force system clock" "Ring oscillator,TRNG" bitfld.long 0x00 6. " RST_DEF ,Reset defaults" "No reset,Reset" bitfld.long 0x00 5. " TRNG_ACC ,TRNG access mode" "Not set,Set" newline bitfld.long 0x00 4. " CLK_OUT_EN ,Clock output enable" "Disabled,Enabled" bitfld.long 0x00 2.--3. " OSC_DIV ,Oscillator divide" "No divide,/2,/4,/8" bitfld.long 0x00 0.--1. " SAMP_MODE ,Sample mode [entropy shifter/statistical checker]" "Von Neumann/Von Neumann,Raw/Von Neumann,Von Neumann/Raw,?..." line.long 0x04 "RTSCMISC,RNG TRNG Statistical Check Miscellaneous Register" bitfld.long 0x04 16.--19. " RTY_CNT ,RETRY COUNT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x04 0.--7. 1. " LRUN_MAX ,LONG RUN MAX LIMIT" line.long 0x08 "RTPKRRNG,RNG TRNG Poker Range Register" hexmask.long.word 0x08 0.--15. 1. " PKR_RNG ,Poker range" line.long 0x0C "RTPKRMAX,RNG TRNG Poker Maximum Limit Register" hexmask.long.tbyte 0x0C 0.--23. 1. " PKR_RNG ,Poker maximum limit" wgroup.long 0x610++0x03 line.long 0x00 "RTSDCTL,RNG TRNG Seed Control Register" hexmask.long.word 0x00 16.--31. 1. " ENT_DLY ,Entropy delay" hexmask.long.word 0x00 0.--15. 1. " SAMP_SIZE ,Sample size" group.long 0x614++0x27 line.long 0x00 "RTSBLIM,RNG TRNG Sparse Bit Limit Register" hexmask.long.word 0x00 0.--9. 1. " SB_LIM ,Sparse bit limit" line.long 0x04 "RTFRQMIN,RNG TRNG Frequency Count Minimum Limit Register" hexmask.long.tbyte 0x04 0.--21. 1. " FRQ_MIN ,Frequency count minimum limit" line.long 0x08 "RTFRQMAX,RNG TRNG Frequency Count Maximum Limit Register" hexmask.long.tbyte 0x08 0.--21. 1. " FRQ_MAX ,Frequency counter maximum limit" line.long 0x0C "RTSCML,RNG TRNG Statistical Check Monobit Limit Register" hexmask.long.word 0x0C 16.--31. 1. " MONO_RNG ,Monibit range" hexmask.long.word 0x0C 0.--15. 1. " MONO_MAX ,Monobit maximum limit" line.long 0x10 "RTSCR1L,RNG TRNG Statistical Check Run Length 1 Limit Register" hexmask.long.word 0x10 16.--30. 1. " RUN1_RNG ,Run length 1 range" hexmask.long.word 0x10 0.--14. 1. " RUN1_MAX ,Run length 1 maximum limit" line.long 0x14 "RTSCR2L,RNG TRNG Statistical Check Run Length 2 Limit Register" hexmask.long.word 0x14 16.--29. 1. " RUN2_RNG ,Run length 2 range" hexmask.long.word 0x14 0.--13. 1. " RUN2_MAX ,Run length 2 maximum limit" line.long 0x18 "RTSCR3L,RNG TRNG Statistical Check Run Length 3 Limit Register" hexmask.long.word 0x18 16.--28. 1. " RUN3_RNG ,Run length 3 range" hexmask.long.word 0x18 0.--12. 1. " RUN3_MAX ,Run length 3 maximum limit" line.long 0x1C "RTSCR4L,RNG TRNG Statistical Check Run Length 4 Limit Register" hexmask.long.word 0x1C 16.--27. 1. " RUN4_RNG ,Run length 4 range" hexmask.long.word 0x1C 0.--11. 1. " RUN4_MAX ,Run length 4 maximum limit" line.long 0x20 "RTSCR5L,RNG TRNG Statistical Check Run Length 5 Limit Register" hexmask.long.word 0x20 16.--26. 1. " RUN5_RNG ,Run length 5 range" hexmask.long.word 0x20 0.--10. 1. " RUN5_MAX ,Run length 5 maximum limit" line.long 0x24 "RTSCR6PL,RNG TRNG Statistical Check Run Length 6+ Limit Register" hexmask.long.word 0x24 16.--26. 1. " RUN6P_RNG ,Run length 6+ range" hexmask.long.word 0x24 0.--10. 1. " RUN6P_MAX ,Run length 6+ maximum limit" else group.long 0x600++0x03 line.long 0x00 "RTMCTL,RNG TRNG Miscellaneous Control Register" bitfld.long 0x00 16. " PRGM ,Programming mode select" "Run mode,Program mode" rbitfld.long 0x00 15. " OSC2_FAIL ,Oscillator 2 fail indication" "Not failed,Failed" bitfld.long 0x00 14. " LRUN_CONT ,Long run count continue between entropy generations" "Not continued,Continued" rbitfld.long 0x00 13. " TSTOP_OK ,TRNG ring oscillator stopped" "Running,Stopped" newline eventfld.long 0x00 12. " ERR ,Error status" "Not detected,Detected" rbitfld.long 0x00 11. " TST_OUT ,Test point inside ring oscillator" "0,1" rbitfld.long 0x00 10. " ENT_VAL ,Entropy valid" "Not valid,Valid" rbitfld.long 0x00 9. " FCT_VAL ,Frequency count valid" "Not valid,Valid" newline rbitfld.long 0x00 8. " FCT_FAIL ,Frequency count fail" "Not failed,Failed" rbitfld.long 0x00 7. " FORCE_SYSCLK ,Force system clock" "Ring oscillator,TRNG" rbitfld.long 0x00 6. " RST_DEF ,Reset defaults" "No reset,Reset" bitfld.long 0x00 5. " TRNG_ACC ,TRNG access mode" "Not set,Set" newline bitfld.long 0x00 4. " CLK_OUT_EN ,Clock output enable" "Disabled,Enabled" rbitfld.long 0x00 2.--3. " OSC_DIV ,Oscillator divide" "No divide,/2,/4,/8" rbitfld.long 0x00 0.--1. " SAMP_MODE ,Sample mode [entropy shifter/statistical checker]" "Von Neumann/Von Neumann,Raw/Von Neumann,Von Neumann/Raw,?..." hgroup.long 0x604++0x03 hide.long 0x00 "RTSCMISC,RNG TRNG Statistical Check Miscellaneous Register" rgroup.long 0x608++0x07 line.long 0x00 "RTPKRRNG,RNG TRNG Poker Range Register" hexmask.long.word 0x00 0.--15. 1. " PKR_RNG ,Poker range" line.long 0x04 "RTPKRSQ,RNG TRNG Poker Square Calculation Result Register" hexmask.long.tbyte 0x04 0.--23. 1. " PKR_SQ ,Poker square calculation result" hgroup.long 0x610++0x03 hide.long 0x00 "RTSDCTL,RNG TRNG Seed Control Register" rgroup.long 0x614++0x27 line.long 0x00 "RTTOTSAM,RNG TRNG Total Samples Register" hexmask.long.tbyte 0x00 0.--19. 1. " TOT_SAM ,Total samples" line.long 0x04 "RTFTQCNT2,RNG TRNG Oscillator 2 Frequency Count Register" hexmask.long.tbyte 0x04 0.--21. 1. " FRQ_CNT ,Frequency count" line.long 0x08 "RTFRQCNT,RNG TRNG Frequency Count Register" hexmask.long.tbyte 0x08 0.--21. 1. " FRQ_CNT ,Frequency count" line.long 0x0C "RTSCMC,RNG TRNG Statistical Check Monobit Count Register" hexmask.long.word 0x0C 0.--15. 1. " MONO_CNT ,Monobit count" line.long 0x10 "RTSCR1C,RNG TRNG Statistical Check Run Length 1 Count Register" hexmask.long.word 0x10 16.--30. 1. " R1_1_COUNT ,Runs of ones" hexmask.long.word 0x10 0.--14. 1. " R1_0_COUNT ,Runs of zeros" line.long 0x14 "RTSCR2C,RNG TRNG Statistical Check Run Length 2 Count Register" hexmask.long.word 0x14 16.--29. 1. " R2_1_COUNT ,Runs of ones" hexmask.long.word 0x14 0.--13. 1. " R2_0_COUNT ,Runs of zeros" line.long 0x18 "RTSCR3C,RNG TRNG Statistical Check Run Length 3 Count Register" hexmask.long.word 0x18 16.--28. 1. " R3_1_COUNT ,Runs of ones" hexmask.long.word 0x18 0.--12. 1. " R3_0_COUNT ,Runs of zeros" line.long 0x1C "RTSCR4C,RNG TRNG Statistical Check Run Length 4 Count Register" hexmask.long.word 0x1C 16.--27. 1. " R4_1_COUNT ,Runs of ones" hexmask.long.word 0x1C 0.--11. 1. " R4_0_COUNT ,Runs of zeros" line.long 0x20 "RTSCR5C,RNG TRNG Statistical Check Run Length 5 Count Register" hexmask.long.word 0x20 16.--26. 1. " R5_1_COUNT ,Runs of ones" hexmask.long.word 0x20 0.--10. 1. " R5_0_COUNT ,Runs of zeros" line.long 0x24 "RTSCR6PC,RNG TRNG Statistical Check Run Length 6+ Count Register" hexmask.long.word 0x24 16.--26. 1. " R6P_1_COUNT ,Runs of ones" hexmask.long.word 0x24 0.--10. 1. " R6P_0_COUNT ,Runs of zeros" endif rgroup.long 0x63C++0x03 line.long 0x00 "RTSTATUS,RNG TRNG Status Register" bitfld.long 0x00 16.--19. " RETRY_COUNT ,RETRY COUNT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " FMBTF ,Mono bit test fail" "Not failed,Failed" bitfld.long 0x00 14. " FPTF ,Poker test fail" "Not failed,Failed" newline bitfld.long 0x00 13. " FLRTF ,Long run test fail" "Not failed,Failed" bitfld.long 0x00 12. " FSBTF ,Sparse bit test fail" "Not failed,Failed" bitfld.long 0x00 11. " F6PBR1TF ,6 plus bit run sampling 1s test fail" "Not failed,Failed" newline bitfld.long 0x00 10. " F6PBR0TF ,6 plus bit run sampling 0s test fail" "Not failed,Failed" bitfld.long 0x00 9. " F5BR1TF ,5-bit run sampling 1s test fail" "Not failed,Failed" bitfld.long 0x00 8. " F5BR0TF ,5-bit run sampling 0s test fail" "Not failed,Failed" newline bitfld.long 0x00 7. " F4BR1TF ,4-bit run sampling 1s test fail" "Not failed,Failed" bitfld.long 0x00 6. " F4BR0TF ,4-bit run sampling 0s test fail" "Not failed,Failed" bitfld.long 0x00 5. " F3BR1TF ,3-bit run sampling 1s test fail" "Not failed,Failed" newline bitfld.long 0x00 4. " F3BR01TF ,3-bit run sampling 0s test fail" "Not failed,Failed" bitfld.long 0x00 3. " F2BR1TF ,2-bit run sampling 1s test fail" "Not failed,Failed" bitfld.long 0x00 2. " F2BR0TF ,2-bit run sampling 0s test fail" "Not failed,Failed" newline bitfld.long 0x00 1. " F1BR1TF ,1-bit run sampling 1s test fail" "Not failed,Failed" bitfld.long 0x00 0. " F1BR0TF ,1-bit run sampling 0s test fail" "Not failed,Failed" newline if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x640++0x03 hide.long 0x00 "RTENT0,RNG TRNG Entropy Read Register 0" in else rgroup.long 0x640++0x03 line.long 0x00 "RTENT0,RNG TRNG Entropy Read Register 0" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x644++0x03 hide.long 0x00 "RTENT1,RNG TRNG Entropy Read Register 1" in else rgroup.long 0x644++0x03 line.long 0x00 "RTENT1,RNG TRNG Entropy Read Register 1" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x648++0x03 hide.long 0x00 "RTENT2,RNG TRNG Entropy Read Register 2" in else rgroup.long 0x648++0x03 line.long 0x00 "RTENT2,RNG TRNG Entropy Read Register 2" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x64C++0x03 hide.long 0x00 "RTENT3,RNG TRNG Entropy Read Register 3" in else rgroup.long 0x64C++0x03 line.long 0x00 "RTENT3,RNG TRNG Entropy Read Register 3" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x650++0x03 hide.long 0x00 "RTENT4,RNG TRNG Entropy Read Register 4" in else rgroup.long 0x650++0x03 line.long 0x00 "RTENT4,RNG TRNG Entropy Read Register 4" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x654++0x03 hide.long 0x00 "RTENT5,RNG TRNG Entropy Read Register 5" in else rgroup.long 0x654++0x03 line.long 0x00 "RTENT5,RNG TRNG Entropy Read Register 5" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x658++0x03 hide.long 0x00 "RTENT6,RNG TRNG Entropy Read Register 6" in else rgroup.long 0x658++0x03 line.long 0x00 "RTENT6,RNG TRNG Entropy Read Register 6" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x65C++0x03 hide.long 0x00 "RTENT7,RNG TRNG Entropy Read Register 7" in else rgroup.long 0x65C++0x03 line.long 0x00 "RTENT7,RNG TRNG Entropy Read Register 7" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x660++0x03 hide.long 0x00 "RTENT8,RNG TRNG Entropy Read Register 8" in else rgroup.long 0x660++0x03 line.long 0x00 "RTENT8,RNG TRNG Entropy Read Register 8" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x664++0x03 hide.long 0x00 "RTENT9,RNG TRNG Entropy Read Register 9" in else rgroup.long 0x664++0x03 line.long 0x00 "RTENT9,RNG TRNG Entropy Read Register 9" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x668++0x03 hide.long 0x00 "RTENT10,RNG TRNG Entropy Read Register 10" in else rgroup.long 0x668++0x03 line.long 0x00 "RTENT10,RNG TRNG Entropy Read Register 10" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x66C++0x03 hide.long 0x00 "RTENT11,RNG TRNG Entropy Read Register 11" in else rgroup.long 0x66C++0x03 line.long 0x00 "RTENT11,RNG TRNG Entropy Read Register 11" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x670++0x03 hide.long 0x00 "RTENT12,RNG TRNG Entropy Read Register 12" in else rgroup.long 0x670++0x03 line.long 0x00 "RTENT12,RNG TRNG Entropy Read Register 12" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x674++0x03 hide.long 0x00 "RTENT13,RNG TRNG Entropy Read Register 13" in else rgroup.long 0x674++0x03 line.long 0x00 "RTENT13,RNG TRNG Entropy Read Register 13" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x678++0x03 hide.long 0x00 "RTENT14,RNG TRNG Entropy Read Register 14" in else rgroup.long 0x678++0x03 line.long 0x00 "RTENT14,RNG TRNG Entropy Read Register 14" endif if ((per.l(ad:0x08000000+0x600)&0x10000)==0x10000) hgroup.long 0x67C++0x03 hide.long 0x00 "RTENT15,RNG TRNG Entropy Read Register 15" in else hgroup.long 0x67C++0x03 hide.long 0x00 "RTENT15,RNG TRNG Entropy Read Register 15" in endif newline if (((per.l(ad:0x08000000+0x600))&0x10000)==0x00) rgroup.long 0x680++0x1F line.long 0x00 "RTPKRCNT10,RNG TRNG Statistical Check Poker Count 1 And 0 Register" hexmask.long.word 0x00 16.--31. 1. " PKR_1_CNT ,Poker 1h count" hexmask.long.word 0x00 0.--15. 1. " PKR_0_CNT ,Poker 0h count" line.long 0x04 "RTPKRCNT32,RNG TRNG Statistical Check Poker Count 3 And 2 Register" hexmask.long.word 0x04 16.--31. 1. " PKR_3_CNT ,Poker 3h count" hexmask.long.word 0x04 0.--15. 1. " PKR_2_CNT ,Poker 2h count" line.long 0x08 "RTPKRCNT54,RNG TRNG Statistical Check Poker Count 5 And 4 Register" hexmask.long.word 0x08 16.--31. 1. " PKR_5_CNT ,Poker 5h count" hexmask.long.word 0x08 0.--15. 1. " PKR_4_CNT ,Poker 4h count" line.long 0x0C "RTPKRCNT76,RNG TRNG Statistical Check Poker Count 7 And 6 Register" hexmask.long.word 0x0C 16.--31. 1. " PKR_7_CNT ,Poker 7h count" hexmask.long.word 0x0C 0.--15. 1. " PKR_6_CNT ,Poker 6h count" line.long 0x10 "RTPKRCNT98,RNG TRNG Statistical Check Poker Count 9 And 8 Register" hexmask.long.word 0x10 16.--31. 1. " PKR_9_CNT ,Poker 9h count" hexmask.long.word 0x10 0.--15. 1. " PKR_8_CNT ,Poker 8h count" line.long 0x14 "RTPKRCNTBA,RNG TRNG Statistical Check Poker Count B And A Register" hexmask.long.word 0x14 16.--31. 1. " PKR_B_CNT ,Poker Bh count" hexmask.long.word 0x14 0.--15. 1. " PKR_A_CNT ,Poker Ah count" line.long 0x18 "RTPKRCNTDC,RNG TRNG Statistical Check Poker Count D And C Register" hexmask.long.word 0x18 16.--31. 1. " PKR_D_CNT ,Poker Dh count" hexmask.long.word 0x18 0.--15. 1. " PKR_C_CNT ,Poker Ch count" line.long 0x1C "RTPKRCNTFE,RNG TRNG Statistical Check Poker Count F And E Register" hexmask.long.word 0x1C 16.--31. 1. " PKR_F_CNT ,Poker Fh count" hexmask.long.word 0x1C 0.--15. 1. " PKR_E_CNT ,Poker Eh count" rgroup.long 0x6C0++0x03 line.long 0x00 "RDSTA,RNG DRNG Status Register" bitfld.long 0x00 31. " SKVT ,Secure key valid test" "Not generated,Generated" bitfld.long 0x00 30. " SKVN ,Secure key valid non-test" "Not generated,Generated" bitfld.long 0x00 20. " CE ,Catastrophic error" "No error,Error" bitfld.long 0x00 16.--19. " ERRCODE ,Error code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 13. " ZSH1 ,State handle 1 zeroized" "Not zeroized,Zeroized" bitfld.long 0x00 12. " ZSH0 ,State handle 0 zeroized" "Not zeroized,Zeroized" bitfld.long 0x00 9. " TF1 ,Test flag state handle 1" "No test,Test" bitfld.long 0x00 8. " TF0 ,Test flag state handle 0" "No test,Test" newline bitfld.long 0x00 5. " PR1 ,Prediction resistance flag state handle 1" "0,1" bitfld.long 0x00 4. " PR0 ,Prediction resistance flag state handle 0" "0,1" bitfld.long 0x00 1. " IF1 ,Instantiated flag state handle 1" "Not instantiated,Instantiated" bitfld.long 0x00 0. " IF0 ,Instantiated flag state handle 0" "Not instantiated,Instantiated" else hgroup.long 0x680++0x03 hide.long 0x00 "RTPKRCNT10,RNG TRNG Statistical Check Poker Count 1 And 0 Register" hgroup.long 0x684++0x03 hide.long 0x00 "RTPKRCNT32,RNG TRNG Statistical Check Poker Count 3 And 2 Register" hgroup.long 0x688++0x03 hide.long 0x00 "RTPKRCNT54,RNG TRNG Statistical Check Poker Count 5 And 4 Register" hgroup.long 0x68C++0x03 hide.long 0x00 "RTPKRCNT76,RNG TRNG Statistical Check Poker Count 7 And 6 Register" hgroup.long 0x690++0x03 hide.long 0x00 "RTPKRCNT98,RNG TRNG Statistical Check Poker Count 9 And 8 Register" hgroup.long 0x694++0x03 hide.long 0x00 "RTPKRCNTBA,RNG TRNG Statistical Check Poker Count B And A Register" hgroup.long 0x698++0x03 hide.long 0x00 "RTPKRCNTDC,RNG TRNG Statistical Check Poker Count D And C Register" hgroup.long 0x69C++0x03 hide.long 0x00 "RTPKRCNTFE,RNG TRNG Statistical Check Poker Count F And E Register" hgroup.long 0x6C0++0x03 hide.long 0x00 "RDSTA,RNG DRNG Status Register" endif rgroup.long 0x6D0++0x07 line.long 0x00 "RDINT0,RNG DRNG State Handle 0 Reseed Interval Register" line.long 0x04 "RDINT1,RNG DRNG State Handle 1 Reseed Interval Register" group.long 0x6E0++0x03 line.long 0x00 "RDHCNTL,RNG DRNG Hash Control Register" bitfld.long 0x00 4. " HTC ,Hashing test mode clear" "No effect,Clear" bitfld.long 0x00 3. " HTM ,Hashing test mode" "Disabled,HTM" bitfld.long 0x00 2. " HI ,Hashing initialize" "No effect,Initialize" bitfld.long 0x00 1. " HB ,Hashing begin" "No effect,Begin" newline rbitfld.long 0x00 0. " HD ,Hashing done" "Not done,Done" if (((per.l(ad:0x08000000+0x6E0))&0x09)==0x09) rgroup.long 0x6E4++0x03 line.long 0x00 "RDHDIG,RNG DRNG Hash Digest Register" else hgroup.long 0x6E4++0x03 hide.long 0x00 "RDHDIG,RNG DRNG Hash Digest Register" endif if (((per.l(ad:0x08000000+0x6E0))&0x08)==0x08) wgroup.long 0x6E8++0x03 line.long 0x00 "RDHBUF,RNG DRNG Hash Buffer Register" else hgroup.long 0x6E8++0x03 hide.long 0x00 "RDHBUF,RNG DRNG Hash Buffer Register" endif if (((per.l(ad:0x08000000+0x600))&0x10000)==0x00) rgroup.long 0x6EC++0x03 line.long 0x00 "OSC2_CTL,RNG Oscillator 2 Control Register" bitfld.long 0x00 14. " OSC_FAILSAFE_TEST ,Oscillator 2 fail safe test (OSC2 disable)" "No,Yes" bitfld.long 0x00 12.--13. " OSC_FAILSAFE_LMT ,Failsafe limit for determining oscillator failure" "2^12 clocks,2^16 clocks,2^20 clocks,2^22 clocks" bitfld.long 0x00 11. " OSC2_TST_OUT ,Test point inside ring oscillator 2" "0,1" bitfld.long 0x00 9. " OSC2_FCT_VAL ,Oscillator 2 frequency count valid" "Not valid,Valid" newline bitfld.long 0x00 4. " OSC2_OUT_EN ,Oscillator 2 output enable" "Disabled,Enabled" bitfld.long 0x00 2.--3. " OSC2_DIV ,Oscillator 2 divide control" "/1,/2,/4,/8" bitfld.long 0x00 0.--1. " TRNG_ENT_CTL ,TRNG entropy generation control" "Single,Dual,OSC2 only,?..." else group.long 0x6EC++0x03 line.long 0x00 "OSC2_CTL,RNG Oscillator 2 Control Register" bitfld.long 0x00 14. " OSC_FAILSAFE_TEST ,Oscillator 2 fail safe test (OSC2 disable)" "No,Yes" bitfld.long 0x00 12.--13. " OSC_FAILSAFE_LMT ,Failsafe limit for determining oscillator failure" "2^12 clocks,2^16 clocks,2^20 clocks,2^22 clocks" rbitfld.long 0x00 11. " OSC2_TST_OUT ,Test point inside ring oscillator 2" "0,1" rbitfld.long 0x00 9. " OSC2_FCT_VAL ,Oscillator 2 frequency count valid" "Not valid,Valid" newline bitfld.long 0x00 4. " OSC2_OUT_EN ,Oscillator 2 output enable" "Disabled,Enabled" bitfld.long 0x00 2.--3. " OSC2_DIV ,Oscillator 2 divide control" "/1,/2,/4,/8" bitfld.long 0x00 0.--1. " TRNG_ENT_CTL ,TRNG entropy generation control" "Single,Dual,OSC2 only,?..." endif tree.end newline width 23. group.long 0xB00++0x07 line.long 0x00 "REIS,Recoverable Error Interrupt Status" eventfld.long 0x00 27. " JBAE[3] ,Job ring 3 bus access error" "No error,Error" eventfld.long 0x00 26. " [2] ,Job ring 2 bus access error" "No error,Error" eventfld.long 0x00 25. " [1] ,Job ring 1 bus access error" "No error,Error" newline eventfld.long 0x00 24. " [0] ,Job ring 0 bus access error" "No error,Error" eventfld.long 0x00 16. " RBAE ,SEC RTIC bus access error" "No error,Error" rbitfld.long 0x00 11. " QFDD ,SEC queue manager interface frame descriptor dropped" "Not dropped,Dropped" newline eventfld.long 0x00 10. " QIVE ,SEC queue manager interface isolation violation error occurred" "No error,Error" eventfld.long 0x00 9. " QBAE ,SEC's queue manager interface bus access error" "No error,Error" eventfld.long 0x00 8. " QHLT ,SEC's queue manager interface halted due to stop or stop on error" "Not halted,Halted" newline eventfld.long 0x00 0. " CWDE ,The SEC watchdog timer expired" "Not expired,Expired" line.long 0x04 "REIE,Recoverable Error Interrupt Enable" bitfld.long 0x04 27. " JBAE[3] ,JR3-initiated job execution caused bus access error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 26. " [2] ,JR2-initiated job execution caused bus access error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 25. " [1] ,JR1-initiated job execution caused bus access error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 24. " [0] ,JR0-initiated job execution caused bus access error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 16. " RBAE ,RTIC initiated job execution caused bus access error interrupt enable" "Disabled,Enabled" rbitfld.long 0x04 11. " QFDD ,QI frame descriptor dropped interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 10. " QIVE ,QI isolation violation error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 9. " QBAE ,QI initiated job execution caused bus access error interrupt enable" "Disabled,Enabled" bitfld.long 0x04 8. " QHLT ,QI halted interrupt enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " CWDE ,SEC watchdog timer expired interrupt enable" "Disabled,Enabled" rgroup.long 0xB08++0x03 line.long 0x00 "REIF,Recoverable Error Interrupt Force" bitfld.long 0x00 27. " JBAE[3] ,JR3 initiated job execution caused bus access error" "No error,Error" bitfld.long 0x00 26. " [2] ,JR2 initiated job execution caused bus access error" "No error,Error" bitfld.long 0x00 25. " [1] ,JR1 initiated job execution caused bus access error" "No error,Error" newline bitfld.long 0x00 24. " [0] ,JR0 initiated job execution caused bus access error" "No error,Error" bitfld.long 0x00 16. " RBAE ,RTIC initiated job execution caused bus access error" "No error,Error" bitfld.long 0x00 11. " QFDD ,QI frame descriptor dropped" "Not dropped,Dropped" newline bitfld.long 0x00 10. " QIVE ,QI isolation violation error" "No error,Error" bitfld.long 0x00 9. " QBAE ,QI initiated job execution caused bus access error" "No error,Error" bitfld.long 0x00 8. " QHLT ,QI halted" "Not halted,Halted" newline bitfld.long 0x00 0. " CWDE ,SEC watchdog timer expired" "Not expired,Expired" group.long 0xB0C++0x03 line.long 0x00 "REIH,Recoverable Error Interrupt Halt" bitfld.long 0x00 27. " JBAE[3] ,Halt SEC if JR3-initiated job execution caused bus access error" "Not halted,Halted" bitfld.long 0x00 26. " [2] ,Halt SEC if JR2-initiated job execution caused bus access error" "Not halted,Halted" bitfld.long 0x00 25. " [1] ,Halt SEC if JR1-initiated job execution caused bus access error" "Not halted,Halted" newline bitfld.long 0x00 24. " [0] ,Halt SEC if JR0-initiated job execution caused bus access error" "Not halted,Halted" bitfld.long 0x00 16. " RBAE ,Halt SEC if RTIC-initiated job execution caused bus access error" "Not halted,Halted" rbitfld.long 0x00 11. " QFDD ,Halt SEC if QI frame descriptor dropped" "Not halted,Halted" newline bitfld.long 0x00 10. " QIVE ,Halt SEC if QI isolation violation error" "Not halted,Halted" bitfld.long 0x00 9. " QBAE ,Halt SEC if QI-initiated job execution caused bus access error" "Not halted,Halted" bitfld.long 0x00 8. " QHLT ,Halt SEC if QI halted" "Not halted,Halted" newline bitfld.long 0x00 0. " CWDE ,Halt SEC if SEC watchdog timer expires" "Not halted,Halted" rgroup.long 0xBF8++0x07 line.long 0x00 "SECVID_MS,SEC Version ID Register Most Significant Half" hexmask.long.word 0x00 16.--31. 1. " IP_ID ,ID for SEC" hexmask.long.byte 0x00 8.--15. 1. " MAJ_REV ,Major revision number for SEC" hexmask.long.byte 0x00 0.--7. 1. " MIN_REV ,Minor revision number for SEC" line.long 0x04 "SECVID_LS,SEC Version ID Register Least Significant Half" hexmask.long.byte 0x04 24.--31. 1. " COMPILE_OPT ,Compile options for SEC" hexmask.long.byte 0x04 16.--23. 1. " INTG_OPT ,Integration options for SEC" hexmask.long.byte 0x04 8.--15. 1. " ECO_REV ,ECO revision for SEC" newline hexmask.long.byte 0x04 0.--7. 1. " CONFIG_OPT ,Configuration options for SEC" rgroup.quad 0xC00++0x0F line.quad 0x00 "HT0_JD_ADDR,Holding Tank 0 Job Descriptor Address" hexmask.quad.sbyte 0x00 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x08 "HT0_SD_ADDR,Holding Tank 0 Shared Descriptor Address" hexmask.quad.sbyte 0x08 0.--48. 0x01 " SD_ADDR ,Shared descriptor address" newline rgroup.long 0xC10++0x0F line.long 0x00 "HT0_JQ_CTRL_MS,Holding Tank 0 Job Queue Control Most Significant Half Register" bitfld.long 0x00 29. " WHL ,Whole descriptor" "0,1" bitfld.long 0x00 28. " FOUR ,Four words" "Less,At least" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" newline bitfld.long 0x00 22.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 19. " DWORD_SWAP ,Double word swap" "MS-LS,LS-MS" bitfld.long 0x00 17.--18. " HT_ERROR ,Holding tank error" "No error,Job/Shared Desc. length,Job Ring/QI Shared/Job Ring Job Desc.,AXI error on QI/AI in. frame" newline bitfld.long 0x00 16. " SOB ,Shared or burst" "0,1" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not allowed,Allowed" bitfld.long 0x00 8.--10. " SRC ,Job source" "Job Ring 0,Job Ring 1,Job Ring 2,Job Ring 3,RTIC,QI,?..." newline bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline line.long 0x04 "HT0_JQ_CTRL_LS,Holding Tank 0 Job Queue Control Least Significant Half Register" bitfld.long 0x04 31. " CTL_PL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x04 16.--22. 1. " CTL_ICID ,Control ICID" bitfld.long 0x04 15. " OUT_PL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " OUT_ICID ,Output ICID value" line.long 0x08 "HT0_STATUS,Holding Tank 0 Status Register" bitfld.long 0x08 31. " BC ,Been changed" "0,1" bitfld.long 0x08 30. " IN_USE ,In use" "0,1" bitfld.long 0x08 29. " BB_IN_USE ,BB in use" "0,1" bitfld.long 0x08 28. " OLD ,Old BB data" "0,1" line.long 0x0C "HT0_STATUS,Holding Tank Status" bitfld.long 0x0C 15. " PEND_[15] ,Pending for DECO 15" "Not pending,Pending" bitfld.long 0x0C 14. " [14] ,Pending for DECO 14" "Not pending,Pending" bitfld.long 0x0C 13. " [13] ,Pending for DECO 13" "Not pending,Pending" bitfld.long 0x0C 12. " [12] ,Pending for DECO 12" "Not pending,Pending" newline bitfld.long 0x0C 11. " [11] ,Pending for DECO 11" "Not pending,Pending" bitfld.long 0x0C 10. " [10] ,Pending for DECO 10" "Not pending,Pending" bitfld.long 0x0C 9. " [9] ,Pending for DECO 9" "Not pending,Pending" bitfld.long 0x0C 8. " [8] ,Pending for DECO 8" "Not pending,Pending" newline bitfld.long 0x0C 7. " [7] ,Pending for DECO 7" "Not pending,Pending" bitfld.long 0x0C 6. " [6] ,Pending for DECO 6" "Not pending,Pending" bitfld.long 0x0C 5. " [5] ,Pending for DECO 5" "Not pending,Pending" bitfld.long 0x0C 4. " [4] ,Pending for DECO 4" "Not pending,Pending" newline bitfld.long 0x0C 3. " [3] ,Pending for DECO 3" "Not pending,Pending" bitfld.long 0x0C 2. " [2] ,Pending for DECO 2" "Not pending,Pending" bitfld.long 0x0C 1. " [1] ,Pending for DECO 1" "Not pending,Pending" bitfld.long 0x0C 0. " [0] ,Pending for DECO 0" "Not pending,Pending" group.long 0xC24++0x03 line.long 0x00 "JQ_DEBUG_SEL,Job Queue Debug Select Register" rbitfld.long 0x00 16.--21. " JOB_ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--4. " HT_SEL ,Holding tank select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0xC40++0x1B line.long 0x00 "JQ_DMA_OUTSTANDING_WC,Job Queue DMA Outstanding Write Count Register" hexmask.long.byte 0x00 0.--7. 1. " JQ_DMA_OUTSTANDING_WC ,Job queue DMA outstanding write count" line.long 0x04 "JQ_DMA_OUTSTANDING_RC,Job Queue DMA Outstanding Read Count Register" hexmask.long.byte 0x04 0.--7. 1. " JQ_DMA_OUTSTANDING_RC ,Job queue DMA outstanding read count" line.long 0x08 "BB_DMA_OUTSTANDING_RC,Burst Buffer DMA Outstanding Read Count Register" hexmask.long.byte 0x08 0.--7. 1. " BB_DMA_OUTSTANDING_RC ,Burst buffer DMA outstanding read count" line.long 0x0C "TOT_JR_JC,Total Job Ring Job Count Register" hexmask.long.byte 0x0C 0.--7. 1. " TOT_RC_JC ,Total job ring count" line.long 0x10 "TOT_AA_JC,Total Address Array Job Count Register" hexmask.long.byte 0x10 0.--7. 1. " TOT_AA_JC ,Total address array job count" line.long 0x14 "TOT_HT_WJ,Total Number Of Holding Tanks With Jobs Register" hexmask.long.byte 0x14 0.--7. 1. " TOT_HT_WJ ,Total number of holding tanks with jobs" line.long 0x18 "TOT_JR_JW,Total Job Ring Jobs Waiting Register" hexmask.long.byte 0x18 0.--7. 1. " TOT_JR_JW ,Total job ring jobs waiting" rgroup.long 0xDBC++0x0B line.long 0x00 "JRJIDU_LS,Job Ring Job IDs In Use Register Least Significant Half" bitfld.long 0x00 31. " JID[31] ,Job ID 31 is currently in use" "Not in use,In use" bitfld.long 0x00 30. " [30] ,Job ID 30 is currently in use" "Not in use,In use" bitfld.long 0x00 29. " [29] ,Job ID 29 is currently in use" "Not in use,In use" newline bitfld.long 0x00 28. " [28] ,Job ID 28 is currently in use" "Not in use,In use" bitfld.long 0x00 27. " [27] ,Job ID 27 is currently in use" "Not in use,In use" bitfld.long 0x00 26. " [26] ,Job ID 26 is currently in use" "Not in use,In use" newline bitfld.long 0x00 25. " [25] ,Job ID 25 is currently in use" "Not in use,In use" bitfld.long 0x00 24. " [24] ,Job ID 24 is currently in use" "Not in use,In use" bitfld.long 0x00 23. " [23] ,Job ID 23 is currently in use" "Not in use,In use" newline bitfld.long 0x00 22. " [22] ,Job ID 22 is currently in use" "Not in use,In use" bitfld.long 0x00 21. " [21] ,Job ID 21 is currently in use" "Not in use,In use" bitfld.long 0x00 20. " [20] ,Job ID 20 is currently in use" "Not in use,In use" newline bitfld.long 0x00 19. " [19] ,Job ID 19 is currently in use" "Not in use,In use" bitfld.long 0x00 18. " [18] ,Job ID 18 is currently in use" "Not in use,In use" bitfld.long 0x00 17. " [17] ,Job ID 17 is currently in use" "Not in use,In use" newline bitfld.long 0x00 16. " [16] ,Job ID 16 is currently in use" "Not in use,In use" bitfld.long 0x00 15. " [15] ,Job ID 15 is currently in use" "Not in use,In use" bitfld.long 0x00 14. " [14] ,Job ID 14 is currently in use" "Not in use,In use" newline bitfld.long 0x00 13. " [13] ,Job ID 13 is currently in use" "Not in use,In use" bitfld.long 0x00 12. " [12] ,Job ID 12 is currently in use" "Not in use,In use" bitfld.long 0x00 11. " [11] ,Job ID 11 is currently in use" "Not in use,In use" newline bitfld.long 0x00 10. " [10] ,Job ID 10 is currently in use" "Not in use,In use" bitfld.long 0x00 9. " [9] ,Job ID 9 is currently in use" "Not in use,In use" bitfld.long 0x00 8. " [8] ,Job ID 8 is currently in use" "Not in use,In use" newline bitfld.long 0x00 7. " [7] ,Job ID 7 is currently in use" "Not in use,In use" bitfld.long 0x00 6. " [6] ,Job ID 6 is currently in use" "Not in use,In use" bitfld.long 0x00 5. " [5] ,Job ID 5 is currently in use" "Not in use,In use" newline bitfld.long 0x00 4. " [4] ,Job ID 4 is currently in use" "Not in use,In use" bitfld.long 0x00 3. " [3] ,Job ID 3 is currently in use" "Not in use,In use" bitfld.long 0x00 2. " [2] ,Job ID 2 is currently in use" "Not in use,In use" newline bitfld.long 0x00 1. " [1] ,Job ID 1 is currently in use" "Not in use,In use" bitfld.long 0x00 0. " [0] ,Job ID 0 is currently in use" "Not in use,In use" line.long 0x04 "JRJDJIFBC,Job Ring Job-Done Job ID FIFO BC" bitfld.long 0x04 31. " BC ,Been changed" "0,1" line.long 0x08 "JRJDJIF,Job Ring Job-Done Job ID FIFO" bitfld.long 0x08 0.--5. " JOB_ID_ENTRY ,Job ID entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0xDE4++0x03 line.long 0x00 "JRJDS1,Job Ring Job-Done Source 1" bitfld.long 0x00 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x00 0.--1. " SRC ,Source" "0,1,2,3" rgroup.quad 0xE00++0x07 line.quad 0x00 "JRJDDA,Job Ring Job-Done Descriptor Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " JD_ADDR ,Job descriptor address" rgroup.long 0xE80++0x3F line.long 0x00 "CRCA_VERSION,CRCA Version ID Register" hexmask.long.byte 0x00 24.--31. 1. " CRCA_VID ,CRC hardware accelerator version ID" hexmask.long.byte 0x00 16.--23. 1. " CRCA_REV ,CRC hardware accelerator revision number" hexmask.long.byte 0x00 8.--15. 1. " CRCA_MISC ,CRC hardware accelerator miscellaneous information" hexmask.long.byte 0x00 0.--7. 1. " CRCA_NUM ,Number of CRC hardware accelerators instantiated" line.long 0x04 "AFHA_VERSION,AFHA Version ID Register" hexmask.long.byte 0x04 24.--31. 1. " AFHA_VID ,Alleged RC4 hardware accelerator version ID" hexmask.long.byte 0x04 16.--23. 1. " AFHA_REV ,Alleged RC4 hardware accelerator revision number" hexmask.long.byte 0x04 8.--15. 1. " AFHA_MISC ,Alleged RC4 hardware accelerator miscellaneous information" hexmask.long.byte 0x04 0.--7. 1. " AFHA_NUM ,Number of alleged RC4 hardware accelerators instantiated" line.long 0x08 "KFHA_VERSION,KFHA Version ID Register" hexmask.long.byte 0x08 24.--31. 1. " KFHA_VID ,Kasumi F8/F9 hardware accelerator version ID" hexmask.long.byte 0x08 16.--23. 1. " KFHA_REV ,KFH hardware accelerator revision number" hexmask.long.byte 0x08 8.--15. 1. " KFHA_MISC ,KFH hardware accelerator miscellaneous information" hexmask.long.byte 0x08 0.--7. 1. " KFHA_NUM ,Number of KFH hardware accelerators instantiated" line.long 0x0C "PKHA_VERSION,PKHA Version ID Register" hexmask.long.byte 0x0C 24.--31. 1. " PKHA_VID ,PKHA hardware accelerator version ID" hexmask.long.byte 0x0C 16.--23. 1. " PKHA_REV ,PKHA hardware accelerator revision number" hexmask.long.byte 0x0C 8.--15. 1. " PKHA_MISC ,PKHA hardware accelerator miscellaneous information" hexmask.long.byte 0x0C 0.--7. 1. " PKHA_NUM ,Number of PKHA hardware accelerators instantiated" line.long 0x10 "AESA_VERSION,AESA Version ID Register" hexmask.long.byte 0x10 24.--31. 1. " AESA_VID ,AES hardware accelerator version ID" hexmask.long.byte 0x10 16.--23. 1. " AESA_REV ,AES hardware accelerator revision number" hexmask.long.byte 0x10 8.--15. 1. " AESA_MISC ,AES hardware miscellaneous information" hexmask.long.byte 0x10 0.--7. 1. " AESA_NUM ,Number of AES hardware accelerators instantiated" line.long 0x14 "MDHA_VERSION,MDHA Version ID Register" hexmask.long.byte 0x14 24.--31. 1. " MDHA_VID ,MDHA hardware accelerator version ID" hexmask.long.byte 0x14 16.--23. 1. " MDHA_REV ,MDHA hardware accelerator revision number" hexmask.long.byte 0x14 8.--15. 1. " MDHA_MISC ,MDHA hardware accelerator miscellaneous information" hexmask.long.byte 0x14 0.--7. 1. " MDHA_NUM ,Number of MDHA hardware accelerators instantiated" line.long 0x18 "DESA_VERSION,DESA Version ID Register" hexmask.long.byte 0x18 24.--31. 1. " DESA_VID ,DESA hardware accelerator version ID" hexmask.long.byte 0x18 16.--23. 1. " DESA_REV ,DESA hardware accelerator revision number" hexmask.long.byte 0x18 8.--15. 1. " DESA_MISC ,DESA hardware accelerator miscellaneous information" hexmask.long.byte 0x18 0.--7. 1. " DESA_NUM ,Number of DESA hardware accelerators instantiated" line.long 0x1C "SNW8A_VERSION,SNW8A Version ID Register" hexmask.long.byte 0x1C 24.--31. 1. " SNW8_VID ,SNW8 hardware accelerator version ID" hexmask.long.byte 0x1C 16.--23. 1. " SNW8_REV ,SNW8 hardware accelerator revision number" hexmask.long.byte 0x1C 8.--15. 1. " SNW8_MISC ,SNW8 hardware accelerator miscellaneous information" hexmask.long.byte 0x1C 0.--7. 1. " SNW8_NUM ,Number of SNW8 hardware accelerators instantiated" line.long 0x20 "SNW9A_VERSION,SNW9A Version ID Register" hexmask.long.byte 0x20 24.--31. 1. " SNW9_VID ,SNW9 hardware accelerator version ID" hexmask.long.byte 0x20 16.--23. 1. " SNW9_REV ,SNW9 hardware accelerator revision number" hexmask.long.byte 0x20 8.--15. 1. " SNW9_MISC ,SNW9 hardware accelerator miscellaneous information" hexmask.long.byte 0x20 0.--7. 1. " SNW9_NUM ,Number of SNW9 hardware accelerators instantiated" line.long 0x24 "ZUCE_VERSION,ZUCE Version ID Register" hexmask.long.byte 0x24 24.--31. 1. " ZUCE_VID ,ZUCE hardware accelerator version ID" hexmask.long.byte 0x24 16.--23. 1. " ZUCE_REV ,ZUCE hardware accelerator revision number" hexmask.long.byte 0x24 8.--15. 1. " ZUCE_MISC ,ZUCE hardware accelerator miscellaneous information" hexmask.long.byte 0x24 0.--7. 1. " ZUCE_NUM ,Number of ZUCE hardware accelerators instantiated" line.long 0x28 "ZUCA_VERSION,ZUCA Version ID Register" hexmask.long.byte 0x28 24.--31. 1. " ZUCA_VID ,ZUCA hardware accelerator version ID" hexmask.long.byte 0x28 16.--23. 1. " ZUCA_REV ,ZUCA hardware accelerator revision number" hexmask.long.byte 0x28 8.--15. 1. " ZUCA_MISC ,ZUCA hardware accelerator miscellaneous information" hexmask.long.byte 0x28 0.--7. 1. " ZUCA_NUM ,Number of ZUCA hardware accelerators instantiated" line.long 0x2C "CCHA_VERSION,CCHA Version ID Register" hexmask.long.byte 0x2C 24.--31. 1. " CCHA_VID ,CCHA hardware accelerator version ID" hexmask.long.byte 0x2C 16.--23. 1. " CCHA_REV ,CCHA hardware accelerator revision number" hexmask.long.byte 0x2C 8.--15. 1. " CCHA_MISC ,CCHA hardware accelerator miscellaneous information" hexmask.long.byte 0x2C 0.--7. 1. " CCHA_NUM ,Number of CCHA hardware accelerators instantiated" line.long 0x30 "PTHA_VERSION,PTHA Version ID Register" hexmask.long.byte 0x30 24.--31. 1. " PTHA_VID ,PTHA hardware accelerator version ID" hexmask.long.byte 0x30 16.--23. 1. " PTHA_REV ,PTHA hardware accelerator revision number" hexmask.long.byte 0x30 8.--15. 1. " PTHA_MISC ,PTHA hardware accelerator miscellaneous information" hexmask.long.byte 0x30 0.--7. 1. " PTHA_NUM ,Number of PTHA hardware accelerators instantiated" line.long 0x34 "RNG_VERSION,RNG Version ID Register" hexmask.long.byte 0x34 24.--31. 1. " RNG_VID ,RNG version ID" hexmask.long.byte 0x34 16.--23. 1. " RNG_REV ,RNG revision number" hexmask.long.byte 0x34 8.--15. 1. " RNG_MISC ,RNG miscellaneous information" hexmask.long.byte 0x34 0.--7. 1. " RNG_NUM ,Number of RNG instantiated" line.long 0x38 "TRNG_VERSION,TRNG Version ID Register" hexmask.long.byte 0x38 24.--31. 1. " TRNG_VID ,TRNG version ID" hexmask.long.byte 0x38 16.--23. 1. " TRNG_REV ,TRNG revision number" hexmask.long.byte 0x38 8.--15. 1. " TRNG_MISC ,TRNG miscellaneous information" hexmask.long.byte 0x38 0.--7. 1. " TRNG_NUM ,Number of TRNG instantiated" line.long 0x3C "AAHA_VERSION,Alternate AES Version ID Register" hexmask.long.byte 0x3C 24.--31. 1. " AAHA_VID ,Alternate AES hardware accelerator version ID" hexmask.long.byte 0x3C 16.--23. 1. " AAHA_REV ,Alternate AES hardware accelerator revision number" hexmask.long.byte 0x3C 8.--15. 1. " AAHA_MISC ,Alternate AES hardware accelerator miscellaneous information" hexmask.long.byte 0x3C 0.--7. 1. " AAHA_NUM ,Number of AAHA hardware accelerators instantiated" rgroup.long 0xEE8++0x17 line.long 0x00 "SR_VERSION,SR Version ID Register" hexmask.long.byte 0x00 24.--31. 1. " SR_VID ,SR version ID" hexmask.long.byte 0x00 16.--23. 1. " SR_REV ,SR revision number" hexmask.long.byte 0x00 8.--15. 1. " SR_MISC ,SR miscellaneous information" hexmask.long.byte 0x00 0.--7. 1. " SR_NUM ,Number of SR instantiated" line.long 0x04 "DMA_VERSION,DMA Version ID Register" hexmask.long.byte 0x04 24.--31. 1. " DMA_VID ,DMA version ID" hexmask.long.byte 0x04 16.--23. 1. " DMA_REV ,DMA revision number" hexmask.long.byte 0x04 8.--15. 1. " DMA_MISC ,DMA miscellaneous information" hexmask.long.byte 0x04 0.--7. 1. " DMA_NUM ,Number of DMA instantiated" line.long 0x08 "AI_VERSION,AI Version ID Register" hexmask.long.byte 0x08 24.--31. 1. " AI_VID ,AI version ID" hexmask.long.byte 0x08 16.--23. 1. " AI_REV ,AI revision number" hexmask.long.byte 0x08 8.--15. 1. " AI_MISC ,AI miscellaneous information" hexmask.long.byte 0x08 0.--7. 1. " AI_NUM ,Number of AIOP interfaces instantiated" line.long 0x0C "QI_VERSION,QI Version ID Register" hexmask.long.byte 0x0C 24.--31. 1. " QI_VID ,QI version ID" hexmask.long.byte 0x0C 16.--23. 1. " QI_REV ,QI revision number" hexmask.long.byte 0x0C 8.--15. 1. " QI_MISC ,QI miscellaneous information" hexmask.long.byte 0x0C 0.--7. 1. " QI_NUM ,Number of QIs instantiated" line.long 0x10 "JR_VERSION,JR Version ID Register" hexmask.long.byte 0x10 24.--31. 1. " JR_VID ,JR version ID" hexmask.long.byte 0x10 16.--23. 1. " JR_REV ,JR revision number" hexmask.long.byte 0x10 8.--15. 1. " JR_MISC ,JR miscellaneous information" hexmask.long.byte 0x10 0.--7. 1. " JR_NUM ,Number of JRs instantiated" line.long 0x14 "DECO_VERSION,DECO Version ID Register" hexmask.long.byte 0x14 24.--31. 1. " DECO_VID ,DECO version ID" hexmask.long.byte 0x14 16.--23. 1. " DECO_REV ,DECO revision number" hexmask.long.byte 0x14 8.--15. 1. " DECO_MISC ,DECO miscellaneous information" hexmask.long.byte 0x14 0.--7. 1. " DECO_NUM ,Number of DECOs instantiated" group.quad 0xF00++0x37 line.quad 0x00 "PC_REQ_DEQ,Performance Counter Number Of Requests Dequeued" hexmask.quad.sbyte 0x00 0.--47. 1. " PC_REQ_DEQ ,Performance counter requests dequeued" line.quad 0x08 "PC_OB_ENC_REQ,Performance Counter Number Of Outbound Encrypt Requests" hexmask.quad.sbyte 0x08 0.--47. 1. " PC_OB_ENC_REQ ,Performance counter outbound encryption requests" line.quad 0x10 "PC_IB_DEC_REQ,Performance Counter Number Of Inbound Decrypt Requests" hexmask.quad.sbyte 0x10 0.--47. 1. " PC_IB_DEC_REQ ,Performance counter inbound decryptions requested" line.quad 0x18 "PC_OB_ENCRYPT,Performance Counter Number Of Outbound Bytes Encrypted" hexmask.quad.sbyte 0x18 0.--47. 1. " PC_OB_ENCRYPT ,Performance counter outbound bytes encrypted" line.quad 0x20 "PC_OB_PROTECT,Performance Counter Number Of Outbound Bytes Protected" hexmask.quad.sbyte 0x20 0.--47. 1. " PC_OB_PROTECT ,Performance counter outbound bytes encrypted" line.quad 0x28 "PC_IB_DECRYPT,Performance Counter Number Of Inbound Bytes Decrypted" hexmask.quad.sbyte 0x28 0.--47. 1. " PC_IB_DECRYPT ,Performance counter inbound bytes decrypted" line.quad 0x30 "PC_IB_VALIDATED,Performance Counter Number Of Inbound Bytes Validated" hexmask.quad.sbyte 0x30 0.--47. 1. " PC_IB_VALIDATED ,Performance counter inbound bytes validated" rgroup.long 0xFA8++0x07 line.long 0x00 "CTPR_MS,Compile Time Parameters Register Most Significant Half" bitfld.long 0x00 28.--31. " AXI_PIPE_DEPTH ,AXI pipeline depth" "Maximum,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. " AXI_LIODN ,LIODN logic included" "Not implemented,Implemented" bitfld.long 0x00 26. " AXI_PRI ,AXI master priority implemented" "Not implemented,Implemented" newline bitfld.long 0x00 25. " QI ,Queue manager interface (QI)" "Not implemented,Implemented" bitfld.long 0x00 24. " ACC_CTL ,ICID-based access control" "Not implemented,Implemented" bitfld.long 0x00 23. " C1C2 ,Separate C1 and C2 registers" "Shared,Separated" newline bitfld.long 0x00 21. " PC ,Performance counter registers implemented" "Not implemented,Implemented" bitfld.long 0x00 20. " DECO_WD ,DECO watchdog counter implemented" "Not implemented,Implemented" bitfld.long 0x00 19. " PM_EVT_BUS ,Performance monitor event bus implemented" "Not implemented,Implemented" newline bitfld.long 0x00 18. " SG8 ,Eight scatter-gather tables implemented" "1 table,8 table" bitfld.long 0x00 17. " MCFG_PS ,Pointer size field implemented" "Not implemented,Implemented" bitfld.long 0x00 16. " MCFG_BURST ,Support for large AXI bursts" "Not implemented,Implemented" newline bitfld.long 0x00 14. " IP_CLK ,IP bus slave clock to SEC's AXI bus clock ratio" "1:1,1:2" bitfld.long 0x00 13. " DPAA2 ,DPAA2 architecture supported" "Not supported,Supported" bitfld.long 0x00 11. " AI_INCL ,AIOP interface implemented" "Not implemented,Implemented" newline bitfld.long 0x00 8.--10. " RNG_I ,RNG instantiations" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. " REG_PG_SIZE ,SEC register page size" "4Kbyte,64Kbyte" bitfld.long 0x00 1. " VIRT_EN_POR_VALUE ,Job ring virtualization POR state" "Disabled,Enabled" newline bitfld.long 0x00 0. " VIRT_EN_INCL ,Job ring virtualization programmable" "Not included,Included" bitfld.long 0x00 0. " VIRT_EN_INCL ,Job ring virtualization programmable" "Not included,Included" line.long 0x04 "CTPR_LS,Compile Time Parameters Register Least Significant Half" bitfld.long 0x04 14. " DKP ,Divided key protocol" "Not implemented,Implemented" bitfld.long 0x04 13. " MAN_PROT ,Manufacturing protection protocol" "Not implemented,Implemented" bitfld.long 0x04 12. " DBL_CRC ,Double CRC protocol" "Not implemented,Implemented" newline bitfld.long 0x04 11. " P3G_LTE ,3GPP/LTE protocol" "Not implemented,Implemented" bitfld.long 0x04 10. " RSA ,RSA protocol" "Not implemented,Implemented" bitfld.long 0x04 9. " MACSEC ,MACSEC protocol" "Not implemented,Implemented" newline bitfld.long 0x04 8. " TLS_PRF ,TLS PRF protocol" "Not implemented,Implemented" bitfld.long 0x04 7. " SSL_TLS ,SSL/TLS protocol" "Not implemented,Implemented" bitfld.long 0x04 6. " IKE ,IKE protocols" "Not implemented,Implemented" newline bitfld.long 0x04 5. " IPSEC ,IPSEC protocols" "Not implemented,Implemented" bitfld.long 0x04 4. " SRTP ,SRTP protocol" "Not implemented,Implemented" bitfld.long 0x04 3. " WIMAX ,WiMax protocol" "Not implemented,Implemented" newline bitfld.long 0x04 2. " WIFI ,WiFi protocol" "Not implemented,Implemented" bitfld.long 0x04 1. " BLOB ,Blob protocol" "Not implemented,Implemented" bitfld.long 0x04 0. " KG_DS ,PK generation and digital signature protocols" "Not implemented,Implemented" newline hgroup.quad 0xFC0++0x07 hide.quad 0x00 "FAR,Fault Address Register" in hgroup.long 0xFC8++0x03 hide.long 0x00 "FAICID,Fault Address ICID Register" in hgroup.long 0xFCC++0x03 hide.long 0x00 "FADR,Fault Address Detail Register" in newline rgroup.long 0xFD4++0x03 line.long 0x00 "SSTA,SEC Status Register" bitfld.long 0x00 10. " PLEND ,Platform endianness" "Little,Big" bitfld.long 0x00 8.--9. " MOO ,Mode of operation" "Non secure,Secure,Trusted,Fail" bitfld.long 0x00 2. " TRNG_IDLE ,TRNG idle" "Not idle,Idle" bitfld.long 0x00 1. " IDLE ,SEC is idle" "Not idle,Idle" newline bitfld.long 0x00 0. " BSY ,SEC busy" "Not busy,Busy" rgroup.long 0xFE0++0x07 line.long 0x00 "RVID,RTIC Version ID Register" bitfld.long 0x00 27. " MD ,Memory block D available" "Not available,Available" bitfld.long 0x00 26. " MC ,Memory block C available" "Not available,Available" bitfld.long 0x00 25. " MB ,Memory block B available" "Not available,Available" bitfld.long 0x00 24. " MA ,Memory block A available" "Not available,Available" newline bitfld.long 0x00 19. " SHA_512 ,SHA-512" "Not usable,Usable" bitfld.long 0x00 17. " SHA_256 ,SHA-256" "Not usable,Usable" hexmask.long.byte 0x00 8.--15. 1. " RMJV ,RTIC major version" hexmask.long.byte 0x00 0.--7. 1. " RMNV ,RTIC minor version" line.long 0x04 "CCBVID,CHA Cluster Block Version ID Register" hexmask.long.byte 0x04 24.--31. 1. " SEC_ERA ,SEC Era" hexmask.long.byte 0x04 8.--15. 1. " AMJV ,Accelerator major revision number" hexmask.long.byte 0x04 0.--7. 1. " AMNV ,Accelerator minor revision number" width 15. tree "Job Ring 0 Registers" group.quad 0x10000++0x07 line.quad 0x00 "IRBAR_JR0,Input Ring Base Address Register For Job Ring 0" hexmask.quad.sbyte 0x00 0.--48. 0x01 " IRBA ,Input ring base address" group.long (0x10000+0x0C)++0x03 line.long 0x00 "IRSR_JR0,Input Ring Size Register For Job Ring 0" hexmask.long.word 0x00 0.--9. 1. " IRS ,Input ring size" group.long (0x10000+0x14)++0x03 line.long 0x00 "IRSAR_JR0,Input Ring Slots Available Register For Job Ring 0" hexmask.long.word 0x00 0.--9. 1. " IRSA ,Input ring slots available" group.long (0x10000+0x1C)++0x03 line.long 0x00 "IRJAR_JR0,Input Ring Jobs Added Register For Job Ring 0" hexmask.long.word 0x00 0.--9. 1. " IRJA ,Input ring jobs added" group.quad (0x10000+0x20)++0x07 line.quad 0x00 "ORBAR_JR0,Output Ring Base Address Register For Job Ring 0" hexmask.quad.sbyte 0x00 0.--48. 0x01 " ORBA ,Output ring base address" group.long (0x10000+0x2C)++0x03 line.long 0x00 "ORSR_JR0,Output Ring Size Register For Job Ring 0" hexmask.long.word 0x00 0.--9. 1. " ORS ,Output ring size" group.long (0x10000+0x34)++0x03 line.long 0x00 "ORJRR_JR0,Output Ring Jobs Removed Register For Job Ring 0" hexmask.long.word 0x00 0.--9. 1. " ORJR ,Output ring jobs removed" group.long (0x10000+0x3C)++0x03 line.long 0x00 "ORSFR_JR0,Output Ring Slots Full Register For Job Ring 0" hexmask.long.word 0x00 0.--9. 1. " ORSF ,Output ring slots full" if (((per.l(ad:0x08000000+0x10000+0x44))&0xF0000000)==0x00) rgroup.long (0x10000+0x44)++0x03 line.long 0x00 "JRSTAR_JR0,Job Ring Output Status Register For Job Ring 0" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." elif (((per.l(ad:0x08000000+0x10000+0x44))&0xF0000000)==0x70000000) rgroup.long (0x10000+0x44)++0x03 line.long 0x00 "JRSTAR_JR01,Job Ring Output Status Register For Job Ring 0" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x10000+0x44))&0xF0000000)==0x20000000) rgroup.long (0x10000+0x44)++0x03 line.long 0x00 "JRSTAR_JR02,Job Ring Output Status Register For Job Ring 0" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to an error within the descriptor (number of words)" newline bitfld.long 0x00 4.--7. " CHAID ,Algorithm that generated the error" "CCB,AESA,DESA,,MDHA,RNG,SNOWf8,KFHA f8/9,PKHA,CRCA,SNOWf9,ZUCE,ZUCA,?..." bitfld.long 0x00 0.--3. " ERRID ,Descriptor error ID" "None,Mode,Data size,Key size/Instantiate,Not instantiated/A size,Test instantiate/B size,Prediction resistance/Data out of sequence /ECC F2M,Prediction resistance and test request/Divide by 0,Modulus even,Key parity/Secure Key generation,ICV check failed,Hardware,CCM AAD size/Continuous check/Invalid key write,Class 1 or class 2 CHA is not reset,Invalid CHA combination selected,Invalid CHA" elif (((per.l(ad:0x08000000+0x10000+0x44))&0xF0000000)==0x30000000) rgroup.long (0x10000+0x44)++0x03 line.long 0x00 "JRSTAR_JR03,Job Ring Output Status Register For Job Ring 0" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to JUMP HALT command within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " LOCAL_OFFSET ,User defined value" elif (((per.l(ad:0x08000000+0x10000+0x44))&0xF0000000)==0x40000000) rgroup.long (0x10000+0x44)++0x03 line.long 0x00 "JRSTAR_JR04,Job Ring Output Status Register For Job Ring 0" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to an error within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x10000+0x44))&0xF0000000)==0x60000000) rgroup.long (0x10000+0x44)++0x03 line.long 0x00 "JRSTAR_JR06,Job Ring Output Status Register For Job Ring 0" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 8.--11. " NADDR ,Number of descriptor addresses requested" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x10000+0x44))&0xF0000000)==0x70000000) rgroup.long (0x10000+0x44)++0x03 line.long 0x00 "JRSTAR_JR07,Job Ring Output Status Register For Job Ring 0" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to JUMP HALT command within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " COND ,PKHA/Math condition codes field from JUMP HALT command" endif group.long (0x10000+0x4C)++0x0B line.long 0x00 "JRINTR_JR0,Job Ring Interrupt Status Register For Job Ring 0" rhexmask.long.word 0x00 16.--29. 1. " ERR_ORWI ,Output ring write index with error" rbitfld.long 0x00 8.--12. " ERR_TYPE ,Error type" ",Error writing status to output ring,,Bad input ring base address,Bad output ring base address,Invalid write to IRBAR_JR or IRSR_JR,Invalid write to ORBAR_JR or ORSR_JR,Job ring reset released before halted,ORJRR > ORSFR,IRJAR > IRSAR,Writing ORSF > ORS,Writing IRSA > IRS,Writing ORWI > ORS in bytes,Writing IRRI > IRS in bytes,Writing IRSA when ring is active,Writing IRRI when ring is active,Writing ORSF when ring is active,Writing ORWI when ring is active,?..." newline eventfld.long 0x00 5. " EXIT_FAIL ,Exit SecMon fail state" "Not exited,Exited" eventfld.long 0x00 4. " ENTER_FAIL ,Enter SecMon fail state" "Not entered,Entered" newline eventfld.long 0x00 3. " HALT[1] ,Halt the job ring 1" ",Requested" eventfld.long 0x00 2. " HALT[0] ,Halt the job ring 0" ",Flushed-Halted/Clear" newline eventfld.long 0x00 1. " JRE ,Job ring error" "No error,Error" eventfld.long 0x00 0. " JRI ,Job ring interrupt" "No interrupt,Interrupt" line.long 0x04 "JRCFGR_JR0_MS,Job Ring Configuration Register For Job Ring 0 Most Significant Half" bitfld.long 0x04 30. " INCL_SEQ_OUT ,Include sequence out length" "Not included,Included" bitfld.long 0x04 29. " FAIL_MODE ,Fail mode control" "Halt,Continue" line.long 0x08 "JRCFGR_JR0_LS,Job Ring Configuration Register For Job Ring 0 Least Significant Half" hexmask.long.word 0x08 16.--31. 1. " ICTT ,Interrupt coalescing timer threshold" hexmask.long.byte 0x08 8.--15. 1. " ICDCT ,Interrupt coalescing descriptor count threshold" newline bitfld.long 0x08 1. " ICEN ,Interrupt coalescing enable" "Disabled,Enabled" bitfld.long 0x08 0. " IMSK ,Interrupt mask" "Not masked,Masked" group.long (0x10000+0x5C)++0x03 line.long 0x00 "IRRIR_JR0,Input Ring Read Index Register For Job Ring 0" hexmask.long.word 0x00 0.--12. 1. " IRRI ,Input ring read index" group.long (0x10000+0x64)++0x03 line.long 0x00 "ORWIR_JR0,Output Ring Write Index Register For Job Ring 0" hexmask.long.word 0x00 0.--13. 1. " ORWI ,Output ring write index" wgroup.long (0x10000+0x6C)++0x03 line.long 0x00 "JRCR_JR0,Job Ring Command Register For Job Ring 0" bitfld.long 0x00 1. " PARK ,Park" "No effect,Park" bitfld.long 0x00 0. " RESET ,Reset" "No effect,Reset" newline hgroup.long (0x10000+0x704)++0x03 hide.long 0x00 "JR0AAV,Job Ring 0 Address-Array Valid Register" in newline rgroup.quad (0x10000+0x800)++0x2F line.quad 0x00 "JR0AAAV0,Job Ring 0 Address-Array Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x08 "JR0AAAV1,Job Ring 0 Address-Array Address 1 Register" hexmask.quad.sbyte 0x08 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x10 "JR0AAAV2,Job Ring 0 Address-Array Address 2 Register" hexmask.quad.sbyte 0x10 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x18 "JR0AAAV3,Job Ring 0 Address-Array Address 3 Register" hexmask.quad.sbyte 0x18 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x20 "JR0AAAV4,Job Ring 0 Address-Array Address 4 Register" hexmask.quad.sbyte 0x20 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x28 "JR0AAAV5,Job Ring 0 Address-Array Address 5 Register" hexmask.quad.sbyte 0x28 0.--48. 0x01 " JD_ADDR ,Job descriptor address" rgroup.long (0x10000+0xE00)++0x03 line.long 0x00 "REIR0JR0,Recoverable Error Interrupt Record 0 For Job Ring 0" bitfld.long 0x00 31. " MISS ,Miss" "Not occurred,Occurred" bitfld.long 0x00 24.--25. " TYPE ,Type of the recoverable error" ",Memory access,?..." rgroup.quad (0x10000+0xE08)++0x07 line.quad 0x00 "REIR2JR0,Recoverable Error Interrupt Record 2 For Job Ring 0" hexmask.quad.sbyte 0x00 0.--48. 0x01 " ADDR ,Address associated with the recoverable JR error" rgroup.long (0x10000+0xE10)++0x07 line.long 0x00 "REIR4JR0,Recoverable Error Interrupt Record 4 For Job Ring 0" bitfld.long 0x00 30.--31. " MIX ,Memory interface index" "0,1,2,3" bitfld.long 0x00 28.--29. " ERR ,AXI error response" "0,1,2,3" newline bitfld.long 0x00 25. " BMT ,Bypass memory translation transaction attribute" "0,1" bitfld.long 0x00 24. " PL ,Privilege level transaction attribute" "0,1" newline bitfld.long 0x00 23. " RWB ,Read or write" "0,1" bitfld.long 0x00 20.--22. " AXPROT ,AXI protection transaction attribute" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. " AXCACHE ,AXI cache control transaction attribute" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" line.long 0x04 "REIR5JR0,Recoverable Error Interrupt Record 5 For Job Ring 0" bitfld.long 0x04 24. " SAFE ,Safe" "0,1" bitfld.long 0x04 16.--19. " BID ,Block identifier of the source of the AXI transaction" "General registers,Job ring registers,Job ring registers,Job ring registers,Job ring registers,AIOP interface registers,Real-time integrity check registers,Queue interface registers,DECO 0/CHA CCB 0,DECO 1/CHA CCB 1,DECO 2/CHA CCB 2,DECO 3/CHA CCB 3,DECO 4/CHA CCB 4,DECO 5/CHA CCB 5,?..." tree.end tree "Job Ring 1 Registers" group.quad 0x20000++0x07 line.quad 0x00 "IRBAR_JR1,Input Ring Base Address Register For Job Ring 1" hexmask.quad.sbyte 0x00 0.--48. 0x01 " IRBA ,Input ring base address" group.long (0x20000+0x0C)++0x03 line.long 0x00 "IRSR_JR1,Input Ring Size Register For Job Ring 1" hexmask.long.word 0x00 0.--9. 1. " IRS ,Input ring size" group.long (0x20000+0x14)++0x03 line.long 0x00 "IRSAR_JR1,Input Ring Slots Available Register For Job Ring 1" hexmask.long.word 0x00 0.--9. 1. " IRSA ,Input ring slots available" group.long (0x20000+0x1C)++0x03 line.long 0x00 "IRJAR_JR1,Input Ring Jobs Added Register For Job Ring 1" hexmask.long.word 0x00 0.--9. 1. " IRJA ,Input ring jobs added" group.quad (0x20000+0x20)++0x07 line.quad 0x00 "ORBAR_JR1,Output Ring Base Address Register For Job Ring 1" hexmask.quad.sbyte 0x00 0.--48. 0x01 " ORBA ,Output ring base address" group.long (0x20000+0x2C)++0x03 line.long 0x00 "ORSR_JR1,Output Ring Size Register For Job Ring 1" hexmask.long.word 0x00 0.--9. 1. " ORS ,Output ring size" group.long (0x20000+0x34)++0x03 line.long 0x00 "ORJRR_JR1,Output Ring Jobs Removed Register For Job Ring 1" hexmask.long.word 0x00 0.--9. 1. " ORJR ,Output ring jobs removed" group.long (0x20000+0x3C)++0x03 line.long 0x00 "ORSFR_JR1,Output Ring Slots Full Register For Job Ring 1" hexmask.long.word 0x00 0.--9. 1. " ORSF ,Output ring slots full" if (((per.l(ad:0x08000000+0x20000+0x44))&0xF0000000)==0x00) rgroup.long (0x20000+0x44)++0x03 line.long 0x00 "JRSTAR_JR1,Job Ring Output Status Register For Job Ring 1" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." elif (((per.l(ad:0x08000000+0x20000+0x44))&0xF0000000)==0x70000000) rgroup.long (0x20000+0x44)++0x03 line.long 0x00 "JRSTAR_JR11,Job Ring Output Status Register For Job Ring 1" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x20000+0x44))&0xF0000000)==0x20000000) rgroup.long (0x20000+0x44)++0x03 line.long 0x00 "JRSTAR_JR12,Job Ring Output Status Register For Job Ring 1" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to an error within the descriptor (number of words)" newline bitfld.long 0x00 4.--7. " CHAID ,Algorithm that generated the error" "CCB,AESA,DESA,,MDHA,RNG,SNOWf8,KFHA f8/9,PKHA,CRCA,SNOWf9,ZUCE,ZUCA,?..." bitfld.long 0x00 0.--3. " ERRID ,Descriptor error ID" "None,Mode,Data size,Key size/Instantiate,Not instantiated/A size,Test instantiate/B size,Prediction resistance/Data out of sequence /ECC F2M,Prediction resistance and test request/Divide by 0,Modulus even,Key parity/Secure Key generation,ICV check failed,Hardware,CCM AAD size/Continuous check/Invalid key write,Class 1 or class 2 CHA is not reset,Invalid CHA combination selected,Invalid CHA" elif (((per.l(ad:0x08000000+0x20000+0x44))&0xF0000000)==0x30000000) rgroup.long (0x20000+0x44)++0x03 line.long 0x00 "JRSTAR_JR13,Job Ring Output Status Register For Job Ring 1" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to JUMP HALT command within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " LOCAL_OFFSET ,User defined value" elif (((per.l(ad:0x08000000+0x20000+0x44))&0xF0000000)==0x40000000) rgroup.long (0x20000+0x44)++0x03 line.long 0x00 "JRSTAR_JR14,Job Ring Output Status Register For Job Ring 1" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to an error within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x20000+0x44))&0xF0000000)==0x60000000) rgroup.long (0x20000+0x44)++0x03 line.long 0x00 "JRSTAR_JR16,Job Ring Output Status Register For Job Ring 1" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 8.--11. " NADDR ,Number of descriptor addresses requested" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x20000+0x44))&0xF0000000)==0x70000000) rgroup.long (0x20000+0x44)++0x03 line.long 0x00 "JRSTAR_JR17,Job Ring Output Status Register For Job Ring 1" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to JUMP HALT command within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " COND ,PKHA/Math condition codes field from JUMP HALT command" endif group.long (0x20000+0x4C)++0x0B line.long 0x00 "JRINTR_JR1,Job Ring Interrupt Status Register For Job Ring 1" rhexmask.long.word 0x00 16.--29. 1. " ERR_ORWI ,Output ring write index with error" rbitfld.long 0x00 8.--12. " ERR_TYPE ,Error type" ",Error writing status to output ring,,Bad input ring base address,Bad output ring base address,Invalid write to IRBAR_JR or IRSR_JR,Invalid write to ORBAR_JR or ORSR_JR,Job ring reset released before halted,ORJRR > ORSFR,IRJAR > IRSAR,Writing ORSF > ORS,Writing IRSA > IRS,Writing ORWI > ORS in bytes,Writing IRRI > IRS in bytes,Writing IRSA when ring is active,Writing IRRI when ring is active,Writing ORSF when ring is active,Writing ORWI when ring is active,?..." newline eventfld.long 0x00 5. " EXIT_FAIL ,Exit SecMon fail state" "Not exited,Exited" eventfld.long 0x00 4. " ENTER_FAIL ,Enter SecMon fail state" "Not entered,Entered" newline eventfld.long 0x00 3. " HALT[1] ,Halt the job ring 1" ",Requested" eventfld.long 0x00 2. " HALT[0] ,Halt the job ring 0" ",Flushed-Halted/Clear" newline eventfld.long 0x00 1. " JRE ,Job ring error" "No error,Error" eventfld.long 0x00 0. " JRI ,Job ring interrupt" "No interrupt,Interrupt" line.long 0x04 "JRCFGR_JR1_MS,Job Ring Configuration Register For Job Ring 1 Most Significant Half" bitfld.long 0x04 30. " INCL_SEQ_OUT ,Include sequence out length" "Not included,Included" bitfld.long 0x04 29. " FAIL_MODE ,Fail mode control" "Halt,Continue" line.long 0x08 "JRCFGR_JR1_LS,Job Ring Configuration Register For Job Ring 1 Least Significant Half" hexmask.long.word 0x08 16.--31. 1. " ICTT ,Interrupt coalescing timer threshold" hexmask.long.byte 0x08 8.--15. 1. " ICDCT ,Interrupt coalescing descriptor count threshold" newline bitfld.long 0x08 1. " ICEN ,Interrupt coalescing enable" "Disabled,Enabled" bitfld.long 0x08 0. " IMSK ,Interrupt mask" "Not masked,Masked" group.long (0x20000+0x5C)++0x03 line.long 0x00 "IRRIR_JR1,Input Ring Read Index Register For Job Ring 1" hexmask.long.word 0x00 0.--12. 1. " IRRI ,Input ring read index" group.long (0x20000+0x64)++0x03 line.long 0x00 "ORWIR_JR1,Output Ring Write Index Register For Job Ring 1" hexmask.long.word 0x00 0.--13. 1. " ORWI ,Output ring write index" wgroup.long (0x20000+0x6C)++0x03 line.long 0x00 "JRCR_JR1,Job Ring Command Register For Job Ring 1" bitfld.long 0x00 1. " PARK ,Park" "No effect,Park" bitfld.long 0x00 0. " RESET ,Reset" "No effect,Reset" newline hgroup.long (0x20000+0x704)++0x03 hide.long 0x00 "JR1AAV,Job Ring 1 Address-Array Valid Register" in newline rgroup.quad (0x20000+0x800)++0x2F line.quad 0x00 "JR1AAAV0,Job Ring 1 Address-Array Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x08 "JR1AAAV1,Job Ring 1 Address-Array Address 1 Register" hexmask.quad.sbyte 0x08 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x10 "JR1AAAV2,Job Ring 1 Address-Array Address 2 Register" hexmask.quad.sbyte 0x10 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x18 "JR1AAAV3,Job Ring 1 Address-Array Address 3 Register" hexmask.quad.sbyte 0x18 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x20 "JR1AAAV4,Job Ring 1 Address-Array Address 4 Register" hexmask.quad.sbyte 0x20 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x28 "JR1AAAV5,Job Ring 1 Address-Array Address 5 Register" hexmask.quad.sbyte 0x28 0.--48. 0x01 " JD_ADDR ,Job descriptor address" rgroup.long (0x20000+0xE00)++0x03 line.long 0x00 "REIR0JR1,Recoverable Error Interrupt Record 0 For Job Ring 1" bitfld.long 0x00 31. " MISS ,Miss" "Not occurred,Occurred" bitfld.long 0x00 24.--25. " TYPE ,Type of the recoverable error" ",Memory access,?..." rgroup.quad (0x20000+0xE08)++0x07 line.quad 0x00 "REIR2JR1,Recoverable Error Interrupt Record 2 For Job Ring 1" hexmask.quad.sbyte 0x00 0.--48. 0x01 " ADDR ,Address associated with the recoverable JR error" rgroup.long (0x20000+0xE10)++0x07 line.long 0x00 "REIR4JR1,Recoverable Error Interrupt Record 4 For Job Ring 1" bitfld.long 0x00 30.--31. " MIX ,Memory interface index" "0,1,2,3" bitfld.long 0x00 28.--29. " ERR ,AXI error response" "0,1,2,3" newline bitfld.long 0x00 25. " BMT ,Bypass memory translation transaction attribute" "0,1" bitfld.long 0x00 24. " PL ,Privilege level transaction attribute" "0,1" newline bitfld.long 0x00 23. " RWB ,Read or write" "0,1" bitfld.long 0x00 20.--22. " AXPROT ,AXI protection transaction attribute" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. " AXCACHE ,AXI cache control transaction attribute" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" line.long 0x04 "REIR5JR1,Recoverable Error Interrupt Record 5 For Job Ring 1" bitfld.long 0x04 24. " SAFE ,Safe" "0,1" bitfld.long 0x04 16.--19. " BID ,Block identifier of the source of the AXI transaction" "General registers,Job ring registers,Job ring registers,Job ring registers,Job ring registers,AIOP interface registers,Real-time integrity check registers,Queue interface registers,DECO 0/CHA CCB 0,DECO 1/CHA CCB 1,DECO 2/CHA CCB 2,DECO 3/CHA CCB 3,DECO 4/CHA CCB 4,DECO 5/CHA CCB 5,?..." tree.end tree "Job Ring 2 Registers" group.quad 0x30000++0x07 line.quad 0x00 "IRBAR_JR2,Input Ring Base Address Register For Job Ring 2" hexmask.quad.sbyte 0x00 0.--48. 0x01 " IRBA ,Input ring base address" group.long (0x30000+0x0C)++0x03 line.long 0x00 "IRSR_JR2,Input Ring Size Register For Job Ring 2" hexmask.long.word 0x00 0.--9. 1. " IRS ,Input ring size" group.long (0x30000+0x14)++0x03 line.long 0x00 "IRSAR_JR2,Input Ring Slots Available Register For Job Ring 2" hexmask.long.word 0x00 0.--9. 1. " IRSA ,Input ring slots available" group.long (0x30000+0x1C)++0x03 line.long 0x00 "IRJAR_JR2,Input Ring Jobs Added Register For Job Ring 2" hexmask.long.word 0x00 0.--9. 1. " IRJA ,Input ring jobs added" group.quad (0x30000+0x20)++0x07 line.quad 0x00 "ORBAR_JR2,Output Ring Base Address Register For Job Ring 2" hexmask.quad.sbyte 0x00 0.--48. 0x01 " ORBA ,Output ring base address" group.long (0x30000+0x2C)++0x03 line.long 0x00 "ORSR_JR2,Output Ring Size Register For Job Ring 2" hexmask.long.word 0x00 0.--9. 1. " ORS ,Output ring size" group.long (0x30000+0x34)++0x03 line.long 0x00 "ORJRR_JR2,Output Ring Jobs Removed Register For Job Ring 2" hexmask.long.word 0x00 0.--9. 1. " ORJR ,Output ring jobs removed" group.long (0x30000+0x3C)++0x03 line.long 0x00 "ORSFR_JR2,Output Ring Slots Full Register For Job Ring 2" hexmask.long.word 0x00 0.--9. 1. " ORSF ,Output ring slots full" if (((per.l(ad:0x08000000+0x30000+0x44))&0xF0000000)==0x00) rgroup.long (0x30000+0x44)++0x03 line.long 0x00 "JRSTAR_JR2,Job Ring Output Status Register For Job Ring 2" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." elif (((per.l(ad:0x08000000+0x30000+0x44))&0xF0000000)==0x70000000) rgroup.long (0x30000+0x44)++0x03 line.long 0x00 "JRSTAR_JR21,Job Ring Output Status Register For Job Ring 2" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x30000+0x44))&0xF0000000)==0x20000000) rgroup.long (0x30000+0x44)++0x03 line.long 0x00 "JRSTAR_JR22,Job Ring Output Status Register For Job Ring 2" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to an error within the descriptor (number of words)" newline bitfld.long 0x00 4.--7. " CHAID ,Algorithm that generated the error" "CCB,AESA,DESA,,MDHA,RNG,SNOWf8,KFHA f8/9,PKHA,CRCA,SNOWf9,ZUCE,ZUCA,?..." bitfld.long 0x00 0.--3. " ERRID ,Descriptor error ID" "None,Mode,Data size,Key size/Instantiate,Not instantiated/A size,Test instantiate/B size,Prediction resistance/Data out of sequence /ECC F2M,Prediction resistance and test request/Divide by 0,Modulus even,Key parity/Secure Key generation,ICV check failed,Hardware,CCM AAD size/Continuous check/Invalid key write,Class 1 or class 2 CHA is not reset,Invalid CHA combination selected,Invalid CHA" elif (((per.l(ad:0x08000000+0x30000+0x44))&0xF0000000)==0x30000000) rgroup.long (0x30000+0x44)++0x03 line.long 0x00 "JRSTAR_JR23,Job Ring Output Status Register For Job Ring 2" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to JUMP HALT command within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " LOCAL_OFFSET ,User defined value" elif (((per.l(ad:0x08000000+0x30000+0x44))&0xF0000000)==0x40000000) rgroup.long (0x30000+0x44)++0x03 line.long 0x00 "JRSTAR_JR24,Job Ring Output Status Register For Job Ring 2" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to an error within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x30000+0x44))&0xF0000000)==0x60000000) rgroup.long (0x30000+0x44)++0x03 line.long 0x00 "JRSTAR_JR26,Job Ring Output Status Register For Job Ring 2" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 8.--11. " NADDR ,Number of descriptor addresses requested" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x30000+0x44))&0xF0000000)==0x70000000) rgroup.long (0x30000+0x44)++0x03 line.long 0x00 "JRSTAR_JR27,Job Ring Output Status Register For Job Ring 2" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to JUMP HALT command within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " COND ,PKHA/Math condition codes field from JUMP HALT command" endif group.long (0x30000+0x4C)++0x0B line.long 0x00 "JRINTR_JR2,Job Ring Interrupt Status Register For Job Ring 2" rhexmask.long.word 0x00 16.--29. 1. " ERR_ORWI ,Output ring write index with error" rbitfld.long 0x00 8.--12. " ERR_TYPE ,Error type" ",Error writing status to output ring,,Bad input ring base address,Bad output ring base address,Invalid write to IRBAR_JR or IRSR_JR,Invalid write to ORBAR_JR or ORSR_JR,Job ring reset released before halted,ORJRR > ORSFR,IRJAR > IRSAR,Writing ORSF > ORS,Writing IRSA > IRS,Writing ORWI > ORS in bytes,Writing IRRI > IRS in bytes,Writing IRSA when ring is active,Writing IRRI when ring is active,Writing ORSF when ring is active,Writing ORWI when ring is active,?..." newline eventfld.long 0x00 5. " EXIT_FAIL ,Exit SecMon fail state" "Not exited,Exited" eventfld.long 0x00 4. " ENTER_FAIL ,Enter SecMon fail state" "Not entered,Entered" newline eventfld.long 0x00 3. " HALT[1] ,Halt the job ring 1" ",Requested" eventfld.long 0x00 2. " HALT[0] ,Halt the job ring 0" ",Flushed-Halted/Clear" newline eventfld.long 0x00 1. " JRE ,Job ring error" "No error,Error" eventfld.long 0x00 0. " JRI ,Job ring interrupt" "No interrupt,Interrupt" line.long 0x04 "JRCFGR_JR2_MS,Job Ring Configuration Register For Job Ring 2 Most Significant Half" bitfld.long 0x04 30. " INCL_SEQ_OUT ,Include sequence out length" "Not included,Included" bitfld.long 0x04 29. " FAIL_MODE ,Fail mode control" "Halt,Continue" line.long 0x08 "JRCFGR_JR2_LS,Job Ring Configuration Register For Job Ring 2 Least Significant Half" hexmask.long.word 0x08 16.--31. 1. " ICTT ,Interrupt coalescing timer threshold" hexmask.long.byte 0x08 8.--15. 1. " ICDCT ,Interrupt coalescing descriptor count threshold" newline bitfld.long 0x08 1. " ICEN ,Interrupt coalescing enable" "Disabled,Enabled" bitfld.long 0x08 0. " IMSK ,Interrupt mask" "Not masked,Masked" group.long (0x30000+0x5C)++0x03 line.long 0x00 "IRRIR_JR2,Input Ring Read Index Register For Job Ring 2" hexmask.long.word 0x00 0.--12. 1. " IRRI ,Input ring read index" group.long (0x30000+0x64)++0x03 line.long 0x00 "ORWIR_JR2,Output Ring Write Index Register For Job Ring 2" hexmask.long.word 0x00 0.--13. 1. " ORWI ,Output ring write index" wgroup.long (0x30000+0x6C)++0x03 line.long 0x00 "JRCR_JR2,Job Ring Command Register For Job Ring 2" bitfld.long 0x00 1. " PARK ,Park" "No effect,Park" bitfld.long 0x00 0. " RESET ,Reset" "No effect,Reset" newline hgroup.long (0x30000+0x704)++0x03 hide.long 0x00 "JR2AAV,Job Ring 2 Address-Array Valid Register" in newline rgroup.quad (0x30000+0x800)++0x2F line.quad 0x00 "JR2AAAV0,Job Ring 2 Address-Array Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x08 "JR2AAAV1,Job Ring 2 Address-Array Address 1 Register" hexmask.quad.sbyte 0x08 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x10 "JR2AAAV2,Job Ring 2 Address-Array Address 2 Register" hexmask.quad.sbyte 0x10 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x18 "JR2AAAV3,Job Ring 2 Address-Array Address 3 Register" hexmask.quad.sbyte 0x18 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x20 "JR2AAAV4,Job Ring 2 Address-Array Address 4 Register" hexmask.quad.sbyte 0x20 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x28 "JR2AAAV5,Job Ring 2 Address-Array Address 5 Register" hexmask.quad.sbyte 0x28 0.--48. 0x01 " JD_ADDR ,Job descriptor address" rgroup.long (0x30000+0xE00)++0x03 line.long 0x00 "REIR0JR2,Recoverable Error Interrupt Record 0 For Job Ring 2" bitfld.long 0x00 31. " MISS ,Miss" "Not occurred,Occurred" bitfld.long 0x00 24.--25. " TYPE ,Type of the recoverable error" ",Memory access,?..." rgroup.quad (0x30000+0xE08)++0x07 line.quad 0x00 "REIR2JR2,Recoverable Error Interrupt Record 2 For Job Ring 2" hexmask.quad.sbyte 0x00 0.--48. 0x01 " ADDR ,Address associated with the recoverable JR error" rgroup.long (0x30000+0xE10)++0x07 line.long 0x00 "REIR4JR2,Recoverable Error Interrupt Record 4 For Job Ring 2" bitfld.long 0x00 30.--31. " MIX ,Memory interface index" "0,1,2,3" bitfld.long 0x00 28.--29. " ERR ,AXI error response" "0,1,2,3" newline bitfld.long 0x00 25. " BMT ,Bypass memory translation transaction attribute" "0,1" bitfld.long 0x00 24. " PL ,Privilege level transaction attribute" "0,1" newline bitfld.long 0x00 23. " RWB ,Read or write" "0,1" bitfld.long 0x00 20.--22. " AXPROT ,AXI protection transaction attribute" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. " AXCACHE ,AXI cache control transaction attribute" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" line.long 0x04 "REIR5JR2,Recoverable Error Interrupt Record 5 For Job Ring 2" bitfld.long 0x04 24. " SAFE ,Safe" "0,1" bitfld.long 0x04 16.--19. " BID ,Block identifier of the source of the AXI transaction" "General registers,Job ring registers,Job ring registers,Job ring registers,Job ring registers,AIOP interface registers,Real-time integrity check registers,Queue interface registers,DECO 0/CHA CCB 0,DECO 1/CHA CCB 1,DECO 2/CHA CCB 2,DECO 3/CHA CCB 3,DECO 4/CHA CCB 4,DECO 5/CHA CCB 5,?..." tree.end tree "Job Ring 3 Registers" group.quad 0x40000++0x07 line.quad 0x00 "IRBAR_JR3,Input Ring Base Address Register For Job Ring 3" hexmask.quad.sbyte 0x00 0.--48. 0x01 " IRBA ,Input ring base address" group.long (0x40000+0x0C)++0x03 line.long 0x00 "IRSR_JR3,Input Ring Size Register For Job Ring 3" hexmask.long.word 0x00 0.--9. 1. " IRS ,Input ring size" group.long (0x40000+0x14)++0x03 line.long 0x00 "IRSAR_JR3,Input Ring Slots Available Register For Job Ring 3" hexmask.long.word 0x00 0.--9. 1. " IRSA ,Input ring slots available" group.long (0x40000+0x1C)++0x03 line.long 0x00 "IRJAR_JR3,Input Ring Jobs Added Register For Job Ring 3" hexmask.long.word 0x00 0.--9. 1. " IRJA ,Input ring jobs added" group.quad (0x40000+0x20)++0x07 line.quad 0x00 "ORBAR_JR3,Output Ring Base Address Register For Job Ring 3" hexmask.quad.sbyte 0x00 0.--48. 0x01 " ORBA ,Output ring base address" group.long (0x40000+0x2C)++0x03 line.long 0x00 "ORSR_JR3,Output Ring Size Register For Job Ring 3" hexmask.long.word 0x00 0.--9. 1. " ORS ,Output ring size" group.long (0x40000+0x34)++0x03 line.long 0x00 "ORJRR_JR3,Output Ring Jobs Removed Register For Job Ring 3" hexmask.long.word 0x00 0.--9. 1. " ORJR ,Output ring jobs removed" group.long (0x40000+0x3C)++0x03 line.long 0x00 "ORSFR_JR3,Output Ring Slots Full Register For Job Ring 3" hexmask.long.word 0x00 0.--9. 1. " ORSF ,Output ring slots full" if (((per.l(ad:0x08000000+0x40000+0x44))&0xF0000000)==0x00) rgroup.long (0x40000+0x44)++0x03 line.long 0x00 "JRSTAR_JR3,Job Ring Output Status Register For Job Ring 3" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." elif (((per.l(ad:0x08000000+0x40000+0x44))&0xF0000000)==0x70000000) rgroup.long (0x40000+0x44)++0x03 line.long 0x00 "JRSTAR_JR31,Job Ring Output Status Register For Job Ring 3" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x40000+0x44))&0xF0000000)==0x20000000) rgroup.long (0x40000+0x44)++0x03 line.long 0x00 "JRSTAR_JR32,Job Ring Output Status Register For Job Ring 3" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to an error within the descriptor (number of words)" newline bitfld.long 0x00 4.--7. " CHAID ,Algorithm that generated the error" "CCB,AESA,DESA,,MDHA,RNG,SNOWf8,KFHA f8/9,PKHA,CRCA,SNOWf9,ZUCE,ZUCA,?..." bitfld.long 0x00 0.--3. " ERRID ,Descriptor error ID" "None,Mode,Data size,Key size/Instantiate,Not instantiated/A size,Test instantiate/B size,Prediction resistance/Data out of sequence /ECC F2M,Prediction resistance and test request/Divide by 0,Modulus even,Key parity/Secure Key generation,ICV check failed,Hardware,CCM AAD size/Continuous check/Invalid key write,Class 1 or class 2 CHA is not reset,Invalid CHA combination selected,Invalid CHA" elif (((per.l(ad:0x08000000+0x40000+0x44))&0xF0000000)==0x30000000) rgroup.long (0x40000+0x44)++0x03 line.long 0x00 "JRSTAR_JR33,Job Ring Output Status Register For Job Ring 3" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to JUMP HALT command within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " LOCAL_OFFSET ,User defined value" elif (((per.l(ad:0x08000000+0x40000+0x44))&0xF0000000)==0x40000000) rgroup.long (0x40000+0x44)++0x03 line.long 0x00 "JRSTAR_JR34,Job Ring Output Status Register For Job Ring 3" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to an error within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x40000+0x44))&0xF0000000)==0x60000000) rgroup.long (0x40000+0x44)++0x03 line.long 0x00 "JRSTAR_JR36,Job Ring Output Status Register For Job Ring 3" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 8.--11. " NADDR ,Number of descriptor addresses requested" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 0.--7. 1. " ERROR ,Error code" elif (((per.l(ad:0x08000000+0x40000+0x44))&0xF0000000)==0x70000000) rgroup.long (0x40000+0x44)++0x03 line.long 0x00 "JRSTAR_JR37,Job Ring Output Status Register For Job Ring 3" bitfld.long 0x00 28.--31. " SSRC ,Status source" "None,,CCB,Jump halt user,DECO,QI,Job ring,Jump halt condition codes,?..." bitfld.long 0x00 27. " JMP ,Jump to another descriptor" "Not occurred,Occurred" newline bitfld.long 0x00 26. " MLK ,Memory leak" "Not occurred,Occurred" hexmask.long.byte 0x00 8.--15. 1. " DESCINDEX ,Index to JUMP HALT command within the descriptor (number of words)" newline hexmask.long.byte 0x00 0.--7. 1. " COND ,PKHA/Math condition codes field from JUMP HALT command" endif group.long (0x40000+0x4C)++0x0B line.long 0x00 "JRINTR_JR3,Job Ring Interrupt Status Register For Job Ring 3" rhexmask.long.word 0x00 16.--29. 1. " ERR_ORWI ,Output ring write index with error" rbitfld.long 0x00 8.--12. " ERR_TYPE ,Error type" ",Error writing status to output ring,,Bad input ring base address,Bad output ring base address,Invalid write to IRBAR_JR or IRSR_JR,Invalid write to ORBAR_JR or ORSR_JR,Job ring reset released before halted,ORJRR > ORSFR,IRJAR > IRSAR,Writing ORSF > ORS,Writing IRSA > IRS,Writing ORWI > ORS in bytes,Writing IRRI > IRS in bytes,Writing IRSA when ring is active,Writing IRRI when ring is active,Writing ORSF when ring is active,Writing ORWI when ring is active,?..." newline eventfld.long 0x00 5. " EXIT_FAIL ,Exit SecMon fail state" "Not exited,Exited" eventfld.long 0x00 4. " ENTER_FAIL ,Enter SecMon fail state" "Not entered,Entered" newline eventfld.long 0x00 3. " HALT[1] ,Halt the job ring 1" ",Requested" eventfld.long 0x00 2. " HALT[0] ,Halt the job ring 0" ",Flushed-Halted/Clear" newline eventfld.long 0x00 1. " JRE ,Job ring error" "No error,Error" eventfld.long 0x00 0. " JRI ,Job ring interrupt" "No interrupt,Interrupt" line.long 0x04 "JRCFGR_JR3_MS,Job Ring Configuration Register For Job Ring 3 Most Significant Half" bitfld.long 0x04 30. " INCL_SEQ_OUT ,Include sequence out length" "Not included,Included" bitfld.long 0x04 29. " FAIL_MODE ,Fail mode control" "Halt,Continue" line.long 0x08 "JRCFGR_JR3_LS,Job Ring Configuration Register For Job Ring 3 Least Significant Half" hexmask.long.word 0x08 16.--31. 1. " ICTT ,Interrupt coalescing timer threshold" hexmask.long.byte 0x08 8.--15. 1. " ICDCT ,Interrupt coalescing descriptor count threshold" newline bitfld.long 0x08 1. " ICEN ,Interrupt coalescing enable" "Disabled,Enabled" bitfld.long 0x08 0. " IMSK ,Interrupt mask" "Not masked,Masked" group.long (0x40000+0x5C)++0x03 line.long 0x00 "IRRIR_JR3,Input Ring Read Index Register For Job Ring 3" hexmask.long.word 0x00 0.--12. 1. " IRRI ,Input ring read index" group.long (0x40000+0x64)++0x03 line.long 0x00 "ORWIR_JR3,Output Ring Write Index Register For Job Ring 3" hexmask.long.word 0x00 0.--13. 1. " ORWI ,Output ring write index" wgroup.long (0x40000+0x6C)++0x03 line.long 0x00 "JRCR_JR3,Job Ring Command Register For Job Ring 3" bitfld.long 0x00 1. " PARK ,Park" "No effect,Park" bitfld.long 0x00 0. " RESET ,Reset" "No effect,Reset" newline hgroup.long (0x40000+0x704)++0x03 hide.long 0x00 "JR3AAV,Job Ring 3 Address-Array Valid Register" in newline rgroup.quad (0x40000+0x800)++0x2F line.quad 0x00 "JR3AAAV0,Job Ring 3 Address-Array Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x08 "JR3AAAV1,Job Ring 3 Address-Array Address 1 Register" hexmask.quad.sbyte 0x08 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x10 "JR3AAAV2,Job Ring 3 Address-Array Address 2 Register" hexmask.quad.sbyte 0x10 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x18 "JR3AAAV3,Job Ring 3 Address-Array Address 3 Register" hexmask.quad.sbyte 0x18 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x20 "JR3AAAV4,Job Ring 3 Address-Array Address 4 Register" hexmask.quad.sbyte 0x20 0.--48. 0x01 " JD_ADDR ,Job descriptor address" line.quad 0x28 "JR3AAAV5,Job Ring 3 Address-Array Address 5 Register" hexmask.quad.sbyte 0x28 0.--48. 0x01 " JD_ADDR ,Job descriptor address" rgroup.long (0x40000+0xE00)++0x03 line.long 0x00 "REIR0JR3,Recoverable Error Interrupt Record 0 For Job Ring 3" bitfld.long 0x00 31. " MISS ,Miss" "Not occurred,Occurred" bitfld.long 0x00 24.--25. " TYPE ,Type of the recoverable error" ",Memory access,?..." rgroup.quad (0x40000+0xE08)++0x07 line.quad 0x00 "REIR2JR3,Recoverable Error Interrupt Record 2 For Job Ring 3" hexmask.quad.sbyte 0x00 0.--48. 0x01 " ADDR ,Address associated with the recoverable JR error" rgroup.long (0x40000+0xE10)++0x07 line.long 0x00 "REIR4JR3,Recoverable Error Interrupt Record 4 For Job Ring 3" bitfld.long 0x00 30.--31. " MIX ,Memory interface index" "0,1,2,3" bitfld.long 0x00 28.--29. " ERR ,AXI error response" "0,1,2,3" newline bitfld.long 0x00 25. " BMT ,Bypass memory translation transaction attribute" "0,1" bitfld.long 0x00 24. " PL ,Privilege level transaction attribute" "0,1" newline bitfld.long 0x00 23. " RWB ,Read or write" "0,1" bitfld.long 0x00 20.--22. " AXPROT ,AXI protection transaction attribute" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. " AXCACHE ,AXI cache control transaction attribute" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID" line.long 0x04 "REIR5JR3,Recoverable Error Interrupt Record 5 For Job Ring 3" bitfld.long 0x04 24. " SAFE ,Safe" "0,1" bitfld.long 0x04 16.--19. " BID ,Block identifier of the source of the AXI transaction" "General registers,Job ring registers,Job ring registers,Job ring registers,Job ring registers,AIOP interface registers,Real-time integrity check registers,Queue interface registers,DECO 0/CHA CCB 0,DECO 1/CHA CCB 1,DECO 2/CHA CCB 2,DECO 3/CHA CCB 3,DECO 4/CHA CCB 4,DECO 5/CHA CCB 5,?..." tree.end width 11. tree "RTIC (Run-Time Integrity Checker) Registers" hgroup.long 0x60004++0x03 hide.long 0x00 "RSTA,RTIC Status Register" in newline group.long 0x6000C++0x03 line.long 0x00 "RCMD,RTIC Command Register" bitfld.long 0x00 3. " RTD ,Run time disable" "No,Yes" bitfld.long 0x00 2. " RTC ,Run time check" "Disabled,Enabled" bitfld.long 0x00 1. " HO ,Hash once" "Disabled,Enabled" bitfld.long 0x00 0. " CINT ,Clear interrupt" "No clear,Clear" group.long 0x60014++0x03 line.long 0x00 "RCTL,RTIC Control Register" bitfld.long 0x00 31. " DSV ,DECOSEL valid" "Not valid,Valid" bitfld.long 0x00 24.--28. " DECOSEL ,DECO select" "DECO 0,DECO 1,DECO 2,DECO 3,DECO 4,DECO 5,DECO 6,DECO 7,DECO 8,DECO 9,DECO 10,DECO 11,DECO 12,DECO 13,DECO 14,DECO 15,?..." bitfld.long 0x00 20. " RIDLE ,RTIC setting for the IPG_IDLE signal" "Neg when hashing,Neg when in Run-Time" bitfld.long 0x00 19. " RALG[3] ,RTIC algorithm select for memory block D" "SHA-256,SHA-512" newline bitfld.long 0x00 18. " [2] ,RTIC algorithm select for memory block C" "SHA-256,SHA-512" bitfld.long 0x00 17. " [1] ,RTIC algorithm select for memory block B" "SHA-256,SHA-512" bitfld.long 0x00 16. " [0] ,RTIC algorithm select for memory block A" "SHA-256,SHA-512" bitfld.long 0x00 15. " RTMU[3] ,Run time unlock memory block D" "Not unlocked,Unlocked" newline bitfld.long 0x00 14. " [2] ,Run time unlock memory block C" "Not unlocked,Unlocked" bitfld.long 0x00 13. " [1] ,Run time unlock memory block B" "Not unlocked,Unlocked" bitfld.long 0x00 12. " [0] ,Run time unlock memory block A" "Not unlocked,Unlocked" bitfld.long 0x00 11. " RTME[3] ,Run time enable memory block D" "Disabled,Enabled" newline bitfld.long 0x00 10. " [2] ,Run time enable memory block C" "Disabled,Enabled" bitfld.long 0x00 9. " [1] ,Run time enable memory block B" "Disabled,Enabled" bitfld.long 0x00 8. " [0] ,Run time enable memory block A" "Disabled,Enabled" bitfld.long 0x00 7. " HOME[3] ,Hash once enable memory block D" "Disabled,Enabled" newline bitfld.long 0x00 6. " [2] ,Hash once enable memory block C" "Disabled,Enabled" bitfld.long 0x00 5. " [1] ,Hash once enable memory block B" "Disabled,Enabled" bitfld.long 0x00 4. " [0] ,Hash once enable memory block A" "Disabled,Enabled" bitfld.long 0x00 1.--3. " RREQS ,RTIC request size" "1 block,1 block,2 blocks,3 blocks,4 blocks,5 blocks,6 blocks,7 blocks" newline bitfld.long 0x00 0. " IE ,Interrupt enable" "Disabled,Enabled" group.long 0x6001C++0x03 line.long 0x00 "RTHR,RTIC Throttle Register" group.quad 0x60028++0x07 line.quad 0x00 "RWDOG,RTIC Watchdog Timer" hexmask.quad.sbyte 0x00 0.--47. 1. " RWDOG ,Run time watchdog time-out value" tree "RTIC Memory Block Registers" group.quad 0x60100++0x07 line.quad 0x00 "RMAA0,RTIC Memory Block A Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " MEMBLKADDR ,Memory block address" group.long (0x60100+0x0C)++0x03 line.long 0x00 "RMAL0,RTIC Memory Block A Length 0 Register" group.quad (0x60100+0x10)++0x07 line.quad 0x00 "RMAA1,RTIC Memory Block A Address 1 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " MEMBLKADDR ,Memory block address" group.long (0x60100+0x1C)++0x03 line.long 0x00 "RMAL1,RTIC Memory Block A Length 1 Register" group.quad 0x60120++0x07 line.quad 0x00 "RMBA0,RTIC Memory Block B Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " MEMBLKADDR ,Memory block address" group.long (0x60120+0x0C)++0x03 line.long 0x00 "RMBL0,RTIC Memory Block B Length 0 Register" group.quad (0x60120+0x10)++0x07 line.quad 0x00 "RMBA1,RTIC Memory Block B Address 1 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " MEMBLKADDR ,Memory block address" group.long (0x60120+0x1C)++0x03 line.long 0x00 "RMBL1,RTIC Memory Block B Length 1 Register" group.quad 0x60140++0x07 line.quad 0x00 "RMCA0,RTIC Memory Block C Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " MEMBLKADDR ,Memory block address" group.long (0x60140+0x0C)++0x03 line.long 0x00 "RMCL0,RTIC Memory Block C Length 0 Register" group.quad (0x60140+0x10)++0x07 line.quad 0x00 "RMCA1,RTIC Memory Block C Address 1 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " MEMBLKADDR ,Memory block address" group.long (0x60140+0x1C)++0x03 line.long 0x00 "RMCL1,RTIC Memory Block C Length 1 Register" group.quad 0x60160++0x07 line.quad 0x00 "RMDA0,RTIC Memory Block D Address 0 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " MEMBLKADDR ,Memory block address" group.long (0x60160+0x0C)++0x03 line.long 0x00 "RMDL0,RTIC Memory Block D Length 0 Register" group.quad (0x60160+0x10)++0x07 line.quad 0x00 "RMDA1,RTIC Memory Block D Address 1 Register" hexmask.quad.sbyte 0x00 0.--48. 0x01 " MEMBLKADDR ,Memory block address" group.long (0x60160+0x1C)++0x03 line.long 0x00 "RMDL1,RTIC Memory Block D Length 1 Register" tree.end tree "Endian Format Registers" tree "Big Endian Format" group.long 0x60200++0x03 line.long 0x00 "RAMDB_0,RTIC Memory Block A Hash Result Big Endian Format Register 0" group.long 0x60204++0x03 line.long 0x00 "RAMDB_1,RTIC Memory Block A Hash Result Big Endian Format Register 1" group.long 0x60208++0x03 line.long 0x00 "RAMDB_2,RTIC Memory Block A Hash Result Big Endian Format Register 2" group.long 0x6020C++0x03 line.long 0x00 "RAMDB_3,RTIC Memory Block A Hash Result Big Endian Format Register 3" group.long 0x60210++0x03 line.long 0x00 "RAMDB_4,RTIC Memory Block A Hash Result Big Endian Format Register 4" group.long 0x60214++0x03 line.long 0x00 "RAMDB_5,RTIC Memory Block A Hash Result Big Endian Format Register 5" group.long 0x60218++0x03 line.long 0x00 "RAMDB_6,RTIC Memory Block A Hash Result Big Endian Format Register 6" group.long 0x6021C++0x03 line.long 0x00 "RAMDB_7,RTIC Memory Block A Hash Result Big Endian Format Register 7" group.long 0x60220++0x03 line.long 0x00 "RAMDB_8,RTIC Memory Block A Hash Result Big Endian Format Register 8" group.long 0x60224++0x03 line.long 0x00 "RAMDB_9,RTIC Memory Block A Hash Result Big Endian Format Register 9" group.long 0x60228++0x03 line.long 0x00 "RAMDB_10,RTIC Memory Block A Hash Result Big Endian Format Register 10" group.long 0x6022C++0x03 line.long 0x00 "RAMDB_11,RTIC Memory Block A Hash Result Big Endian Format Register 11" group.long 0x60230++0x03 line.long 0x00 "RAMDB_12,RTIC Memory Block A Hash Result Big Endian Format Register 12" group.long 0x60234++0x03 line.long 0x00 "RAMDB_13,RTIC Memory Block A Hash Result Big Endian Format Register 13" group.long 0x60238++0x03 line.long 0x00 "RAMDB_14,RTIC Memory Block A Hash Result Big Endian Format Register 14" group.long 0x6023C++0x03 line.long 0x00 "RAMDB_15,RTIC Memory Block A Hash Result Big Endian Format Register 15" group.long 0x60240++0x03 line.long 0x00 "RAMDB_16,RTIC Memory Block A Hash Result Big Endian Format Register 16" group.long 0x60244++0x03 line.long 0x00 "RAMDB_17,RTIC Memory Block A Hash Result Big Endian Format Register 17" group.long 0x60248++0x03 line.long 0x00 "RAMDB_18,RTIC Memory Block A Hash Result Big Endian Format Register 18" group.long 0x6024C++0x03 line.long 0x00 "RAMDB_19,RTIC Memory Block A Hash Result Big Endian Format Register 19" group.long 0x60250++0x03 line.long 0x00 "RAMDB_20,RTIC Memory Block A Hash Result Big Endian Format Register 20" group.long 0x60254++0x03 line.long 0x00 "RAMDB_21,RTIC Memory Block A Hash Result Big Endian Format Register 21" group.long 0x60258++0x03 line.long 0x00 "RAMDB_22,RTIC Memory Block A Hash Result Big Endian Format Register 22" group.long 0x6025C++0x03 line.long 0x00 "RAMDB_23,RTIC Memory Block A Hash Result Big Endian Format Register 23" group.long 0x60260++0x03 line.long 0x00 "RAMDB_24,RTIC Memory Block A Hash Result Big Endian Format Register 24" group.long 0x60264++0x03 line.long 0x00 "RAMDB_25,RTIC Memory Block A Hash Result Big Endian Format Register 25" group.long 0x60268++0x03 line.long 0x00 "RAMDB_26,RTIC Memory Block A Hash Result Big Endian Format Register 26" group.long 0x6026C++0x03 line.long 0x00 "RAMDB_27,RTIC Memory Block A Hash Result Big Endian Format Register 27" group.long 0x60270++0x03 line.long 0x00 "RAMDB_28,RTIC Memory Block A Hash Result Big Endian Format Register 28" group.long 0x60274++0x03 line.long 0x00 "RAMDB_29,RTIC Memory Block A Hash Result Big Endian Format Register 29" group.long 0x60278++0x03 line.long 0x00 "RAMDB_30,RTIC Memory Block A Hash Result Big Endian Format Register 30" group.long 0x6027C++0x03 line.long 0x00 "RAMDB_31,RTIC Memory Block A Hash Result Big Endian Format Register 31" group.long 0x60300++0x03 line.long 0x00 "RBMDB_0,RTIC Memory Block B Hash Result Big Endian Format Register 0" group.long 0x60304++0x03 line.long 0x00 "RBMDB_1,RTIC Memory Block B Hash Result Big Endian Format Register 1" group.long 0x60308++0x03 line.long 0x00 "RBMDB_2,RTIC Memory Block B Hash Result Big Endian Format Register 2" group.long 0x6030C++0x03 line.long 0x00 "RBMDB_3,RTIC Memory Block B Hash Result Big Endian Format Register 3" group.long 0x60310++0x03 line.long 0x00 "RBMDB_4,RTIC Memory Block B Hash Result Big Endian Format Register 4" group.long 0x60314++0x03 line.long 0x00 "RBMDB_5,RTIC Memory Block B Hash Result Big Endian Format Register 5" group.long 0x60318++0x03 line.long 0x00 "RBMDB_6,RTIC Memory Block B Hash Result Big Endian Format Register 6" group.long 0x6031C++0x03 line.long 0x00 "RBMDB_7,RTIC Memory Block B Hash Result Big Endian Format Register 7" group.long 0x60320++0x03 line.long 0x00 "RBMDB_8,RTIC Memory Block B Hash Result Big Endian Format Register 8" group.long 0x60324++0x03 line.long 0x00 "RBMDB_9,RTIC Memory Block B Hash Result Big Endian Format Register 9" group.long 0x60328++0x03 line.long 0x00 "RBMDB_10,RTIC Memory Block B Hash Result Big Endian Format Register 10" group.long 0x6032C++0x03 line.long 0x00 "RBMDB_11,RTIC Memory Block B Hash Result Big Endian Format Register 11" group.long 0x60330++0x03 line.long 0x00 "RBMDB_12,RTIC Memory Block B Hash Result Big Endian Format Register 12" group.long 0x60334++0x03 line.long 0x00 "RBMDB_13,RTIC Memory Block B Hash Result Big Endian Format Register 13" group.long 0x60338++0x03 line.long 0x00 "RBMDB_14,RTIC Memory Block B Hash Result Big Endian Format Register 14" group.long 0x6033C++0x03 line.long 0x00 "RBMDB_15,RTIC Memory Block B Hash Result Big Endian Format Register 15" group.long 0x60340++0x03 line.long 0x00 "RBMDB_16,RTIC Memory Block B Hash Result Big Endian Format Register 16" group.long 0x60344++0x03 line.long 0x00 "RBMDB_17,RTIC Memory Block B Hash Result Big Endian Format Register 17" group.long 0x60348++0x03 line.long 0x00 "RBMDB_18,RTIC Memory Block B Hash Result Big Endian Format Register 18" group.long 0x6034C++0x03 line.long 0x00 "RBMDB_19,RTIC Memory Block B Hash Result Big Endian Format Register 19" group.long 0x60350++0x03 line.long 0x00 "RBMDB_20,RTIC Memory Block B Hash Result Big Endian Format Register 20" group.long 0x60354++0x03 line.long 0x00 "RBMDB_21,RTIC Memory Block B Hash Result Big Endian Format Register 21" group.long 0x60358++0x03 line.long 0x00 "RBMDB_22,RTIC Memory Block B Hash Result Big Endian Format Register 22" group.long 0x6035C++0x03 line.long 0x00 "RBMDB_23,RTIC Memory Block B Hash Result Big Endian Format Register 23" group.long 0x60360++0x03 line.long 0x00 "RBMDB_24,RTIC Memory Block B Hash Result Big Endian Format Register 24" group.long 0x60364++0x03 line.long 0x00 "RBMDB_25,RTIC Memory Block B Hash Result Big Endian Format Register 25" group.long 0x60368++0x03 line.long 0x00 "RBMDB_26,RTIC Memory Block B Hash Result Big Endian Format Register 26" group.long 0x6036C++0x03 line.long 0x00 "RBMDB_27,RTIC Memory Block B Hash Result Big Endian Format Register 27" group.long 0x60370++0x03 line.long 0x00 "RBMDB_28,RTIC Memory Block B Hash Result Big Endian Format Register 28" group.long 0x60374++0x03 line.long 0x00 "RBMDB_29,RTIC Memory Block B Hash Result Big Endian Format Register 29" group.long 0x60378++0x03 line.long 0x00 "RBMDB_30,RTIC Memory Block B Hash Result Big Endian Format Register 30" group.long 0x6037C++0x03 line.long 0x00 "RBMDB_31,RTIC Memory Block B Hash Result Big Endian Format Register 31" group.long 0x60400++0x03 line.long 0x00 "RCMDB_0,RTIC Memory Block C Hash Result Big Endian Format Register 0" group.long 0x60404++0x03 line.long 0x00 "RCMDB_1,RTIC Memory Block C Hash Result Big Endian Format Register 1" group.long 0x60408++0x03 line.long 0x00 "RCMDB_2,RTIC Memory Block C Hash Result Big Endian Format Register 2" group.long 0x6040C++0x03 line.long 0x00 "RCMDB_3,RTIC Memory Block C Hash Result Big Endian Format Register 3" group.long 0x60410++0x03 line.long 0x00 "RCMDB_4,RTIC Memory Block C Hash Result Big Endian Format Register 4" group.long 0x60414++0x03 line.long 0x00 "RCMDB_5,RTIC Memory Block C Hash Result Big Endian Format Register 5" group.long 0x60418++0x03 line.long 0x00 "RCMDB_6,RTIC Memory Block C Hash Result Big Endian Format Register 6" group.long 0x6041C++0x03 line.long 0x00 "RCMDB_7,RTIC Memory Block C Hash Result Big Endian Format Register 7" group.long 0x60420++0x03 line.long 0x00 "RCMDB_8,RTIC Memory Block C Hash Result Big Endian Format Register 8" group.long 0x60424++0x03 line.long 0x00 "RCMDB_9,RTIC Memory Block C Hash Result Big Endian Format Register 9" group.long 0x60428++0x03 line.long 0x00 "RCMDB_10,RTIC Memory Block C Hash Result Big Endian Format Register 10" group.long 0x6042C++0x03 line.long 0x00 "RCMDB_11,RTIC Memory Block C Hash Result Big Endian Format Register 11" group.long 0x60430++0x03 line.long 0x00 "RCMDB_12,RTIC Memory Block C Hash Result Big Endian Format Register 12" group.long 0x60434++0x03 line.long 0x00 "RCMDB_13,RTIC Memory Block C Hash Result Big Endian Format Register 13" group.long 0x60438++0x03 line.long 0x00 "RCMDB_14,RTIC Memory Block C Hash Result Big Endian Format Register 14" group.long 0x6043C++0x03 line.long 0x00 "RCMDB_15,RTIC Memory Block C Hash Result Big Endian Format Register 15" group.long 0x60440++0x03 line.long 0x00 "RCMDB_16,RTIC Memory Block C Hash Result Big Endian Format Register 16" group.long 0x60444++0x03 line.long 0x00 "RCMDB_17,RTIC Memory Block C Hash Result Big Endian Format Register 17" group.long 0x60448++0x03 line.long 0x00 "RCMDB_18,RTIC Memory Block C Hash Result Big Endian Format Register 18" group.long 0x6044C++0x03 line.long 0x00 "RCMDB_19,RTIC Memory Block C Hash Result Big Endian Format Register 19" group.long 0x60450++0x03 line.long 0x00 "RCMDB_20,RTIC Memory Block C Hash Result Big Endian Format Register 20" group.long 0x60454++0x03 line.long 0x00 "RCMDB_21,RTIC Memory Block C Hash Result Big Endian Format Register 21" group.long 0x60458++0x03 line.long 0x00 "RCMDB_22,RTIC Memory Block C Hash Result Big Endian Format Register 22" group.long 0x6045C++0x03 line.long 0x00 "RCMDB_23,RTIC Memory Block C Hash Result Big Endian Format Register 23" group.long 0x60460++0x03 line.long 0x00 "RCMDB_24,RTIC Memory Block C Hash Result Big Endian Format Register 24" group.long 0x60464++0x03 line.long 0x00 "RCMDB_25,RTIC Memory Block C Hash Result Big Endian Format Register 25" group.long 0x60468++0x03 line.long 0x00 "RCMDB_26,RTIC Memory Block C Hash Result Big Endian Format Register 26" group.long 0x6046C++0x03 line.long 0x00 "RCMDB_27,RTIC Memory Block C Hash Result Big Endian Format Register 27" group.long 0x60470++0x03 line.long 0x00 "RCMDB_28,RTIC Memory Block C Hash Result Big Endian Format Register 28" group.long 0x60474++0x03 line.long 0x00 "RCMDB_29,RTIC Memory Block C Hash Result Big Endian Format Register 29" group.long 0x60478++0x03 line.long 0x00 "RCMDB_30,RTIC Memory Block C Hash Result Big Endian Format Register 30" group.long 0x6047C++0x03 line.long 0x00 "RCMDB_31,RTIC Memory Block C Hash Result Big Endian Format Register 31" group.long 0x60500++0x03 line.long 0x00 "RDMDB_0,RTIC Memory Block D Hash Result Big Endian Format Register 0" group.long 0x60504++0x03 line.long 0x00 "RDMDB_1,RTIC Memory Block D Hash Result Big Endian Format Register 1" group.long 0x60508++0x03 line.long 0x00 "RDMDB_2,RTIC Memory Block D Hash Result Big Endian Format Register 2" group.long 0x6050C++0x03 line.long 0x00 "RDMDB_3,RTIC Memory Block D Hash Result Big Endian Format Register 3" group.long 0x60510++0x03 line.long 0x00 "RDMDB_4,RTIC Memory Block D Hash Result Big Endian Format Register 4" group.long 0x60514++0x03 line.long 0x00 "RDMDB_5,RTIC Memory Block D Hash Result Big Endian Format Register 5" group.long 0x60518++0x03 line.long 0x00 "RDMDB_6,RTIC Memory Block D Hash Result Big Endian Format Register 6" group.long 0x6051C++0x03 line.long 0x00 "RDMDB_7,RTIC Memory Block D Hash Result Big Endian Format Register 7" group.long 0x60520++0x03 line.long 0x00 "RDMDB_8,RTIC Memory Block D Hash Result Big Endian Format Register 8" group.long 0x60524++0x03 line.long 0x00 "RDMDB_9,RTIC Memory Block D Hash Result Big Endian Format Register 9" group.long 0x60528++0x03 line.long 0x00 "RDMDB_10,RTIC Memory Block D Hash Result Big Endian Format Register 10" group.long 0x6052C++0x03 line.long 0x00 "RDMDB_11,RTIC Memory Block D Hash Result Big Endian Format Register 11" group.long 0x60530++0x03 line.long 0x00 "RDMDB_12,RTIC Memory Block D Hash Result Big Endian Format Register 12" group.long 0x60534++0x03 line.long 0x00 "RDMDB_13,RTIC Memory Block D Hash Result Big Endian Format Register 13" group.long 0x60538++0x03 line.long 0x00 "RDMDB_14,RTIC Memory Block D Hash Result Big Endian Format Register 14" group.long 0x6053C++0x03 line.long 0x00 "RDMDB_15,RTIC Memory Block D Hash Result Big Endian Format Register 15" group.long 0x60540++0x03 line.long 0x00 "RDMDB_16,RTIC Memory Block D Hash Result Big Endian Format Register 16" group.long 0x60544++0x03 line.long 0x00 "RDMDB_17,RTIC Memory Block D Hash Result Big Endian Format Register 17" group.long 0x60548++0x03 line.long 0x00 "RDMDB_18,RTIC Memory Block D Hash Result Big Endian Format Register 18" group.long 0x6054C++0x03 line.long 0x00 "RDMDB_19,RTIC Memory Block D Hash Result Big Endian Format Register 19" group.long 0x60550++0x03 line.long 0x00 "RDMDB_20,RTIC Memory Block D Hash Result Big Endian Format Register 20" group.long 0x60554++0x03 line.long 0x00 "RDMDB_21,RTIC Memory Block D Hash Result Big Endian Format Register 21" group.long 0x60558++0x03 line.long 0x00 "RDMDB_22,RTIC Memory Block D Hash Result Big Endian Format Register 22" group.long 0x6055C++0x03 line.long 0x00 "RDMDB_23,RTIC Memory Block D Hash Result Big Endian Format Register 23" group.long 0x60560++0x03 line.long 0x00 "RDMDB_24,RTIC Memory Block D Hash Result Big Endian Format Register 24" group.long 0x60564++0x03 line.long 0x00 "RDMDB_25,RTIC Memory Block D Hash Result Big Endian Format Register 25" group.long 0x60568++0x03 line.long 0x00 "RDMDB_26,RTIC Memory Block D Hash Result Big Endian Format Register 26" group.long 0x6056C++0x03 line.long 0x00 "RDMDB_27,RTIC Memory Block D Hash Result Big Endian Format Register 27" group.long 0x60570++0x03 line.long 0x00 "RDMDB_28,RTIC Memory Block D Hash Result Big Endian Format Register 28" group.long 0x60574++0x03 line.long 0x00 "RDMDB_29,RTIC Memory Block D Hash Result Big Endian Format Register 29" group.long 0x60578++0x03 line.long 0x00 "RDMDB_30,RTIC Memory Block D Hash Result Big Endian Format Register 30" group.long 0x6057C++0x03 line.long 0x00 "RDMDB_31,RTIC Memory Block D Hash Result Big Endian Format Register 31" tree.end tree "Little Endian Format" group.long 0x60280++0x03 line.long 0x00 "RAMDL_0,RTIC Memory Block A Hash Result Little Endian Format Register 0" group.long 0x60284++0x03 line.long 0x00 "RAMDL_1,RTIC Memory Block A Hash Result Little Endian Format Register 1" group.long 0x60288++0x03 line.long 0x00 "RAMDL_2,RTIC Memory Block A Hash Result Little Endian Format Register 2" group.long 0x6028C++0x03 line.long 0x00 "RAMDL_3,RTIC Memory Block A Hash Result Little Endian Format Register 3" group.long 0x60290++0x03 line.long 0x00 "RAMDL_4,RTIC Memory Block A Hash Result Little Endian Format Register 4" group.long 0x60294++0x03 line.long 0x00 "RAMDL_5,RTIC Memory Block A Hash Result Little Endian Format Register 5" group.long 0x60298++0x03 line.long 0x00 "RAMDL_6,RTIC Memory Block A Hash Result Little Endian Format Register 6" group.long 0x6029C++0x03 line.long 0x00 "RAMDL_7,RTIC Memory Block A Hash Result Little Endian Format Register 7" group.long 0x602A0++0x03 line.long 0x00 "RAMDL_8,RTIC Memory Block A Hash Result Little Endian Format Register 8" group.long 0x602A4++0x03 line.long 0x00 "RAMDL_9,RTIC Memory Block A Hash Result Little Endian Format Register 9" group.long 0x602A8++0x03 line.long 0x00 "RAMDL_10,RTIC Memory Block A Hash Result Little Endian Format Register 10" group.long 0x602AC++0x03 line.long 0x00 "RAMDL_11,RTIC Memory Block A Hash Result Little Endian Format Register 11" group.long 0x602B0++0x03 line.long 0x00 "RAMDL_12,RTIC Memory Block A Hash Result Little Endian Format Register 12" group.long 0x602B4++0x03 line.long 0x00 "RAMDL_13,RTIC Memory Block A Hash Result Little Endian Format Register 13" group.long 0x602B8++0x03 line.long 0x00 "RAMDL_14,RTIC Memory Block A Hash Result Little Endian Format Register 14" group.long 0x602BC++0x03 line.long 0x00 "RAMDL_15,RTIC Memory Block A Hash Result Little Endian Format Register 15" group.long 0x602C0++0x03 line.long 0x00 "RAMDL_16,RTIC Memory Block A Hash Result Little Endian Format Register 16" group.long 0x602C4++0x03 line.long 0x00 "RAMDL_17,RTIC Memory Block A Hash Result Little Endian Format Register 17" group.long 0x602C8++0x03 line.long 0x00 "RAMDL_18,RTIC Memory Block A Hash Result Little Endian Format Register 18" group.long 0x602CC++0x03 line.long 0x00 "RAMDL_19,RTIC Memory Block A Hash Result Little Endian Format Register 19" group.long 0x602D0++0x03 line.long 0x00 "RAMDL_20,RTIC Memory Block A Hash Result Little Endian Format Register 20" group.long 0x602D4++0x03 line.long 0x00 "RAMDL_21,RTIC Memory Block A Hash Result Little Endian Format Register 21" group.long 0x602D8++0x03 line.long 0x00 "RAMDL_22,RTIC Memory Block A Hash Result Little Endian Format Register 22" group.long 0x602DC++0x03 line.long 0x00 "RAMDL_23,RTIC Memory Block A Hash Result Little Endian Format Register 23" group.long 0x602E0++0x03 line.long 0x00 "RAMDL_24,RTIC Memory Block A Hash Result Little Endian Format Register 24" group.long 0x602E4++0x03 line.long 0x00 "RAMDL_25,RTIC Memory Block A Hash Result Little Endian Format Register 25" group.long 0x602E8++0x03 line.long 0x00 "RAMDL_26,RTIC Memory Block A Hash Result Little Endian Format Register 26" group.long 0x602EC++0x03 line.long 0x00 "RAMDL_27,RTIC Memory Block A Hash Result Little Endian Format Register 27" group.long 0x602F0++0x03 line.long 0x00 "RAMDL_28,RTIC Memory Block A Hash Result Little Endian Format Register 28" group.long 0x602F4++0x03 line.long 0x00 "RAMDL_29,RTIC Memory Block A Hash Result Little Endian Format Register 29" group.long 0x602F8++0x03 line.long 0x00 "RAMDL_30,RTIC Memory Block A Hash Result Little Endian Format Register 30" group.long 0x602FC++0x03 line.long 0x00 "RAMDL_31,RTIC Memory Block A Hash Result Little Endian Format Register 31" group.long 0x60380++0x03 line.long 0x00 "RBMDL_0,RTIC Memory Block B Hash Result Little Endian Format Register 0" group.long 0x60384++0x03 line.long 0x00 "RBMDL_1,RTIC Memory Block B Hash Result Little Endian Format Register 1" group.long 0x60388++0x03 line.long 0x00 "RBMDL_2,RTIC Memory Block B Hash Result Little Endian Format Register 2" group.long 0x6038C++0x03 line.long 0x00 "RBMDL_3,RTIC Memory Block B Hash Result Little Endian Format Register 3" group.long 0x60390++0x03 line.long 0x00 "RBMDL_4,RTIC Memory Block B Hash Result Little Endian Format Register 4" group.long 0x60394++0x03 line.long 0x00 "RBMDL_5,RTIC Memory Block B Hash Result Little Endian Format Register 5" group.long 0x60398++0x03 line.long 0x00 "RBMDL_6,RTIC Memory Block B Hash Result Little Endian Format Register 6" group.long 0x6039C++0x03 line.long 0x00 "RBMDL_7,RTIC Memory Block B Hash Result Little Endian Format Register 7" group.long 0x603A0++0x03 line.long 0x00 "RBMDL_8,RTIC Memory Block B Hash Result Little Endian Format Register 8" group.long 0x603A4++0x03 line.long 0x00 "RBMDL_9,RTIC Memory Block B Hash Result Little Endian Format Register 9" group.long 0x603A8++0x03 line.long 0x00 "RBMDL_10,RTIC Memory Block B Hash Result Little Endian Format Register 10" group.long 0x603AC++0x03 line.long 0x00 "RBMDL_11,RTIC Memory Block B Hash Result Little Endian Format Register 11" group.long 0x603B0++0x03 line.long 0x00 "RBMDL_12,RTIC Memory Block B Hash Result Little Endian Format Register 12" group.long 0x603B4++0x03 line.long 0x00 "RBMDL_13,RTIC Memory Block B Hash Result Little Endian Format Register 13" group.long 0x603B8++0x03 line.long 0x00 "RBMDL_14,RTIC Memory Block B Hash Result Little Endian Format Register 14" group.long 0x603BC++0x03 line.long 0x00 "RBMDL_15,RTIC Memory Block B Hash Result Little Endian Format Register 15" group.long 0x603C0++0x03 line.long 0x00 "RBMDL_16,RTIC Memory Block B Hash Result Little Endian Format Register 16" group.long 0x603C4++0x03 line.long 0x00 "RBMDL_17,RTIC Memory Block B Hash Result Little Endian Format Register 17" group.long 0x603C8++0x03 line.long 0x00 "RBMDL_18,RTIC Memory Block B Hash Result Little Endian Format Register 18" group.long 0x603CC++0x03 line.long 0x00 "RBMDL_19,RTIC Memory Block B Hash Result Little Endian Format Register 19" group.long 0x603D0++0x03 line.long 0x00 "RBMDL_20,RTIC Memory Block B Hash Result Little Endian Format Register 20" group.long 0x603D4++0x03 line.long 0x00 "RBMDL_21,RTIC Memory Block B Hash Result Little Endian Format Register 21" group.long 0x603D8++0x03 line.long 0x00 "RBMDL_22,RTIC Memory Block B Hash Result Little Endian Format Register 22" group.long 0x603DC++0x03 line.long 0x00 "RBMDL_23,RTIC Memory Block B Hash Result Little Endian Format Register 23" group.long 0x603E0++0x03 line.long 0x00 "RBMDL_24,RTIC Memory Block B Hash Result Little Endian Format Register 24" group.long 0x603E4++0x03 line.long 0x00 "RBMDL_25,RTIC Memory Block B Hash Result Little Endian Format Register 25" group.long 0x603E8++0x03 line.long 0x00 "RBMDL_26,RTIC Memory Block B Hash Result Little Endian Format Register 26" group.long 0x603EC++0x03 line.long 0x00 "RBMDL_27,RTIC Memory Block B Hash Result Little Endian Format Register 27" group.long 0x603F0++0x03 line.long 0x00 "RBMDL_28,RTIC Memory Block B Hash Result Little Endian Format Register 28" group.long 0x603F4++0x03 line.long 0x00 "RBMDL_29,RTIC Memory Block B Hash Result Little Endian Format Register 29" group.long 0x603F8++0x03 line.long 0x00 "RBMDL_30,RTIC Memory Block B Hash Result Little Endian Format Register 30" group.long 0x603FC++0x03 line.long 0x00 "RBMDL_31,RTIC Memory Block B Hash Result Little Endian Format Register 31" group.long 0x60480++0x03 line.long 0x00 "RCMDL_0,RTIC Memory Block C Hash Result Little Endian Format Register 0" group.long 0x60484++0x03 line.long 0x00 "RCMDL_1,RTIC Memory Block C Hash Result Little Endian Format Register 1" group.long 0x60488++0x03 line.long 0x00 "RCMDL_2,RTIC Memory Block C Hash Result Little Endian Format Register 2" group.long 0x6048C++0x03 line.long 0x00 "RCMDL_3,RTIC Memory Block C Hash Result Little Endian Format Register 3" group.long 0x60490++0x03 line.long 0x00 "RCMDL_4,RTIC Memory Block C Hash Result Little Endian Format Register 4" group.long 0x60494++0x03 line.long 0x00 "RCMDL_5,RTIC Memory Block C Hash Result Little Endian Format Register 5" group.long 0x60498++0x03 line.long 0x00 "RCMDL_6,RTIC Memory Block C Hash Result Little Endian Format Register 6" group.long 0x6049C++0x03 line.long 0x00 "RCMDL_7,RTIC Memory Block C Hash Result Little Endian Format Register 7" group.long 0x604A0++0x03 line.long 0x00 "RCMDL_8,RTIC Memory Block C Hash Result Little Endian Format Register 8" group.long 0x604A4++0x03 line.long 0x00 "RCMDL_9,RTIC Memory Block C Hash Result Little Endian Format Register 9" group.long 0x604A8++0x03 line.long 0x00 "RCMDL_10,RTIC Memory Block C Hash Result Little Endian Format Register 10" group.long 0x604AC++0x03 line.long 0x00 "RCMDL_11,RTIC Memory Block C Hash Result Little Endian Format Register 11" group.long 0x604B0++0x03 line.long 0x00 "RCMDL_12,RTIC Memory Block C Hash Result Little Endian Format Register 12" group.long 0x604B4++0x03 line.long 0x00 "RCMDL_13,RTIC Memory Block C Hash Result Little Endian Format Register 13" group.long 0x604B8++0x03 line.long 0x00 "RCMDL_14,RTIC Memory Block C Hash Result Little Endian Format Register 14" group.long 0x604BC++0x03 line.long 0x00 "RCMDL_15,RTIC Memory Block C Hash Result Little Endian Format Register 15" group.long 0x604C0++0x03 line.long 0x00 "RCMDL_16,RTIC Memory Block C Hash Result Little Endian Format Register 16" group.long 0x604C4++0x03 line.long 0x00 "RCMDL_17,RTIC Memory Block C Hash Result Little Endian Format Register 17" group.long 0x604C8++0x03 line.long 0x00 "RCMDL_18,RTIC Memory Block C Hash Result Little Endian Format Register 18" group.long 0x604CC++0x03 line.long 0x00 "RCMDL_19,RTIC Memory Block C Hash Result Little Endian Format Register 19" group.long 0x604D0++0x03 line.long 0x00 "RCMDL_20,RTIC Memory Block C Hash Result Little Endian Format Register 20" group.long 0x604D4++0x03 line.long 0x00 "RCMDL_21,RTIC Memory Block C Hash Result Little Endian Format Register 21" group.long 0x604D8++0x03 line.long 0x00 "RCMDL_22,RTIC Memory Block C Hash Result Little Endian Format Register 22" group.long 0x604DC++0x03 line.long 0x00 "RCMDL_23,RTIC Memory Block C Hash Result Little Endian Format Register 23" group.long 0x604E0++0x03 line.long 0x00 "RCMDL_24,RTIC Memory Block C Hash Result Little Endian Format Register 24" group.long 0x604E4++0x03 line.long 0x00 "RCMDL_25,RTIC Memory Block C Hash Result Little Endian Format Register 25" group.long 0x604E8++0x03 line.long 0x00 "RCMDL_26,RTIC Memory Block C Hash Result Little Endian Format Register 26" group.long 0x604EC++0x03 line.long 0x00 "RCMDL_27,RTIC Memory Block C Hash Result Little Endian Format Register 27" group.long 0x604F0++0x03 line.long 0x00 "RCMDL_28,RTIC Memory Block C Hash Result Little Endian Format Register 28" group.long 0x604F4++0x03 line.long 0x00 "RCMDL_29,RTIC Memory Block C Hash Result Little Endian Format Register 29" group.long 0x604F8++0x03 line.long 0x00 "RCMDL_30,RTIC Memory Block C Hash Result Little Endian Format Register 30" group.long 0x604FC++0x03 line.long 0x00 "RCMDL_31,RTIC Memory Block C Hash Result Little Endian Format Register 31" group.long 0x60580++0x03 line.long 0x00 "RDMDL_0,RTIC Memory Block D Hash Result Little Endian Format Register 0" group.long 0x60584++0x03 line.long 0x00 "RDMDL_1,RTIC Memory Block D Hash Result Little Endian Format Register 1" group.long 0x60588++0x03 line.long 0x00 "RDMDL_2,RTIC Memory Block D Hash Result Little Endian Format Register 2" group.long 0x6058C++0x03 line.long 0x00 "RDMDL_3,RTIC Memory Block D Hash Result Little Endian Format Register 3" group.long 0x60590++0x03 line.long 0x00 "RDMDL_4,RTIC Memory Block D Hash Result Little Endian Format Register 4" group.long 0x60594++0x03 line.long 0x00 "RDMDL_5,RTIC Memory Block D Hash Result Little Endian Format Register 5" group.long 0x60598++0x03 line.long 0x00 "RDMDL_6,RTIC Memory Block D Hash Result Little Endian Format Register 6" group.long 0x6059C++0x03 line.long 0x00 "RDMDL_7,RTIC Memory Block D Hash Result Little Endian Format Register 7" group.long 0x605A0++0x03 line.long 0x00 "RDMDL_8,RTIC Memory Block D Hash Result Little Endian Format Register 8" group.long 0x605A4++0x03 line.long 0x00 "RDMDL_9,RTIC Memory Block D Hash Result Little Endian Format Register 9" group.long 0x605A8++0x03 line.long 0x00 "RDMDL_10,RTIC Memory Block D Hash Result Little Endian Format Register 10" group.long 0x605AC++0x03 line.long 0x00 "RDMDL_11,RTIC Memory Block D Hash Result Little Endian Format Register 11" group.long 0x605B0++0x03 line.long 0x00 "RDMDL_12,RTIC Memory Block D Hash Result Little Endian Format Register 12" group.long 0x605B4++0x03 line.long 0x00 "RDMDL_13,RTIC Memory Block D Hash Result Little Endian Format Register 13" group.long 0x605B8++0x03 line.long 0x00 "RDMDL_14,RTIC Memory Block D Hash Result Little Endian Format Register 14" group.long 0x605BC++0x03 line.long 0x00 "RDMDL_15,RTIC Memory Block D Hash Result Little Endian Format Register 15" group.long 0x605C0++0x03 line.long 0x00 "RDMDL_16,RTIC Memory Block D Hash Result Little Endian Format Register 16" group.long 0x605C4++0x03 line.long 0x00 "RDMDL_17,RTIC Memory Block D Hash Result Little Endian Format Register 17" group.long 0x605C8++0x03 line.long 0x00 "RDMDL_18,RTIC Memory Block D Hash Result Little Endian Format Register 18" group.long 0x605CC++0x03 line.long 0x00 "RDMDL_19,RTIC Memory Block D Hash Result Little Endian Format Register 19" group.long 0x605D0++0x03 line.long 0x00 "RDMDL_20,RTIC Memory Block D Hash Result Little Endian Format Register 20" group.long 0x605D4++0x03 line.long 0x00 "RDMDL_21,RTIC Memory Block D Hash Result Little Endian Format Register 21" group.long 0x605D8++0x03 line.long 0x00 "RDMDL_22,RTIC Memory Block D Hash Result Little Endian Format Register 22" group.long 0x605DC++0x03 line.long 0x00 "RDMDL_23,RTIC Memory Block D Hash Result Little Endian Format Register 23" group.long 0x605E0++0x03 line.long 0x00 "RDMDL_24,RTIC Memory Block D Hash Result Little Endian Format Register 24" group.long 0x605E4++0x03 line.long 0x00 "RDMDL_25,RTIC Memory Block D Hash Result Little Endian Format Register 25" group.long 0x605E8++0x03 line.long 0x00 "RDMDL_26,RTIC Memory Block D Hash Result Little Endian Format Register 26" group.long 0x605EC++0x03 line.long 0x00 "RDMDL_27,RTIC Memory Block D Hash Result Little Endian Format Register 27" group.long 0x605F0++0x03 line.long 0x00 "RDMDL_28,RTIC Memory Block D Hash Result Little Endian Format Register 28" group.long 0x605F4++0x03 line.long 0x00 "RDMDL_29,RTIC Memory Block D Hash Result Little Endian Format Register 29" group.long 0x605F8++0x03 line.long 0x00 "RDMDL_30,RTIC Memory Block D Hash Result Little Endian Format Register 30" group.long 0x605FC++0x03 line.long 0x00 "RDMDL_31,RTIC Memory Block D Hash Result Little Endian Format Register 31" tree.end tree.end newline rgroup.long 0x60E00++0x03 line.long 0x00 "REIR0RTIC,Recoverable Error Interrupt Record 0 For RTIC" bitfld.long 0x00 31. " MISS ,Second RTIC recoverable error occurrence" "Not occurred,Occurred" bitfld.long 0x00 24.--25. " TYPE ,This field indicates the type of the recoverable error" ",Memory access,?..." rgroup.quad 0x60E08++0x07 line.quad 0x00 "REIR2RTIC,Recoverable Error Interrupt Record 2 For RTIC" rgroup.long 0x60E10++0x07 line.long 0x00 "REIR4RTIC,Recoverable Error Interrupt Record 4 For RTIC" bitfld.long 0x00 30.--31. " MIX ,Memory interface index associated" "0,1,2,3" bitfld.long 0x00 28.--29. " ERR ,AXI error response associated" "0,1,2,3" bitfld.long 0x00 25. " BMT ,Bypass memory translation transaction attribute" "Not in use,In use" bitfld.long 0x00 24. " PL ,Privilege level transaction attribute" "Not in use,In use" newline bitfld.long 0x00 23. " RWB ,Read or write" "0,1" bitfld.long 0x00 20.--22. " AXPROT ,AXI protection transaction attribute" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " AXCACHE ,AXI cache control transaction attribute" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID transaction attribute associated" line.long 0x04 "REIR5RTIC,Recoverable Error Interrupt Record 5 For RTIC" bitfld.long 0x04 24. " SAFE ,AXI transaction associated being a safe transaction" "0,1" bitfld.long 0x04 16.--19. " BID ,Block identifier of the source of the AXI transaction associated" "General,Job ring 0,Job ring 1,Job ring 2,Job ring 3,AIOP,Real-time,Queue,DECO0/CCB0,DECO1/CCB1,DECO2/CCB2,DECO3/CCB3,DECO4/CCB4,DECO5/CCB5,?..." tree.end width 9. tree "QI (Queue Manager Interface) Registers" group.long 0x70000++0x07 line.long 0x00 "QICFG,Queue Interface Configuration Register" bitfld.long 0x00 24. " RSIDE ,Report SEC ID on error" "Not reported,Reported" line.long 0x04 "QICTL,Queue Interface Control Register" bitfld.long 0x04 16. " CROV ,Critical resource override" "No override,Override" bitfld.long 0x04 3. " FLUSH ,Flush QI jobs" "No requested,Requested" bitfld.long 0x04 2. " SOE ,Stop on error" "Not requested,Requested" bitfld.long 0x04 1. " STOP ,Stop" "Not requested,Requested" newline bitfld.long 0x04 0. " DQEN ,Dequeue enable" "Disabled,Enabled" rgroup.long 0x7000C++0x03 line.long 0x00 "QISTA,Queue Interface Status Register" bitfld.long 0x00 31. " STOPD ,Stopped" "Not stopped,Stopped" bitfld.long 0x00 30. " STOPDOE ,Stopped on error" "Not stopped,Stopped" group.long 0x70014++0x03 line.long 0x00 "QIDQC,Queue Interface Dequeue Configuration Register" hexmask.long.word 0x00 16.--31. 1. " BCNT ,Dequeue command byte count" bitfld.long 0x00 8.--10. " SPFCNT ,Subportal frame count threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. " FCNT ,Dequeue command frame count" "1,Up to 3" bitfld.long 0x00 0.--1. " VERB ,Dequeue command verb" "0,1,2,3" tree "Queue Interface Dequeue/Enqueue Event Filter Control Registers" group.long 0x70030++0x03 line.long 0x00 "QDQEFC0,Queue Interface Dequeue Event Filter Control Register 0" bitfld.long 0x00 11. " MASK[3] ,Mask 3 value" "Masked,Not masked" bitfld.long 0x00 10. " [2] ,Mask 2 value" "Masked,Not masked" bitfld.long 0x00 9. " [1] ,Mask 1 value" "Masked,Not masked" bitfld.long 0x00 8. " [0] ,Mask 0 value" "Masked,Not masked" bitfld.long 0x00 0.--3. " CMPV ,Compare value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70034++0x03 line.long 0x00 "QDQEFC1,Queue Interface Dequeue Event Filter Control Register 1" bitfld.long 0x00 11. " MASK[3] ,Mask 3 value" "Masked,Not masked" bitfld.long 0x00 10. " [2] ,Mask 2 value" "Masked,Not masked" bitfld.long 0x00 9. " [1] ,Mask 1 value" "Masked,Not masked" bitfld.long 0x00 8. " [0] ,Mask 0 value" "Masked,Not masked" bitfld.long 0x00 0.--3. " CMPV ,Compare value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70038++0x03 line.long 0x00 "QDQEFC2,Queue Interface Dequeue Event Filter Control Register 2" bitfld.long 0x00 11. " MASK[3] ,Mask 3 value" "Masked,Not masked" bitfld.long 0x00 10. " [2] ,Mask 2 value" "Masked,Not masked" bitfld.long 0x00 9. " [1] ,Mask 1 value" "Masked,Not masked" bitfld.long 0x00 8. " [0] ,Mask 0 value" "Masked,Not masked" bitfld.long 0x00 0.--3. " CMPV ,Compare value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70050++0x03 line.long 0x00 "QEQEFC0,Queue Interface Enqueue Event Filter Control Register 0" bitfld.long 0x00 11. " MASK[3] ,Mask 3 value" "Masked,Not masked" bitfld.long 0x00 10. " [2] ,Mask 2 value" "Masked,Not masked" bitfld.long 0x00 9. " [1] ,Mask 1 value" "Masked,Not masked" bitfld.long 0x00 8. " [0] ,Mask 0 value" "Masked,Not masked" bitfld.long 0x00 0.--3. " CMPV ,Compare value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70054++0x03 line.long 0x00 "QEQEFC1,Queue Interface Enqueue Event Filter Control Register 1" bitfld.long 0x00 11. " MASK[3] ,Mask 3 value" "Masked,Not masked" bitfld.long 0x00 10. " [2] ,Mask 2 value" "Masked,Not masked" bitfld.long 0x00 9. " [1] ,Mask 1 value" "Masked,Not masked" bitfld.long 0x00 8. " [0] ,Mask 0 value" "Masked,Not masked" bitfld.long 0x00 0.--3. " CMPV ,Compare value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x70058++0x03 line.long 0x00 "QEQEFC2,Queue Interface Enqueue Event Filter Control Register 2" bitfld.long 0x00 11. " MASK[3] ,Mask 3 value" "Masked,Not masked" bitfld.long 0x00 10. " [2] ,Mask 2 value" "Masked,Not masked" bitfld.long 0x00 9. " [1] ,Mask 1 value" "Masked,Not masked" bitfld.long 0x00 8. " [0] ,Mask 0 value" "Masked,Not masked" bitfld.long 0x00 0.--3. " CMPV ,Compare value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end newline width 24. rgroup.long 0x70500++0x37 line.long 0x00 "JOBS_IN_USE_QI,Jobs In Use Register For QM Interface" bitfld.long 0x00 31. " JOBS_IN_USE[31] ,Job buffer 31 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 30. " [30] ,Job buffer 30 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 29. " [29] ,Job buffer 29 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 28. " [28] ,Job buffer 28 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x00 27. " [27] ,Job buffer 27 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 26. " [26] ,Job buffer 26 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 25. " [25] ,Job buffer 25 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 24. " [24] ,Job buffer 24 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x00 23. " [23] ,Job buffer 23 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 22. " [22] ,Job buffer 22 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 21. " [21] ,Job buffer 21 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 20. " [20] ,Job buffer 20 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x00 19. " [19] ,Job buffer 19 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 18. " [18] ,Job buffer 18 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 17. " [17] ,Job buffer 17 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 16. " [16] ,Job buffer 16 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x00 15. " [15] ,Job buffer 15 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 14. " [14] ,Job buffer 14 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 13. " [13] ,Job buffer 13 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 12. " [12] ,Job buffer 12 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x00 11. " [11] ,Job buffer 11 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 10. " [10] ,Job buffer 10 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 9. " [9] ,Job buffer 9 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 8. " [8] ,Job buffer 8 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x00 7. " [7] ,Job buffer 7 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 6. " [6] ,Job buffer 6 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 5. " [5] ,Job buffer 5 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 4. " [4] ,Job buffer 4 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x00 3. " [3] ,Job buffer 3 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 2. " [2] ,Job buffer 2 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 1. " [1] ,Job buffer 1 are in use for active QI jobs" "Not used,Used" bitfld.long 0x00 0. " [0] ,Job buffer 1 are in use for active QI jobs" "Not used,Used" line.long 0x04 "JOBS_IN_USE_QI_MS,Jobs In Use Register For QM Interface (Most Significant)" bitfld.long 0x04 31. " JOBS_IN_USE[63] ,Job buffer 63 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 30. " [62] ,Job buffer 62 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 29. " [61] ,Job buffer 61 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 28. " [60] ,Job buffer 60 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x04 27. " [59] ,Job buffer 59 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 26. " [58] ,Job buffer 58 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 25. " [57] ,Job buffer 57 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 24. " [56] ,Job buffer 56 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x04 23. " [55] ,Job buffer 55 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 22. " [54] ,Job buffer 54 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 21. " [53] ,Job buffer 53 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 20. " [52] ,Job buffer 52 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x04 19. " [51] ,Job buffer 51 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 18. " [50] ,Job buffer 50 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 17. " [49] ,Job buffer 49 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 16. " [48] ,Job buffer 48 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x04 15. " [47] ,Job buffer 47 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 14. " [46] ,Job buffer 46 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 13. " [45] ,Job buffer 45 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 12. " [44] ,Job buffer 44 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x04 11. " [43] ,Job buffer 43 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 10. " [42] ,Job buffer 42 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 9. " [41] ,Job buffer 41 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 8. " [40] ,Job buffer 40 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x04 7. " [39] ,Job buffer 39 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 6. " [38] ,Job buffer 38 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 5. " [37] ,Job buffer 37 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 4. " [36] ,Job buffer 36 are in use for active QI jobs" "Not used,Used" newline bitfld.long 0x04 3. " [35] ,Job buffer 35 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 2. " [34] ,Job buffer 34 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 1. " [33] ,Job buffer 33 are in use for active QI jobs" "Not used,Used" bitfld.long 0x04 0. " [32] ,Job buffer 32 are in use for active QI jobs" "Not used,Used" line.long 0x08 "JOBS_READY_QI,Jobs Ready Register For QM Interface" bitfld.long 0x08 31. " JOBS_READY[31] ,QI jobs 31 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 30. " [30] ,QI jobs 30 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 29. " [29] ,QI jobs 29 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 28. " [28] ,QI jobs 28 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x08 27. " [27] ,QI jobs 27 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 26. " [26] ,QI jobs 26 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 25. " [25] ,QI jobs 25 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 24. " [24] ,QI jobs 24 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x08 23. " [23] ,QI jobs 23 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 22. " [22] ,QI jobs 22 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 21. " [21] ,QI jobs 21 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 20. " [20] ,QI jobs 20 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x08 19. " [19] ,QI jobs 19 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 18. " [18] ,QI jobs 18 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 17. " [17] ,QI jobs 17 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 16. " [16] ,QI jobs 16 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x08 15. " [15] ,QI jobs 15 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 14. " [14] ,QI jobs 14 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 13. " [13] ,QI jobs 13 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 12. " [12] ,QI jobs 12 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x08 11. " [11] ,QI jobs 11 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 10. " [10] ,QI jobs 10 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 9. " [9] ,QI jobs 9 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 8. " [8] ,QI jobs 8 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x08 7. " [7] ,QI jobs 7 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 6. " [6] ,QI jobs 6 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 5. " [5] ,QI jobs 5 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 4. " [4] ,QI jobs 4 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x08 3. " [3] ,QI jobs 3 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 2. " [2] ,QI jobs 2 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 1. " [1] ,QI jobs 1 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x08 0. " [0] ,QI jobs 0 are ready for transfer to the job queue controller" "Not ready,Ready" line.long 0x0C "JOBS_READY_QI_MS,Jobs Ready Register For QM Interface (Most Significant)" bitfld.long 0x0C 31. " JOBS_READY[63] ,QI jobs 63 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 30. " [62] ,QI jobs 62 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 29. " [61] ,QI jobs 61 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 28. " [60] ,QI jobs 60 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x0C 27. " [59] ,QI jobs 59 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 26. " [58] ,QI jobs 58 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 25. " [57] ,QI jobs 57 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 24. " [56] ,QI jobs 56 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x0C 23. " [55] ,QI jobs 55 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 22. " [54] ,QI jobs 54 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 21. " [53] ,QI jobs 53 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 20. " [52] ,QI jobs 52 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x0C 19. " [51] ,QI jobs 51 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 18. " [50] ,QI jobs 50 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 17. " [49] ,QI jobs 49 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 16. " [48] ,QI jobs 48 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x0C 15. " [47] ,QI jobs 47 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 14. " [46] ,QI jobs 46 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 13. " [45] ,QI jobs 45 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 12. " [44] ,QI jobs 44 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x0C 11. " [43] ,QI jobs 43 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 10. " [42] ,QI jobs 42 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 9. " [41] ,QI jobs 41 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 8. " [40] ,QI jobs 40 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x0C 7. " [39] ,QI jobs 39 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 6. " [38] ,QI jobs 38 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 5. " [37] ,QI jobs 37 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 4. " [36] ,QI jobs 36 are ready for transfer to the job queue controller" "Not ready,Ready" newline bitfld.long 0x0C 3. " [35] ,QI jobs 35 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 2. " [34] ,QI jobs 34 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 1. " [33] ,QI jobs 33 are ready for transfer to the job queue controller" "Not ready,Ready" bitfld.long 0x0C 0. " [32] ,QI jobs 32 are ready for transfer to the job queue controller" "Not ready,Ready" line.long 0x10 "JOBS_XFR_BLK_DIS_QI,Jobs Transfer Blocking Disabled Register For QM Interface" bitfld.long 0x10 31. " JOBS_XFR_BLK_DIS[31] ,QI job buffers 31 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 30. " [30] ,QI job buffers 30 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 29. " [29] ,QI job buffers 29 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 28. " [28] ,QI job buffers 28 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x10 27. " [27] ,QI job buffers 27 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 26. " [26] ,QI job buffers 26 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 25. " [25] ,QI job buffers 25 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 24. " [24] ,QI job buffers 24 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x10 23. " [23] ,QI job buffers 23 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 22. " [22] ,QI job buffers 22 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 21. " [21] ,QI job buffers 21 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 20. " [20] ,QI job buffers 20 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x10 19. " [19] ,QI job buffers 19 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 18. " [18] ,QI job buffers 18 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 17. " [17] ,QI job buffers 17 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 16. " [16] ,QI job buffers 16 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x10 15. " [15] ,QI job buffers 15 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 14. " [14] ,QI job buffers 14 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 13. " [13] ,QI job buffers 13 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 12. " [12] ,QI job buffers 12 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x10 11. " [11] ,QI job buffers 11 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 10. " [10] ,QI job buffers 10 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 9. " [9] ,QI job buffers 9 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 8. " [8] ,QI job buffers 8 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x10 7. " [7] ,QI job buffers 7 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 6. " [6] ,QI job buffers 6 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 5. " [5] ,QI job buffers 5 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 4. " [4] ,QI job buffers 4 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x10 3. " [3] ,QI job buffers 3 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 2. " [2] ,QI job buffers 2 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 1. " [1] ,QI job buffers 1 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x10 0. " [0] ,QI job buffers 0 hold jobs with transfer blocking disabled" "No,Yes" line.long 0x14 "JOBS_XFR_BLK_DIS_QI_MS,Jobs Transfer Blocking Disabled Register For QM Interface (Most Significant)" bitfld.long 0x14 31. " JOBS_XFR_BLK_DIS[63] ,QI job buffers 63 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 30. " [62] ,QI job buffers 62 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 29. " [61] ,QI job buffers 61 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 28. " [60] ,QI job buffers 60 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x14 27. " [59] ,QI job buffers 59 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 26. " [58] ,QI job buffers 58 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 25. " [57] ,QI job buffers 57 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 24. " [56] ,QI job buffers 56 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x14 23. " [55] ,QI job buffers 55 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 22. " [54] ,QI job buffers 54 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 21. " [53] ,QI job buffers 53 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 20. " [52] ,QI job buffers 52 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x14 19. " [51] ,QI job buffers 51 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 18. " [50] ,QI job buffers 50 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 17. " [49] ,QI job buffers 49 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 16. " [48] ,QI job buffers 48 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x14 15. " [47] ,QI job buffers 47 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 14. " [46] ,QI job buffers 46 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 13. " [45] ,QI job buffers 45 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 12. " [44] ,QI job buffers 44 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x14 11. " [43] ,QI job buffers 43 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 10. " [42] ,QI job buffers 42 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 9. " [41] ,QI job buffers 41 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 8. " [40] ,QI job buffers 40 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x14 7. " [39] ,QI job buffers 39 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 6. " [38] ,QI job buffers 38 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 5. " [37] ,QI job buffers 37 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 4. " [36] ,QI job buffers 36 hold jobs with transfer blocking disabled" "No,Yes" newline bitfld.long 0x14 3. " [35] ,QI job buffers 35 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 2. " [34] ,QI job buffers 34 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 1. " [33] ,QI job buffers 33 hold jobs with transfer blocking disabled" "No,Yes" bitfld.long 0x14 0. " [32] ,QI job buffers 32 hold jobs with transfer blocking disabled" "No,Yes" line.long 0x18 "JOBS_XFRD_QI,Jobs Transferred Register For QM Interface" bitfld.long 0x18 31. " JOBS_XFRD[31] ,QI jobs 31 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 30. " [30] ,QI jobs 30 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 29. " [29] ,QI jobs 29 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 28. " [28] ,QI jobs 28 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x18 27. " [27] ,QI jobs 27 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 26. " [26] ,QI jobs 26 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 25. " [25] ,QI jobs 25 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 24. " [24] ,QI jobs 24 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x18 23. " [23] ,QI jobs 23 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 22. " [22] ,QI jobs 22 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 21. " [21] ,QI jobs 21 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 20. " [20] ,QI jobs 20 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x18 19. " [19] ,QI jobs 19 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 18. " [18] ,QI jobs 18 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 17. " [17] ,QI jobs 17 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 16. " [16] ,QI jobs 16 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x18 15. " [15] ,QI jobs 15 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 14. " [14] ,QI jobs 14 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 13. " [13] ,QI jobs 13 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 12. " [12] ,QI jobs 12 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x18 11. " [11] ,QI jobs 11 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 10. " [10] ,QI jobs 10 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 9. " [9] ,QI jobs 9 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 8. " [8] ,QI jobs 8 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x18 7. " [7] ,QI jobs 7 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 6. " [6] ,QI jobs 6 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 5. " [5] ,QI jobs 5 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 4. " [4] ,QI jobs 4 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x18 3. " [3] ,QI jobs 3 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 2. " [2] ,QI jobs 2 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 1. " [1] ,QI jobs 1 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x18 0. " [0] ,QI jobs 0 transferred to the job controller" "Not transferred,Transferred" line.long 0x1C "JOBS_XFRD_QI_MS,Jobs Transferred Register For QM Interface (Most Significant)" bitfld.long 0x1C 31. " JOBS_XFRD[63] ,QI jobs 63 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 30. " [62] ,QI jobs 62 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 29. " [61] ,QI jobs 61 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 28. " [60] ,QI jobs 60 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x1C 27. " [59] ,QI jobs 59 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 26. " [58] ,QI jobs 58 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 25. " [57] ,QI jobs 57 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 24. " [56] ,QI jobs 56 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x1C 23. " [55] ,QI jobs 55 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 22. " [54] ,QI jobs 54 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 21. " [53] ,QI jobs 53 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 20. " [52] ,QI jobs 52 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x1C 19. " [51] ,QI jobs 51 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 18. " [50] ,QI jobs 50 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 17. " [49] ,QI jobs 49 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 16. " [48] ,QI jobs 48 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x1C 15. " [47] ,QI jobs 47 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 14. " [46] ,QI jobs 46 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 13. " [45] ,QI jobs 45 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 12. " [44] ,QI jobs 44 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x1C 11. " [43] ,QI jobs 43 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 10. " [42] ,QI jobs 42 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 9. " [41] ,QI jobs 41 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 8. " [40] ,QI jobs 40 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x1C 7. " [39] ,QI jobs 39 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 6. " [38] ,QI jobs 38 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 5. " [37] ,QI jobs 37 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 4. " [36] ,QI jobs 36 transferred to the job controller" "Not transferred,Transferred" newline bitfld.long 0x1C 3. " [35] ,QI jobs 35 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 2. " [34] ,QI jobs 34 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 1. " [33] ,QI jobs 33 transferred to the job controller" "Not transferred,Transferred" bitfld.long 0x1C 0. " [32] ,QI jobs 32 transferred to the job controller" "Not transferred,Transferred" line.long 0x20 "JOBS_EXEC_QI,Jobs Executing Register For QM Interface" bitfld.long 0x20 31. " JOBS_EXEC[31] ,QI jobs 31 are currently executing" "Not executing,Executing" bitfld.long 0x20 30. " [30] ,QI jobs 30 are currently executing" "Not executing,Executing" bitfld.long 0x20 29. " [29] ,QI jobs 29 are currently executing" "Not executing,Executing" bitfld.long 0x20 28. " [28] ,QI jobs 28 are currently executing" "Not executing,Executing" newline bitfld.long 0x20 27. " [27] ,QI jobs 27 are currently executing" "Not executing,Executing" bitfld.long 0x20 26. " [26] ,QI jobs 26 are currently executing" "Not executing,Executing" bitfld.long 0x20 25. " [25] ,QI jobs 25 are currently executing" "Not executing,Executing" bitfld.long 0x20 24. " [24] ,QI jobs 24 are currently executing" "Not executing,Executing" newline bitfld.long 0x20 23. " [23] ,QI jobs 23 are currently executing" "Not executing,Executing" bitfld.long 0x20 22. " [22] ,QI jobs 22 are currently executing" "Not executing,Executing" bitfld.long 0x20 21. " [21] ,QI jobs 21 are currently executing" "Not executing,Executing" bitfld.long 0x20 20. " [20] ,QI jobs 20 are currently executing" "Not executing,Executing" newline bitfld.long 0x20 19. " [19] ,QI jobs 19 are currently executing" "Not executing,Executing" bitfld.long 0x20 18. " [18] ,QI jobs 18 are currently executing" "Not executing,Executing" bitfld.long 0x20 17. " [17] ,QI jobs 17 are currently executing" "Not executing,Executing" bitfld.long 0x20 16. " [16] ,QI jobs 16 are currently executing" "Not executing,Executing" newline bitfld.long 0x20 15. " [15] ,QI jobs 15 are currently executing" "Not executing,Executing" bitfld.long 0x20 14. " [14] ,QI jobs 14 are currently executing" "Not executing,Executing" bitfld.long 0x20 13. " [13] ,QI jobs 13 are currently executing" "Not executing,Executing" bitfld.long 0x20 12. " [12] ,QI jobs 12 are currently executing" "Not executing,Executing" newline bitfld.long 0x20 11. " [11] ,QI jobs 11 are currently executing" "Not executing,Executing" bitfld.long 0x20 10. " [10] ,QI jobs 10 are currently executing" "Not executing,Executing" bitfld.long 0x20 9. " [9] ,QI jobs 9 are currently executing" "Not executing,Executing" bitfld.long 0x20 8. " [8] ,QI jobs 8 are currently executing" "Not executing,Executing" newline bitfld.long 0x20 7. " [7] ,QI jobs 7 are currently executing" "Not executing,Executing" bitfld.long 0x20 6. " [6] ,QI jobs 6 are currently executing" "Not executing,Executing" bitfld.long 0x20 5. " [5] ,QI jobs 5 are currently executing" "Not executing,Executing" bitfld.long 0x20 4. " [4] ,QI jobs 4 are currently executing" "Not executing,Executing" newline bitfld.long 0x20 3. " [3] ,QI jobs 3 are currently executing" "Not executing,Executing" bitfld.long 0x20 2. " [2] ,QI jobs 2 are currently executing" "Not executing,Executing" bitfld.long 0x20 1. " [1] ,QI jobs 1 are currently executing" "Not executing,Executing" bitfld.long 0x20 0. " [0] ,QI jobs 0 are currently executing" "Not executing,Executing" line.long 0x24 "JOBS_EXEC_QI_MS,Jobs Executing Register For QM Interface (Most Significant)" bitfld.long 0x24 31. " JOBS_EXEC[63] ,QI jobs 63 are currently executing" "Not executing,Executing" bitfld.long 0x24 30. " [62] ,QI jobs 62 are currently executing" "Not executing,Executing" bitfld.long 0x24 29. " [61] ,QI jobs 61 are currently executing" "Not executing,Executing" bitfld.long 0x24 28. " [60] ,QI jobs 60 are currently executing" "Not executing,Executing" newline bitfld.long 0x24 27. " [59] ,QI jobs 59 are currently executing" "Not executing,Executing" bitfld.long 0x24 26. " [58] ,QI jobs 58 are currently executing" "Not executing,Executing" bitfld.long 0x24 25. " [57] ,QI jobs 57 are currently executing" "Not executing,Executing" bitfld.long 0x24 24. " [56] ,QI jobs 56 are currently executing" "Not executing,Executing" newline bitfld.long 0x24 23. " [55] ,QI jobs 55 are currently executing" "Not executing,Executing" bitfld.long 0x24 22. " [54] ,QI jobs 54 are currently executing" "Not executing,Executing" bitfld.long 0x24 21. " [53] ,QI jobs 53 are currently executing" "Not executing,Executing" bitfld.long 0x24 20. " [52] ,QI jobs 52 are currently executing" "Not executing,Executing" newline bitfld.long 0x24 19. " [51] ,QI jobs 51 are currently executing" "Not executing,Executing" bitfld.long 0x24 18. " [50] ,QI jobs 50 are currently executing" "Not executing,Executing" bitfld.long 0x24 17. " [49] ,QI jobs 49 are currently executing" "Not executing,Executing" bitfld.long 0x24 16. " [48] ,QI jobs 48 are currently executing" "Not executing,Executing" newline bitfld.long 0x24 15. " [47] ,QI jobs 47 are currently executing" "Not executing,Executing" bitfld.long 0x24 14. " [46] ,QI jobs 46 are currently executing" "Not executing,Executing" bitfld.long 0x24 13. " [45] ,QI jobs 45 are currently executing" "Not executing,Executing" bitfld.long 0x24 12. " [44] ,QI jobs 44 are currently executing" "Not executing,Executing" newline bitfld.long 0x24 11. " [43] ,QI jobs 43 are currently executing" "Not executing,Executing" bitfld.long 0x24 10. " [42] ,QI jobs 42 are currently executing" "Not executing,Executing" bitfld.long 0x24 9. " [41] ,QI jobs 41 are currently executing" "Not executing,Executing" bitfld.long 0x24 8. " [40] ,QI jobs 40 are currently executing" "Not executing,Executing" newline bitfld.long 0x24 7. " [39] ,QI jobs 39 are currently executing" "Not executing,Executing" bitfld.long 0x24 6. " [38] ,QI jobs 38 are currently executing" "Not executing,Executing" bitfld.long 0x24 5. " [37] ,QI jobs 37 are currently executing" "Not executing,Executing" bitfld.long 0x24 4. " [36] ,QI jobs 36 are currently executing" "Not executing,Executing" newline bitfld.long 0x24 3. " [35] ,QI jobs 35 are currently executing" "Not executing,Executing" bitfld.long 0x24 2. " [34] ,QI jobs 34 are currently executing" "Not executing,Executing" bitfld.long 0x24 1. " [33] ,QI jobs 33 are currently executing" "Not executing,Executing" bitfld.long 0x24 0. " [32] ,QI jobs 32 are currently executing" "Not executing,Executing" line.long 0x28 "JOBS_DONE_QI,Jobs Done Register For QM Interface" bitfld.long 0x28 31. " JOBS_DONE[31] ,QI jobs 31 are done" "Not done,Done" bitfld.long 0x28 30. " [30] ,QI jobs 30 are done" "Not done,Done" bitfld.long 0x28 29. " [29] ,QI jobs 29 are done" "Not done,Done" bitfld.long 0x28 28. " [28] ,QI jobs 28 are done" "Not done,Done" newline bitfld.long 0x28 27. " [27] ,QI jobs 27 are done" "Not done,Done" bitfld.long 0x28 26. " [26] ,QI jobs 26 are done" "Not done,Done" bitfld.long 0x28 25. " [25] ,QI jobs 25 are done" "Not done,Done" bitfld.long 0x28 24. " [24] ,QI jobs 24 are done" "Not done,Done" newline bitfld.long 0x28 23. " [23] ,QI jobs 23 are done" "Not done,Done" bitfld.long 0x28 22. " [22] ,QI jobs 22 are done" "Not done,Done" bitfld.long 0x28 21. " [21] ,QI jobs 21 are done" "Not done,Done" bitfld.long 0x28 20. " [20] ,QI jobs 20 are done" "Not done,Done" newline bitfld.long 0x28 19. " [19] ,QI jobs 19 are done" "Not done,Done" bitfld.long 0x28 18. " [18] ,QI jobs 18 are done" "Not done,Done" bitfld.long 0x28 17. " [17] ,QI jobs 17 are done" "Not done,Done" bitfld.long 0x28 16. " [16] ,QI jobs 16 are done" "Not done,Done" newline bitfld.long 0x28 15. " [15] ,QI jobs 15 are done" "Not done,Done" bitfld.long 0x28 14. " [14] ,QI jobs 14 are done" "Not done,Done" bitfld.long 0x28 13. " [13] ,QI jobs 13 are done" "Not done,Done" bitfld.long 0x28 12. " [12] ,QI jobs 12 are done" "Not done,Done" newline bitfld.long 0x28 11. " [11] ,QI jobs 11 are done" "Not done,Done" bitfld.long 0x28 10. " [10] ,QI jobs 10 are done" "Not done,Done" bitfld.long 0x28 9. " [9] ,QI jobs 9 are done" "Not done,Done" bitfld.long 0x28 8. " [8] ,QI jobs 8 are done" "Not done,Done" newline bitfld.long 0x28 7. " [7] ,QI jobs 7 are done" "Not done,Done" bitfld.long 0x28 6. " [6] ,QI jobs 6 are done" "Not done,Done" bitfld.long 0x28 5. " [5] ,QI jobs 5 are done" "Not done,Done" bitfld.long 0x28 4. " [4] ,QI jobs 4 are done" "Not done,Done" newline bitfld.long 0x28 3. " [3] ,QI jobs 3 are done" "Not done,Done" bitfld.long 0x28 2. " [2] ,QI jobs 2 are done" "Not done,Done" bitfld.long 0x28 1. " [1] ,QI jobs 1 are done" "Not done,Done" bitfld.long 0x28 0. " [0] ,QI jobs 0 are done" "Not done,Done" line.long 0x2C "JOBS_DONE_QI_MS,Jobs Done Register For QM Interface (Most Significant)" bitfld.long 0x2C 31. " JOBS_DONE[63] ,QI jobs 63 are done" "Not done,Done" bitfld.long 0x2C 30. " [62] ,QI jobs 62 are done" "Not done,Done" bitfld.long 0x2C 29. " [61] ,QI jobs 61 are done" "Not done,Done" bitfld.long 0x2C 28. " [60] ,QI jobs 60 are done" "Not done,Done" newline bitfld.long 0x2C 27. " [59] ,QI jobs 59 are done" "Not done,Done" bitfld.long 0x2C 26. " [58] ,QI jobs 58 are done" "Not done,Done" bitfld.long 0x2C 25. " [57] ,QI jobs 57 are done" "Not done,Done" bitfld.long 0x2C 24. " [56] ,QI jobs 56 are done" "Not done,Done" newline bitfld.long 0x2C 23. " [55] ,QI jobs 55 are done" "Not done,Done" bitfld.long 0x2C 22. " [54] ,QI jobs 54 are done" "Not done,Done" bitfld.long 0x2C 21. " [53] ,QI jobs 53 are done" "Not done,Done" bitfld.long 0x2C 20. " [52] ,QI jobs 52 are done" "Not done,Done" newline bitfld.long 0x2C 19. " [51] ,QI jobs 51 are done" "Not done,Done" bitfld.long 0x2C 18. " [50] ,QI jobs 50 are done" "Not done,Done" bitfld.long 0x2C 17. " [49] ,QI jobs 49 are done" "Not done,Done" bitfld.long 0x2C 16. " [48] ,QI jobs 48 are done" "Not done,Done" newline bitfld.long 0x2C 15. " [47] ,QI jobs 47 are done" "Not done,Done" bitfld.long 0x2C 14. " [46] ,QI jobs 46 are done" "Not done,Done" bitfld.long 0x2C 13. " [45] ,QI jobs 45 are done" "Not done,Done" bitfld.long 0x2C 12. " [44] ,QI jobs 44 are done" "Not done,Done" newline bitfld.long 0x2C 11. " [43] ,QI jobs 43 are done" "Not done,Done" bitfld.long 0x2C 10. " [42] ,QI jobs 42 are done" "Not done,Done" bitfld.long 0x2C 9. " [41] ,QI jobs 41 are done" "Not done,Done" bitfld.long 0x2C 8. " [40] ,QI jobs 40 are done" "Not done,Done" newline bitfld.long 0x2C 7. " [39] ,QI jobs 39 are done" "Not done,Done" bitfld.long 0x2C 6. " [38] ,QI jobs 38 are done" "Not done,Done" bitfld.long 0x2C 5. " [37] ,QI jobs 37 are done" "Not done,Done" bitfld.long 0x2C 4. " [36] ,QI jobs 36 are done" "Not done,Done" newline bitfld.long 0x2C 3. " [35] ,QI jobs 35 are done" "Not done,Done" bitfld.long 0x2C 2. " [34] ,QI jobs 34 are done" "Not done,Done" bitfld.long 0x2C 1. " [33] ,QI jobs 33 are done" "Not done,Done" bitfld.long 0x2C 0. " [32] ,QI jobs 32 are done" "Not done,Done" newline line.long 0x30 "SUBPORT_FC_QI_LS,Subportal Frame Count Register For Queue Interface" bitfld.long 0x30 28.--31. " SUBPORT_FC_07 ,Subportal 0x7 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x30 24.--27. " SUBPORT_FC_06 ,Subportal 0x6 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x30 20.--23. " SUBPORT_FC_05 ,Subportal 0x5 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x30 16.--19. " SUBPORT_FC_04 ,Subportal 0x4 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" newline bitfld.long 0x30 12.--15. " SUBPORT_FC_03 ,Subportal 0x3 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x30 8.--11. " SUBPORT_FC_02 ,Subportal 0x2 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x30 4.--7. " SUBPORT_FC_01 ,Subportal 0x1 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x30 0.--3. " SUBPORT_FC_00 ,Subportal 0x0 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" line.long 0x34 "SUBPORT_FC_QI_MS,Subportal Frame Count Most Significant Register For Queue Interface" bitfld.long 0x34 28.--31. " SUBPORT_FC_0F ,Subportal 0xF frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x34 24.--27. " SUBPORT_FC_0E ,Subportal 0xE frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x34 20.--23. " SUBPORT_FC_0D ,Subportal 0xD frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x34 16.--19. " SUBPORT_FC_0C ,Subportal 0xC frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" newline bitfld.long 0x34 12.--15. " SUBPORT_FC_0B ,Subportal 0xB frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x34 8.--11. " SUBPORT_FC_0A ,Subportal 0xA frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x34 4.--7. " SUBPORT_FC_09 ,Subportal 0x9 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" bitfld.long 0x34 0.--3. " SUBPORT_FC_08 ,Subportal 0x8 frame count" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" group.long 0x70570++0x03 line.long 0x00 "JOB_SELECT_QI,Job Select Register For QI Interface" rbitfld.long 0x00 8.--13. " JOBIDMAX ,Maximum job ID for QI jobs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--5. " JOB_SELECT ,QI job data registers displayed data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x70574++0x87 line.long 0x00 "QIJOBD0,Queue Interface Job Data Register 0" hexmask.long.byte 0x00 16.--23. 1. " ERR ,Job error code" bitfld.long 0x00 13. " EQ_IN_RSP ,Frame enqueue" "Not enqueued,Enqueued" bitfld.long 0x00 12. " EQDQ ,Dequeued frame enqueue" "Not enqueued,Enqueued" bitfld.long 0x00 11. " BRP ,Buffer release pending" "Not pending,Pending" newline bitfld.long 0x00 10. " FLEP ,FLE write pending" "Not pending,Pending" bitfld.long 0x00 9. " ASAP ,ASA write pending" "Not pending,Pending" bitfld.long 0x00 8. " PTAP ,PTA copy pending" "Not pending,Pending" bitfld.long 0x00 5. " DONE ,Job done" "Not done,Done" newline bitfld.long 0x00 4. " EXEC ,Job executing" "Not executed,Executed" bitfld.long 0x00 3. " XFRD ,Job transferred to controller" "Not transferred,Transferred" bitfld.long 0x00 2. " TBD ,Transfer blocking disabled" "No,Yes" bitfld.long 0x00 1. " RDY ,Job ready for transfer to controller" "Not ready,Ready" newline bitfld.long 0x00 0. " USE ,Selected QI job buffer in use" "Not used,Used" line.long 0x04 "QIJOBD1,Queue Interface Job Data Register 1" bitfld.long 0x04 23. " BDI ,Datapath isolation bypassed" "Not bypassed,Bypassed" hexmask.long.tbyte 0x04 0.--22. 1. " SFQID ,Source frame queue ID" line.long 0x08 "QIJOBD2,Queue Interface Job Data Register 2" bitfld.long 0x08 8. " FRM1 ,Frame first in a dequeue" "Not first,First" bitfld.long 0x08 0.--4. " SPID ,Subportal ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "QIJOBD3,Queue Interface Job Data Register 3" bitfld.long 0x0C 23. " BDI ,Datapath isolation bypassed" "Not bypassed,Bypassed" hexmask.long.tbyte 0x0C 0.--22. 1. " IRFQID ,Input partition return frame queue ID" line.long 0x10 "QIJOBD4,Queue Interface Job Data Register 4" bitfld.long 0x10 23. " BDI ,Datapath isolation bypassed" "Not bypassed,Bypassed" hexmask.long.tbyte 0x10 0.--22. 1. " ORFQID ,Output partition return frame queue ID" line.long 0x14 "QIJOBD5,Queue Interface Job Data Register 5" bitfld.long 0x14 24.--25. " AUC ,Input partition AMQ usage control" "0,1,2,3" bitfld.long 0x14 20. " IVA ,Input partition virtual address enable" "Disabled,Enabled" bitfld.long 0x14 16. " IPL ,Input partition privilege level" "0,1" hexmask.long.byte 0x14 0.--6. 1. " IICID ,Input partition ICID" line.long 0x18 "QIJOBD6,Queue Interface Job Data Register 6" bitfld.long 0x18 24.--25. " AUC ,Output partition AMQ usage control" "0,1,2,3" bitfld.long 0x18 20. " OVA ,Output partition virtual address enable" "Disabled,Enabled" bitfld.long 0x18 16. " OPL ,Output partition privilege level" "0,1" hexmask.long.byte 0x18 0.--6. 1. " OICID ,Output partition ICID" line.long 0x1C "QIJOBD7,Queue Interface Job Data Register 7" bitfld.long 0x1C 24.--25. " AUC ,Partition AMQ usage control" "0,1,2,3" bitfld.long 0x1C 20. " OVA ,Control partition virtual address enable" "Disabled,Enabled" bitfld.long 0x1C 16. " CPL ,Control partition privilege level" "0,1" hexmask.long.byte 0x1C 0.--6. 1. " CICID ,Control partition ICID" line.long 0x20 "QIJOBD8,Queue Interface Job Data Register 8" hexmask.long.word 0x20 0.--11. 1. " SDID ,Security domain identifier" line.long 0x24 "QIJOBD9,Queue Interface Job Data Register 9" line.long 0x28 "QIJOBD10,Queue Interface Job Data Register 10" line.long 0x2C "QIJOBD11,Queue Interface Job Data Register 11" line.long 0x30 "QIJOBD12,Queue Interface Job Data Register 12" bitfld.long 0x30 30. " SL ,Dequeued frame length in short form" "Long,Short" bitfld.long 0x30 28.--29. " FMT ,Dequeued frame format" "0,1,2,3" hexmask.long.word 0x30 16.--27. 0x01 " OFS ,Dequeued frame data offset" bitfld.long 0x30 15. " BMT ,Memory address translation bypassed" "Not bypassed,Bypassed" newline bitfld.long 0x30 14. " FD_IVP ,Dequeued frame invalid" "Valid,Invalid" hexmask.long.word 0x30 0.--13. 1. " FD_BPID ,Buffer pool ID" line.long 0x34 "QIJOBD13,Queue Interface Job Data Register 13" line.long 0x38 "QIJOBD14,Queue Interface Job Data Register 14" bitfld.long 0x38 28.--31. " DD ,Dynamic debug mark for dequeued frame" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x38 27. " SC ,Stashing control for dequeued frame" "0,1" bitfld.long 0x38 24.--26. " DROPP ,Drop priority for dequeued frame" "0,1,2,3,4,5,6,7" bitfld.long 0x38 23. " PTA ,Pass-through annotation for dequeued frame" "0,1" newline bitfld.long 0x38 22. " PVT1 ,Dequeued frame pass-through annotation 1 valid" "Not valid,Valid" bitfld.long 0x38 21. " PVT2 ,Dequeued frame pass-through annotation 2 valid" "Not valid,Valid" bitfld.long 0x38 16.--19. " FD_ASAL ,Dequeued frame accelerator-specific annotation length" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x38 15. " FD_CBMT ,Memory address translation bypassed for dequeued frame flow context" "Not bypassed,Bypassed" line.long 0x3C "QIJOBD15,Queue Interface Job Data Register 15" line.long 0x40 "QIJOBD16,Queue Interface Job Data Register 16" line.long 0x44 "QIJOBD17,Queue Interface Job Data Register 17" line.long 0x48 "QIJOBD18,Queue Interface Job Data Register 18" line.long 0x4C "QIJOBD19,Queue Interface Job Data Register 19" line.long 0x50 "QIJOBD20,Queue Interface Job Data Register 20" bitfld.long 0x50 30. " OSL ,Output frame short-length" "0,1" bitfld.long 0x50 28.--29. " OFMT ,Output frame format" "0,1,2,3" hexmask.long.word 0x50 16.--27. 0x01 " OOFS ,Output frame data offset" bitfld.long 0x50 15. " OBMT ,Memory address translation bypassed" "Not bypassed,Bypassed" newline bitfld.long 0x50 14. " FD_OIVP ,Output frame invalid" "Not invalid,Invalid" hexmask.long.word 0x50 0.--13. 1. " FD_OBPID ,Output frame buffer pool ID" line.long 0x54 "QIJOBD21,Queue Interface Job Data Register 21" bitfld.long 0x54 23. " OPTA ,Pass-through annotation" "0,1" bitfld.long 0x54 22. " OPVT1 ,Pass-through annotation 1 valid" "Invalid,Valid" bitfld.long 0x54 21. " OPVT2 ,Pass-through annotation 2 valid" "Invalid,Valid" bitfld.long 0x54 16.--19. " FD_ASAL ,Accelerator specific annotation length" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x54 15. " FD_BMT ,Memory address translation bypassed" "Not bypassed,Bypassed" bitfld.long 0x54 14. " FD_FDC1 ,FL OF FD compatibility field" "Not compatible,Compatible" line.long 0x58 "QIJOBD22,Queue Interface Job Data Register 22" bitfld.long 0x58 18. " EAO ,Annotation output enable" "Disabled,Enabled" bitfld.long 0x58 17. " DAC ,Annotation copy disable" "No,Yes" bitfld.long 0x58 16. " EWS ,AXI write safe enable" "Disabled,Enabled" hexmask.long.byte 0x58 8.--15. 1. " CRID ,Critical resource identifier" newline hexmask.long.byte 0x58 0.--6. 1. " SDL ,Shared descriptor length" line.long 0x5C "QIJOBD23,Queue Interface Job Data Register 23" line.long 0x60 "QIJOBD24,Queue Interface Job Data Register 24" line.long 0x64 "QIJOBD25,Queue Interface Job Data Register 25" line.long 0x68 "QIJOBD26,Queue Interface Job Data Register 26" line.long 0x6C "QIJOBD27,Queue Interface Job Data Register 27" line.long 0x70 "QIJOBD28,Queue Interface Job Data Register 28" line.long 0x74 "QIJOBD29,Queue Interface Job Data Register 29" line.long 0x78 "QIJOBD30,Queue Interface Job Data Register 30" line.long 0x7C "QIJOBD31,Queue Interface Job Data Register 31" bitfld.long 0x7C 5. " ORSC ,Output partition response stashing control enable" "Disabled,Enabled" bitfld.long 0x7C 4. " ORBMT ,Memory address translation bypassed" "Not bypassed,Bypassed" bitfld.long 0x7C 1. " IRSC ,Input partition response stashing control enable" "Disabled,Enabled" bitfld.long 0x7C 0. " IRBMT ,Memory address translation bypassed" "Not bypassed,Bypassed" line.long 0x80 "QIJOBD32,Queue Interface Job Data Register 32" hexmask.long.byte 0x80 16.--23. 1. " DQ_IDX ,Dequeue order index" hexmask.long.byte 0x80 8.--15. 1. " EQ_PREV_IDX ,Previous job buffer index" hexmask.long.byte 0x80 0.--7. 1. " EQ_NEXT_IDX ,Next job buffer index" line.long 0x84 "QIJOBD33,Queue Interface Job Data Register 33" bitfld.long 0x84 4. " FICID ,QI jobs with specified ICID flush requested" "Not requested,Requested" bitfld.long 0x84 3. " FFQ ,Frame queue jobs flush requested" "Not requested,Requested" bitfld.long 0x84 2. " FFLW ,Flow flush job requested" "Not requested,Requested" bitfld.long 0x84 1. " FABT ,ICID flush or input queue flush with abort requested" "Not requested,Requested" newline bitfld.long 0x84 0. " FL ,Job subportal ID" "0,1" rgroup.long 0x70700++0x07 line.long 0x00 "REIR0QI,Recoverable Error Interrupt Record 0 For Queue Interface" bitfld.long 0x00 31. " MISS ,Second QI recoverable error occurred" "No error,Error" bitfld.long 0x00 24.--25. " TYPE ,Recoverable error type" ",Memory access,Isolation,Dropped frame" hexmask.long.tbyte 0x00 0.--23. 1. " FQID ,Frame queue identifier" line.long 0x04 "REIR1QI,Recoverable Error Interrupt Record 1 For Queue Interface" bitfld.long 0x04 15. " BDI ,BDI associated with recoverable error" "0,1" hexmask.long.byte 0x04 0.--6. 1. " IN_ICID ,Input ICID associated with recoverable error" rgroup.quad 0x70708++0x07 line.quad 0x00 "REIR2QI,Recoverable Error Interrupt Record 2 For Queue Interface" rgroup.long 0x70710++0x07 line.long 0x00 "REIR4QI,Recoverable Error Interrupt Record 4 For Queue Interface" bitfld.long 0x00 30.--31. " MIX ,Memory interface index" "0,1,2,3" bitfld.long 0x00 28.--29. " ERR ,AXI error response" "0,1,2,3" bitfld.long 0x00 25. " BMT ,Bypass memory translation transaction attribute" "0,1" bitfld.long 0x00 24. " PL ,Privilege level transaction attribute" "0,1" newline bitfld.long 0x00 23. " RWB ,Memory access read/write" "0,1" bitfld.long 0x00 20.--22. " AXPROT ,AXI protection transaction attribute" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " AXCACHE ,AXI cache control transaction attribute" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " ICID ,ICID transaction attribute" line.long 0x04 "REIR5QI,Recoverable Error Interrupt Record 5 For Queue Interface" bitfld.long 0x04 24. " SAFE ,AXI transaction safety" "Not safe,Safe" bitfld.long 0x04 16.--19. " BID ,Block identifier" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end newline width 17. if ((per.l(ad:0x08000000+0x9C)&0x10001<<0.)==(0x10001<<0.)) group.long 0x80004++0x03 "CCB 0" line.long 0x00 "C0C1MR,CCB 0 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x80004++0x03 line.long 0x00 "C0C1MR_PK,CCB 0 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x80004++0x03 line.long 0x00 "C0C1MR_RNG,CCB 0 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x80004+0x08)++0x03 line.long 0x00 "C0C1KSR,CCB 0 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x80004+0x0C)++0x07 line.quad 0x00 "C0C1DSR,CCB 0 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x80004+0x18)++0x03 line.long 0x00 "C0C1ICVSR,CCB 0 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x80004+0x30)++0x03 line.long 0x00 "C0CCTRL,CCB 0 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x80004+0x3C)++0x03 line.long 0x00 "C0ICTL,CCB 0 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x80004+0x40)++0x03 line.long 0x00 "C0CWR,CCB 0 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x80004+0x44)++0x07 line.long 0x00 "C0CSTA_MS,CCB 0 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C0CSTA_LS,CCB 0 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x80004+0x58)++0x03 line.long 0x00 "C0C1AADSZR,CCB 0 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x80004+0x60)++0x03 line.long 0x00 "C0C1IVSZR,CCB 0 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x80004+0x80)++0x03 line.long 0x00 "C0PKASZR,PKHA A Size 0 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x80004+0x88)++0x03 line.long 0x00 "C0PKBSZR,PKHA B Size 0 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x80004+0x90)++0x03 line.long 0x00 "C0PKNSZR,PKHA N Size 0 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x80004+0x98)++0x03 line.long 0x00 "C0PKESZR,PKHA E Size 0 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x80004+0xFC)++0x3F line.long 0x00 "C0C1CTXR0,CCB 0 Class 1 Context Register Word 0" line.long 0x04 "C0C1CTXR1,CCB 0 Class 1 Context Register Word 1" line.long 0x08 "C0C1CTXR2,CCB 0 Class 1 Context Register Word 2" line.long 0x0C "C0C1CTXR3,CCB 0 Class 1 Context Register Word 3" line.long 0x10 "C0C1CTXR4,CCB 0 Class 1 Context Register Word 4" line.long 0x14 "C0C1CTXR5,CCB 0 Class 1 Context Register Word 5" line.long 0x18 "C0C1CTXR6,CCB 0 Class 1 Context Register Word 6" line.long 0x1C "C0C1CTXR7,CCB 0 Class 1 Context Register Word 7" line.long 0x20 "C0C1CTXR8,CCB 0 Class 1 Context Register Word 8" line.long 0x24 "C0C1CTXR9,CCB 0 Class 1 Context Register Word 9" line.long 0x28 "C0C1CTXR10,CCB 0 Class 1 Context Register Word 10" line.long 0x2C "C0C1CTXR11,CCB 0 Class 1 Context Register Word 11" line.long 0x30 "C0C1CTXR12,CCB 0 Class 1 Context Register Word 12" line.long 0x34 "C0C1CTXR13,CCB 0 Class 1 Context Register Word 13" line.long 0x38 "C0C1CTXR14,CCB 0 Class 1 Context Register Word 14" line.long 0x3C "C0C1CTXR15,CCB 0 Class 1 Context Register Word 15" group.long (0x80004+0x1FC)++0x1F line.long 0x00 "C0C1KR0,CCB 0 Class 1 Key Register Word 0" line.long 0x04 "C0C1KR1,CCB 0 Class 1 Key Register Word 1" line.long 0x08 "C0C1KR2,CCB 0 Class 1 Key Register Word 2" line.long 0x0C "C0C1KR3,CCB 0 Class 1 Key Register Word 3" line.long 0x10 "C0C1KR4,CCB 0 Class 1 Key Register Word 4" line.long 0x14 "C0C1KR5,CCB 0 Class 1 Key Register Word 5" line.long 0x18 "C0C1KR6,CCB 0 Class 1 Key Register Word 6" line.long 0x1C "C0C1KR7,CCB 0 Class 1 Key Register Word 7" group.long (0x80004+0x400)++0x03 line.long 0x00 "C0C2MR,CCB 0 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x80004+0x408)++0x03 line.long 0x00 "C0C2KSR,CCB 0 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x80004+0x40C)++0x07 line.quad 0x00 "C0C2DSR,CCB 0 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x80004+0x418)++0x03 line.long 0x00 "C0C2ICVSZR,CCB 0 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x80004+0x454)++0x03 line.long 0x00 "C0C2AADSZR,CCB 0 Class 2 AAD Size Register" group.long (0x80004+0x4FC)++0x47 line.long 0x00 "C0C2CTXR0,CCB 0 Class 2 Context Register Word 0" line.long 0x04 "C0C2CTXR1,CCB 0 Class 2 Context Register Word 1" line.long 0x08 "C0C2CTXR2,CCB 0 Class 2 Context Register Word 2" line.long 0x0C "C0C2CTXR3,CCB 0 Class 2 Context Register Word 3" line.long 0x10 "C0C2CTXR4,CCB 0 Class 2 Context Register Word 4" line.long 0x14 "C0C2CTXR5,CCB 0 Class 2 Context Register Word 5" line.long 0x18 "C0C2CTXR6,CCB 0 Class 2 Context Register Word 6" line.long 0x1C "C0C2CTXR7,CCB 0 Class 2 Context Register Word 7" line.long 0x20 "C0C2CTXR8,CCB 0 Class 2 Context Register Word 8" line.long 0x24 "C0C2CTXR9,CCB 0 Class 2 Context Register Word 9" line.long 0x28 "C0C2CTXR10,CCB 0 Class 2 Context Register Word 10" line.long 0x2C "C0C2CTXR11,CCB 0 Class 2 Context Register Word 11" line.long 0x30 "C0C2CTXR12,CCB 0 Class 2 Context Register Word 12" line.long 0x34 "C0C2CTXR13,CCB 0 Class 2 Context Register Word 13" line.long 0x38 "C0C2CTXR14,CCB 0 Class 2 Context Register Word 14" line.long 0x3C "C0C2CTXR15,CCB 0 Class 2 Context Register Word 15" line.long 0x40 "C0C2CTXR16,CCB 0 Class 2 Context Register Word 16" line.long 0x44 "C0C2CTXR17,CCB 0 Class 2 Context Register Word 17" group.long (0x80004+0x5FC)++0x7F line.long 0x00 "C0C2KEYR0,CCB 0 Class 2 Key Register Word 0" line.long 0x04 "C0C2KEYR1,CCB 0 Class 2 Key Register Word 1" line.long 0x08 "C0C2KEYR2,CCB 0 Class 2 Key Register Word 2" line.long 0x0C "C0C2KEYR3,CCB 0 Class 2 Key Register Word 3" line.long 0x10 "C0C2KEYR4,CCB 0 Class 2 Key Register Word 4" line.long 0x14 "C0C2KEYR5,CCB 0 Class 2 Key Register Word 5" line.long 0x18 "C0C2KEYR6,CCB 0 Class 2 Key Register Word 6" line.long 0x1C "C0C2KEYR7,CCB 0 Class 2 Key Register Word 7" line.long 0x20 "C0C2KEYR8,CCB 0 Class 2 Key Register Word 8" line.long 0x24 "C0C2KEYR9,CCB 0 Class 2 Key Register Word 9" line.long 0x28 "C0C2KEYR10,CCB 0 Class 2 Key Register Word 10" line.long 0x2C "C0C2KEYR11,CCB 0 Class 2 Key Register Word 11" line.long 0x30 "C0C2KEYR12,CCB 0 Class 2 Key Register Word 12" line.long 0x34 "C0C2KEYR13,CCB 0 Class 2 Key Register Word 13" line.long 0x38 "C0C2KEYR14,CCB 0 Class 2 Key Register Word 14" line.long 0x3C "C0C2KEYR15,CCB 0 Class 2 Key Register Word 15" line.long 0x40 "C0C2KEYR16,CCB 0 Class 2 Key Register Word 16" line.long 0x44 "C0C2KEYR17,CCB 0 Class 2 Key Register Word 17" line.long 0x48 "C0C2KEYR18,CCB 0 Class 2 Key Register Word 18" line.long 0x4C "C0C2KEYR19,CCB 0 Class 2 Key Register Word 19" line.long 0x50 "C0C2KEYR20,CCB 0 Class 2 Key Register Word 20" line.long 0x54 "C0C2KEYR21,CCB 0 Class 2 Key Register Word 21" line.long 0x58 "C0C2KEYR22,CCB 0 Class 2 Key Register Word 22" line.long 0x5C "C0C2KEYR23,CCB 0 Class 2 Key Register Word 23" line.long 0x60 "C0C2KEYR24,CCB 0 Class 2 Key Register Word 24" line.long 0x64 "C0C2KEYR25,CCB 0 Class 2 Key Register Word 25" line.long 0x68 "C0C2KEYR26,CCB 0 Class 2 Key Register Word 26" line.long 0x6C "C0C2KEYR27,CCB 0 Class 2 Key Register Word 27" line.long 0x70 "C0C2KEYR28,CCB 0 Class 2 Key Register Word 28" line.long 0x74 "C0C2KEYR29,CCB 0 Class 2 Key Register Word 29" line.long 0x78 "C0C2KEYR30,CCB 0 Class 2 Key Register Word 30" line.long 0x7C "C0C2KEYR31,CCB 0 Class 2 Key Register Word 31" rgroup.long (0x80004+0x7BC)++0x03 line.long 0x00 "C0FIFOSTA,CCB 0 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x80004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x80004+0x7CC)++0x03 line.long 0x00 "C0NFIFO,CCB 0 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x80004+0x7CC)++0x03 line.long 0x00 "C0NFIFO,CCB 0 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x80004+0x7DC)++0x03 line.long 0x00 "C0IFIFO,CCB 0 Input Data FIFO" rgroup.quad (0x80004+0x7EC)++0x07 line.quad 0x00 "C0OFIFO,CCB 0 Output Data FIFO" group.long (0x80004+0x7FC)++0x03 line.long 0x00 "D0JQCR_MS,DECO0 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x80004+0x800)++0x03 line.long 0x00 "D0JQCR_LS,DECO0 Job Queue Control Register" rgroup.quad (0x80004+0x804)++0x07 line.quad 0x00 "D0DAR,DECO0 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x80004+0x80C)++0x07 line.long 0x00 "D0OPSTA_MS,DECO0 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D0OPSTA_LS,DECO0 Operation Status Register" group.long (0x80004+0x814)++0x03 line.long 0x00 "D0CKSUMR,DECO0 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x80004+0x81C)++0x07 line.long 0x00 "D0COICIDSR,DECO0 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D0SIICIDSR,DECO0 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x80004++0x03 hide.long 0x00 "C0C1MR,CCB 0 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x80004++0x03 hide.long 0x00 "C0C1MR_PK,CCB 0 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x80004++0x03 hide.long 0x00 "C0C1MR_RNG,CCB 0 Class 1 Mode Register Format For RNG" hgroup.long (0x80004+0x08)++0x03 hide.long 0x00 "C0C1KSR,CCB 0 Class 1 Key Size Register" hgroup.quad (0x80004+0x0C)++0x07 hide.quad 0x00 "C0C1DSR,CCB 0 Class 1 Data Size Register" hgroup.long (0x80004+0x18)++0x03 hide.long 0x00 "C0C1ICVSR,CCB 0 Class 1 ICV Size Register" hgroup.long (0x80004+0x30)++0x03 hide.long 0x00 "C0CCTRL,CCB 0 CHA Control Register" hgroup.long (0x80004+0x3C)++0x03 hide.long 0x00 "C0ICTL,CCB 0 Interrupt Control Register" hgroup.long (0x80004+0x40)++0x03 hide.long 0x00 "C0CWR,CCB 0 Clear Written Register" hgroup.long (0x80004+0x44)++0x03 hide.long 0x00 "C0CSTA_MS,CCB 0 Status And Error Register" hgroup.long (0x80004+0x48)++0x03 hide.long 0x00 "C0CSTA_LS,CCB 0 Status And Error LS Register" hgroup.long (0x80004+0x58)++0x03 hide.long 0x00 "C0C1AADSZR,CCB 0 Class 1 AAD Size Register" hgroup.long (0x80004+0x60)++0x03 hide.long 0x00 "C0C1IVSZR,CCB 0 Class 1 IV Size Register" hgroup.long (0x80004+0x80)++0x03 hide.long 0x00 "C0PKASZR,PKHA A Size 0 Register" hgroup.long (0x80004+0x88)++0x03 hide.long 0x00 "C0PKBSZR,PKHA B Size 0 Register" hgroup.long (0x80004+0x90)++0x03 hide.long 0x00 "C0PKNSZR,PKHA N Size 0 Register" hgroup.long (0x80004+0x98)++0x03 hide.long 0x00 "C0PKESZR,PKHA E Size 0 Register" hgroup.long (0x80004+0xFC)++0x03 hide.long 0x00 "C0C1CTXR0,CCB 0 Class 1 Context Register Word 0" hgroup.long (0x80004+0xFC)++0x03 hide.long 0x00 "C0C1CTXR1,CCB 0 Class 1 Context Register Word 1" hgroup.long (0x80004+0x100)++0x03 hide.long 0x00 "C0C1CTXR2,CCB 0 Class 1 Context Register Word 2" hgroup.long (0x80004+0x104)++0x03 hide.long 0x00 "C0C1CTXR3,CCB 0 Class 1 Context Register Word 3" hgroup.long (0x80004+0x108)++0x03 hide.long 0x00 "C0C1CTXR4,CCB 0 Class 1 Context Register Word 4" hgroup.long (0x80004+0x10C)++0x03 hide.long 0x00 "C0C1CTXR5,CCB 0 Class 1 Context Register Word 5" hgroup.long (0x80004+0x110)++0x03 hide.long 0x00 "C0C1CTXR6,CCB 0 Class 1 Context Register Word 6" hgroup.long (0x80004+0x114)++0x03 hide.long 0x00 "C0C1CTXR7,CCB 0 Class 1 Context Register Word 7" hgroup.long (0x80004+0x118)++0x03 hide.long 0x00 "C0C1CTXR8,CCB 0 Class 1 Context Register Word 8" hgroup.long (0x80004+0x11C)++0x03 hide.long 0x00 "C0C1CTXR9,CCB 0 Class 1 Context Register Word 9" hgroup.long (0x80004+0x120)++0x03 hide.long 0x00 "C0C1CTXR10,CCB 0 Class 1 Context Register Word 10" hgroup.long (0x80004+0x124)++0x03 hide.long 0x00 "C0C1CTXR11,CCB 0 Class 1 Context Register Word 11" hgroup.long (0x80004+0x128)++0x03 hide.long 0x00 "C0C1CTXR12,CCB 0 Class 1 Context Register Word 12" hgroup.long (0x80004+0x12C)++0x03 hide.long 0x00 "C0C1CTXR13,CCB 0 Class 1 Context Register Word 13" hgroup.long (0x80004+0x130)++0x03 hide.long 0x00 "C0C1CTXR14,CCB 0 Class 1 Context Register Word 14" hgroup.long (0x80004+0x134)++0x03 hide.long 0x00 "C0C1CTXR15,CCB 0 Class 1 Context Register Word 15" hgroup.long (0x80004+0x1FC)++0x03 hide.long 0x00 "C0C1KR0,CCB 0 Class 1 Key Register Word 0" hgroup.long (0x80004+0x200)++0x03 hide.long 0x00 "C0C1KR1,CCB 0 Class 1 Key Register Word 1" hgroup.long (0x80004+0x204)++0x03 hide.long 0x00 "C0C1KR2,CCB 0 Class 1 Key Register Word 2" hgroup.long (0x80004+0x208)++0x03 hide.long 0x00 "C0C1KR3,CCB 0 Class 1 Key Register Word 3" hgroup.long (0x80004+0x20C)++0x03 hide.long 0x00 "C0C1KR4,CCB 0 Class 1 Key Register Word 4" hgroup.long (0x80004+0x210)++0x03 hide.long 0x00 "C0C1KR5,CCB 0 Class 1 Key Register Word 5" hgroup.long (0x80004+0x214)++0x03 hide.long 0x00 "C0C1KR6,CCB 0 Class 1 Key Register Word 6" hgroup.long (0x80004+0x218)++0x03 hide.long 0x00 "C0C1KR7,CCB 0 Class 1 Key Register Word 7" hgroup.long (0x80004+0x400)++0x03 hide.long 0x00 "C0C2MR,CCB 0 Class 2 Mode Register" hgroup.long (0x80004+0x408)++0x03 hide.long 0x00 "C0C2KSR,CCB 0 Class 2 Key Size Register" hgroup.quad (0x80004+0x40C)++0x07 hide.quad 0x00 "C0C2DSR,CCB 0 Class 2 Data Size Register" hgroup.long (0x80004+0x418)++0x03 hide.long 0x00 "C0C2ICVSZR,CCB 0 Class 2 ICV Size Register" hgroup.long (0x80004+0x454)++0x03 hide.long 0x00 "C0C2AADSZR,CCB 0 Class 2 AAD Size Register" hgroup.long (0x80004+0x4FC)++0x03 hide.long 0x00 "C0C2CTXR0,CCB 0 Class 2 Context Register Word 0" hgroup.long (0x80004+0x500)++0x03 hide.long 0x00 "C0C2CTXR1,CCB 0 Class 2 Context Register Word 1" hgroup.long (0x80004+0x504)++0x03 hide.long 0x00 "C0C2CTXR2,CCB 0 Class 2 Context Register Word 2" hgroup.long (0x80004+0x508)++0x03 hide.long 0x00 "C0C2CTXR3,CCB 0 Class 2 Context Register Word 3" hgroup.long (0x80004+0x50C)++0x03 hide.long 0x00 "C0C2CTXR4,CCB 0 Class 2 Context Register Word 4" hgroup.long (0x80004+0x510)++0x03 hide.long 0x00 "C0C2CTXR5,CCB 0 Class 2 Context Register Word 5" hgroup.long (0x80004+0x514)++0x03 hide.long 0x00 "C0C2CTXR6,CCB 0 Class 2 Context Register Word 6" hgroup.long (0x80004+0x518)++0x03 hide.long 0x00 "C0C2CTXR7,CCB 0 Class 2 Context Register Word 7" hgroup.long (0x80004+0x51C)++0x03 hide.long 0x00 "C0C2CTXR8,CCB 0 Class 2 Context Register Word 8" hgroup.long (0x80004+0x520)++0x03 hide.long 0x00 "C0C2CTXR9,CCB 0 Class 2 Context Register Word 9" hgroup.long (0x80004+0x524)++0x03 hide.long 0x00 "C0C2CTXR10,CCB 0 Class 2 Context Register Word 10" hgroup.long (0x80004+0x528)++0x03 hide.long 0x00 "C0C2CTXR11,CCB 0 Class 2 Context Register Word 11" hgroup.long (0x80004+0x52C)++0x03 hide.long 0x00 "C0C2CTXR12,CCB 0 Class 2 Context Register Word 12" hgroup.long (0x80004+0x530)++0x03 hide.long 0x00 "C0C2CTXR13,CCB 0 Class 2 Context Register Word 13" hgroup.long (0x80004+0x534)++0x03 hide.long 0x00 "C0C2CTXR14,CCB 0 Class 2 Context Register Word 14" hgroup.long (0x80004+0x538)++0x03 hide.long 0x00 "C0C2CTXR15,CCB 0 Class 2 Context Register Word 15" hgroup.long (0x80004+0x53C)++0x03 hide.long 0x00 "C0C2CTXR16,CCB 0 Class 2 Context Register Word 16" hgroup.long (0x80004+0x540)++0x03 hide.long 0x00 "C0C2CTXR17,CCB 0 Class 2 Context Register Word 17" hgroup.long (0x80004+0x5FC)++0x03 hide.long 0x00 "C0C2KEYR0,CCB 0 Class 2 Key Register Word 0" hgroup.long (0x80004+0x600)++0x03 hide.long 0x00 "C0C2KEYR1,CCB 0 Class 2 Key Register Word 1" hgroup.long (0x80004+0x604)++0x03 hide.long 0x00 "C0C2KEYR2,CCB 0 Class 2 Key Register Word 2" hgroup.long (0x80004+0x608)++0x03 hide.long 0x00 "C0C2KEYR3,CCB 0 Class 2 Key Register Word 3" hgroup.long (0x80004+0x60C)++0x03 hide.long 0x00 "C0C2KEYR4,CCB 0 Class 2 Key Register Word 4" hgroup.long (0x80004+0x610)++0x03 hide.long 0x00 "C0C2KEYR5,CCB 0 Class 2 Key Register Word 5" hgroup.long (0x80004+0x614)++0x03 hide.long 0x00 "C0C2KEYR6,CCB 0 Class 2 Key Register Word 6" hgroup.long (0x80004+0x618)++0x03 hide.long 0x00 "C0C2KEYR7,CCB 0 Class 2 Key Register Word 7" hgroup.long (0x80004+0x61C)++0x03 hide.long 0x00 "C0C2KEYR8,CCB 0 Class 2 Key Register Word 8" hgroup.long (0x80004+0x620)++0x03 hide.long 0x00 "C0C2KEYR9,CCB 0 Class 2 Key Register Word 9" hgroup.long (0x80004+0x624)++0x03 hide.long 0x00 "C0C2KEYR10,CCB 0 Class 2 Key Register Word 10" hgroup.long (0x80004+0x628)++0x03 hide.long 0x00 "C0C2KEYR11,CCB 0 Class 2 Key Register Word 11" hgroup.long (0x80004+0x62C)++0x03 hide.long 0x00 "C0C2KEYR12,CCB 0 Class 2 Key Register Word 12" hgroup.long (0x80004+0x630)++0x03 hide.long 0x00 "C0C2KEYR13,CCB 0 Class 2 Key Register Word 13" hgroup.long (0x80004+0x634)++0x03 hide.long 0x00 "C0C2KEYR14,CCB 0 Class 2 Key Register Word 14" hgroup.long (0x80004+0x638)++0x03 hide.long 0x00 "C0C2KEYR15,CCB 0 Class 2 Key Register Word 15" hgroup.long (0x80004+0x63C)++0x03 hide.long 0x00 "C0C2KEYR16,CCB 0 Class 2 Key Register Word 16" hgroup.long (0x80004+0x640)++0x03 hide.long 0x00 "C0C2KEYR17,CCB 0 Class 2 Key Register Word 17" hgroup.long (0x80004+0x644)++0x03 hide.long 0x00 "C0C2KEYR18,CCB 0 Class 2 Key Register Word 18" hgroup.long (0x80004+0x648)++0x03 hide.long 0x00 "C0C2KEYR19,CCB 0 Class 2 Key Register Word 19" hgroup.long (0x80004+0x64C)++0x03 hide.long 0x00 "C0C2KEYR20,CCB 0 Class 2 Key Register Word 20" hgroup.long (0x80004+0x650)++0x03 hide.long 0x00 "C0C2KEYR21,CCB 0 Class 2 Key Register Word 21" hgroup.long (0x80004+0x654)++0x03 hide.long 0x00 "C0C2KEYR22,CCB 0 Class 2 Key Register Word 22" hgroup.long (0x80004+0x658)++0x03 hide.long 0x00 "C0C2KEYR23,CCB 0 Class 2 Key Register Word 23" hgroup.long (0x80004+0x65C)++0x03 hide.long 0x00 "C0C2KEYR24,CCB 0 Class 2 Key Register Word 24" hgroup.long (0x80004+0x660)++0x03 hide.long 0x00 "C0C2KEYR25,CCB 0 Class 2 Key Register Word 25" hgroup.long (0x80004+0x664)++0x03 hide.long 0x00 "C0C2KEYR26,CCB 0 Class 2 Key Register Word 26" hgroup.long (0x80004+0x668)++0x03 hide.long 0x00 "C0C2KEYR27,CCB 0 Class 2 Key Register Word 27" hgroup.long (0x80004+0x66C)++0x03 hide.long 0x00 "C0C2KEYR28,CCB 0 Class 2 Key Register Word 28" hgroup.long (0x80004+0x670)++0x03 hide.long 0x00 "C0C2KEYR29,CCB 0 Class 2 Key Register Word 29" hgroup.long (0x80004+0x674)++0x03 hide.long 0x00 "C0C2KEYR30,CCB 0 Class 2 Key Register Word 30" hgroup.long (0x80004+0x678)++0x03 hide.long 0x00 "C0C2KEYR31,CCB 0 Class 2 Key Register Word 31" hgroup.long (0x80004+0x7BC)++0x03 hide.long 0x00 "C0FIFOSTA,CCB 0 FIFO Status Register" hgroup.long (0x80004+0x7CC)++0x03 hide.long 0x00 "C0NFIFO,CCB 0 Information FIFO Register" hgroup.long (0x80004+0x7DC)++0x03 hide.long 0x00 "C0IFIFO,CCB 0 Input Data FIFO" hgroup.quad (0x80004+0x7EC)++0x07 hide.quad 0x00 "C0OFIFO,CCB 0 Output Data FIFO" hgroup.long (0x80004+0x7FC)++0x03 hide.long 0x00 "D0JQCR_MS,DECO0 Job Queue Control Register" hgroup.long (0x80004+0x800)++0x03 hide.long 0x00 "D0JQCR_LS,DECO0 Job Queue Control Register" hgroup.quad (0x80004+0x804)++0x07 hide.quad 0x00 "D0DAR,DECO0 Descriptor Address Register" hgroup.long (0x80004+0x80C)++0x03 hide.long 0x00 "D0OPSTA_MS,DECO0 Operation Status MS Register" hgroup.long (0x80004+0x810)++0x03 hide.long 0x00 "D0OPSTA_LS,DECO0 Operation Status Register" hgroup.long (0x80004+0x814)++0x03 hide.long 0x00 "D0CKSUMR,DECO0 Checksum Register" hgroup.long (0x80004+0x81C)++0x03 hide.long 0x00 "D0COICIDSR,DECO0 Control And Output ICID Status Register" hgroup.long (0x80004+0x820)++0x03 hide.long 0x00 "D0SIICIDSR,DECO0 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<1.)==(0x10001<<1.)) group.long 0x81004++0x03 "CCB 1" line.long 0x00 "C1C1MR,CCB 1 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x81004++0x03 line.long 0x00 "C1C1MR_PK,CCB 1 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x81004++0x03 line.long 0x00 "C1C1MR_RNG,CCB 1 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x81004+0x08)++0x03 line.long 0x00 "C1C1KSR,CCB 1 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x81004+0x0C)++0x07 line.quad 0x00 "C1C1DSR,CCB 1 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x81004+0x18)++0x03 line.long 0x00 "C1C1ICVSR,CCB 1 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x81004+0x30)++0x03 line.long 0x00 "C1CCTRL,CCB 1 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x81004+0x3C)++0x03 line.long 0x00 "C1ICTL,CCB 1 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x81004+0x40)++0x03 line.long 0x00 "C1CWR,CCB 1 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x81004+0x44)++0x07 line.long 0x00 "C1CSTA_MS,CCB 1 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C1CSTA_LS,CCB 1 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x81004+0x58)++0x03 line.long 0x00 "C1C1AADSZR,CCB 1 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x81004+0x60)++0x03 line.long 0x00 "C1C1IVSZR,CCB 1 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x81004+0x80)++0x03 line.long 0x00 "C1PKASZR,PKHA A Size 1 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x81004+0x88)++0x03 line.long 0x00 "C1PKBSZR,PKHA B Size 1 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x81004+0x90)++0x03 line.long 0x00 "C1PKNSZR,PKHA N Size 1 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x81004+0x98)++0x03 line.long 0x00 "C1PKESZR,PKHA E Size 1 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x81004+0xFC)++0x3F line.long 0x00 "C1C1CTXR0,CCB 1 Class 1 Context Register Word 0" line.long 0x04 "C1C1CTXR1,CCB 1 Class 1 Context Register Word 1" line.long 0x08 "C1C1CTXR2,CCB 1 Class 1 Context Register Word 2" line.long 0x0C "C1C1CTXR3,CCB 1 Class 1 Context Register Word 3" line.long 0x10 "C1C1CTXR4,CCB 1 Class 1 Context Register Word 4" line.long 0x14 "C1C1CTXR5,CCB 1 Class 1 Context Register Word 5" line.long 0x18 "C1C1CTXR6,CCB 1 Class 1 Context Register Word 6" line.long 0x1C "C1C1CTXR7,CCB 1 Class 1 Context Register Word 7" line.long 0x20 "C1C1CTXR8,CCB 1 Class 1 Context Register Word 8" line.long 0x24 "C1C1CTXR9,CCB 1 Class 1 Context Register Word 9" line.long 0x28 "C1C1CTXR10,CCB 1 Class 1 Context Register Word 10" line.long 0x2C "C1C1CTXR11,CCB 1 Class 1 Context Register Word 11" line.long 0x30 "C1C1CTXR12,CCB 1 Class 1 Context Register Word 12" line.long 0x34 "C1C1CTXR13,CCB 1 Class 1 Context Register Word 13" line.long 0x38 "C1C1CTXR14,CCB 1 Class 1 Context Register Word 14" line.long 0x3C "C1C1CTXR15,CCB 1 Class 1 Context Register Word 15" group.long (0x81004+0x1FC)++0x1F line.long 0x00 "C1C1KR0,CCB 1 Class 1 Key Register Word 0" line.long 0x04 "C1C1KR1,CCB 1 Class 1 Key Register Word 1" line.long 0x08 "C1C1KR2,CCB 1 Class 1 Key Register Word 2" line.long 0x0C "C1C1KR3,CCB 1 Class 1 Key Register Word 3" line.long 0x10 "C1C1KR4,CCB 1 Class 1 Key Register Word 4" line.long 0x14 "C1C1KR5,CCB 1 Class 1 Key Register Word 5" line.long 0x18 "C1C1KR6,CCB 1 Class 1 Key Register Word 6" line.long 0x1C "C1C1KR7,CCB 1 Class 1 Key Register Word 7" group.long (0x81004+0x400)++0x03 line.long 0x00 "C1C2MR,CCB 1 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x81004+0x408)++0x03 line.long 0x00 "C1C2KSR,CCB 1 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x81004+0x40C)++0x07 line.quad 0x00 "C1C2DSR,CCB 1 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x81004+0x418)++0x03 line.long 0x00 "C1C2ICVSZR,CCB 1 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x81004+0x454)++0x03 line.long 0x00 "C1C2AADSZR,CCB 1 Class 2 AAD Size Register" group.long (0x81004+0x4FC)++0x47 line.long 0x00 "C1C2CTXR0,CCB 1 Class 2 Context Register Word 0" line.long 0x04 "C1C2CTXR1,CCB 1 Class 2 Context Register Word 1" line.long 0x08 "C1C2CTXR2,CCB 1 Class 2 Context Register Word 2" line.long 0x0C "C1C2CTXR3,CCB 1 Class 2 Context Register Word 3" line.long 0x10 "C1C2CTXR4,CCB 1 Class 2 Context Register Word 4" line.long 0x14 "C1C2CTXR5,CCB 1 Class 2 Context Register Word 5" line.long 0x18 "C1C2CTXR6,CCB 1 Class 2 Context Register Word 6" line.long 0x1C "C1C2CTXR7,CCB 1 Class 2 Context Register Word 7" line.long 0x20 "C1C2CTXR8,CCB 1 Class 2 Context Register Word 8" line.long 0x24 "C1C2CTXR9,CCB 1 Class 2 Context Register Word 9" line.long 0x28 "C1C2CTXR10,CCB 1 Class 2 Context Register Word 10" line.long 0x2C "C1C2CTXR11,CCB 1 Class 2 Context Register Word 11" line.long 0x30 "C1C2CTXR12,CCB 1 Class 2 Context Register Word 12" line.long 0x34 "C1C2CTXR13,CCB 1 Class 2 Context Register Word 13" line.long 0x38 "C1C2CTXR14,CCB 1 Class 2 Context Register Word 14" line.long 0x3C "C1C2CTXR15,CCB 1 Class 2 Context Register Word 15" line.long 0x40 "C1C2CTXR16,CCB 1 Class 2 Context Register Word 16" line.long 0x44 "C1C2CTXR17,CCB 1 Class 2 Context Register Word 17" group.long (0x81004+0x5FC)++0x7F line.long 0x00 "C1C2KEYR0,CCB 1 Class 2 Key Register Word 0" line.long 0x04 "C1C2KEYR1,CCB 1 Class 2 Key Register Word 1" line.long 0x08 "C1C2KEYR2,CCB 1 Class 2 Key Register Word 2" line.long 0x0C "C1C2KEYR3,CCB 1 Class 2 Key Register Word 3" line.long 0x10 "C1C2KEYR4,CCB 1 Class 2 Key Register Word 4" line.long 0x14 "C1C2KEYR5,CCB 1 Class 2 Key Register Word 5" line.long 0x18 "C1C2KEYR6,CCB 1 Class 2 Key Register Word 6" line.long 0x1C "C1C2KEYR7,CCB 1 Class 2 Key Register Word 7" line.long 0x20 "C1C2KEYR8,CCB 1 Class 2 Key Register Word 8" line.long 0x24 "C1C2KEYR9,CCB 1 Class 2 Key Register Word 9" line.long 0x28 "C1C2KEYR10,CCB 1 Class 2 Key Register Word 10" line.long 0x2C "C1C2KEYR11,CCB 1 Class 2 Key Register Word 11" line.long 0x30 "C1C2KEYR12,CCB 1 Class 2 Key Register Word 12" line.long 0x34 "C1C2KEYR13,CCB 1 Class 2 Key Register Word 13" line.long 0x38 "C1C2KEYR14,CCB 1 Class 2 Key Register Word 14" line.long 0x3C "C1C2KEYR15,CCB 1 Class 2 Key Register Word 15" line.long 0x40 "C1C2KEYR16,CCB 1 Class 2 Key Register Word 16" line.long 0x44 "C1C2KEYR17,CCB 1 Class 2 Key Register Word 17" line.long 0x48 "C1C2KEYR18,CCB 1 Class 2 Key Register Word 18" line.long 0x4C "C1C2KEYR19,CCB 1 Class 2 Key Register Word 19" line.long 0x50 "C1C2KEYR20,CCB 1 Class 2 Key Register Word 20" line.long 0x54 "C1C2KEYR21,CCB 1 Class 2 Key Register Word 21" line.long 0x58 "C1C2KEYR22,CCB 1 Class 2 Key Register Word 22" line.long 0x5C "C1C2KEYR23,CCB 1 Class 2 Key Register Word 23" line.long 0x60 "C1C2KEYR24,CCB 1 Class 2 Key Register Word 24" line.long 0x64 "C1C2KEYR25,CCB 1 Class 2 Key Register Word 25" line.long 0x68 "C1C2KEYR26,CCB 1 Class 2 Key Register Word 26" line.long 0x6C "C1C2KEYR27,CCB 1 Class 2 Key Register Word 27" line.long 0x70 "C1C2KEYR28,CCB 1 Class 2 Key Register Word 28" line.long 0x74 "C1C2KEYR29,CCB 1 Class 2 Key Register Word 29" line.long 0x78 "C1C2KEYR30,CCB 1 Class 2 Key Register Word 30" line.long 0x7C "C1C2KEYR31,CCB 1 Class 2 Key Register Word 31" rgroup.long (0x81004+0x7BC)++0x03 line.long 0x00 "C1FIFOSTA,CCB 1 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x81004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x81004+0x7CC)++0x03 line.long 0x00 "C1NFIFO,CCB 1 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x81004+0x7CC)++0x03 line.long 0x00 "C1NFIFO,CCB 1 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x81004+0x7DC)++0x03 line.long 0x00 "C1IFIFO,CCB 1 Input Data FIFO" rgroup.quad (0x81004+0x7EC)++0x07 line.quad 0x00 "C1OFIFO,CCB 1 Output Data FIFO" group.long (0x81004+0x7FC)++0x03 line.long 0x00 "D1JQCR_MS,DECO1 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x81004+0x800)++0x03 line.long 0x00 "D1JQCR_LS,DECO1 Job Queue Control Register" rgroup.quad (0x81004+0x804)++0x07 line.quad 0x00 "D1DAR,DECO1 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x81004+0x80C)++0x07 line.long 0x00 "D1OPSTA_MS,DECO1 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D1OPSTA_LS,DECO1 Operation Status Register" group.long (0x81004+0x814)++0x03 line.long 0x00 "D1CKSUMR,DECO1 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x81004+0x81C)++0x07 line.long 0x00 "D1COICIDSR,DECO1 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D1SIICIDSR,DECO1 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x81004++0x03 hide.long 0x00 "C1C1MR,CCB 1 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x81004++0x03 hide.long 0x00 "C1C1MR_PK,CCB 1 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x81004++0x03 hide.long 0x00 "C1C1MR_RNG,CCB 1 Class 1 Mode Register Format For RNG" hgroup.long (0x81004+0x08)++0x03 hide.long 0x00 "C1C1KSR,CCB 1 Class 1 Key Size Register" hgroup.quad (0x81004+0x0C)++0x07 hide.quad 0x00 "C1C1DSR,CCB 1 Class 1 Data Size Register" hgroup.long (0x81004+0x18)++0x03 hide.long 0x00 "C1C1ICVSR,CCB 1 Class 1 ICV Size Register" hgroup.long (0x81004+0x30)++0x03 hide.long 0x00 "C1CCTRL,CCB 1 CHA Control Register" hgroup.long (0x81004+0x3C)++0x03 hide.long 0x00 "C1ICTL,CCB 1 Interrupt Control Register" hgroup.long (0x81004+0x40)++0x03 hide.long 0x00 "C1CWR,CCB 1 Clear Written Register" hgroup.long (0x81004+0x44)++0x03 hide.long 0x00 "C1CSTA_MS,CCB 1 Status And Error Register" hgroup.long (0x81004+0x48)++0x03 hide.long 0x00 "C1CSTA_LS,CCB 1 Status And Error LS Register" hgroup.long (0x81004+0x58)++0x03 hide.long 0x00 "C1C1AADSZR,CCB 1 Class 1 AAD Size Register" hgroup.long (0x81004+0x60)++0x03 hide.long 0x00 "C1C1IVSZR,CCB 1 Class 1 IV Size Register" hgroup.long (0x81004+0x80)++0x03 hide.long 0x00 "C1PKASZR,PKHA A Size 1 Register" hgroup.long (0x81004+0x88)++0x03 hide.long 0x00 "C1PKBSZR,PKHA B Size 1 Register" hgroup.long (0x81004+0x90)++0x03 hide.long 0x00 "C1PKNSZR,PKHA N Size 1 Register" hgroup.long (0x81004+0x98)++0x03 hide.long 0x00 "C1PKESZR,PKHA E Size 1 Register" hgroup.long (0x81004+0xFC)++0x03 hide.long 0x00 "C1C1CTXR0,CCB 1 Class 1 Context Register Word 0" hgroup.long (0x81004+0xFC)++0x03 hide.long 0x00 "C1C1CTXR1,CCB 1 Class 1 Context Register Word 1" hgroup.long (0x81004+0x100)++0x03 hide.long 0x00 "C1C1CTXR2,CCB 1 Class 1 Context Register Word 2" hgroup.long (0x81004+0x104)++0x03 hide.long 0x00 "C1C1CTXR3,CCB 1 Class 1 Context Register Word 3" hgroup.long (0x81004+0x108)++0x03 hide.long 0x00 "C1C1CTXR4,CCB 1 Class 1 Context Register Word 4" hgroup.long (0x81004+0x10C)++0x03 hide.long 0x00 "C1C1CTXR5,CCB 1 Class 1 Context Register Word 5" hgroup.long (0x81004+0x110)++0x03 hide.long 0x00 "C1C1CTXR6,CCB 1 Class 1 Context Register Word 6" hgroup.long (0x81004+0x114)++0x03 hide.long 0x00 "C1C1CTXR7,CCB 1 Class 1 Context Register Word 7" hgroup.long (0x81004+0x118)++0x03 hide.long 0x00 "C1C1CTXR8,CCB 1 Class 1 Context Register Word 8" hgroup.long (0x81004+0x11C)++0x03 hide.long 0x00 "C1C1CTXR9,CCB 1 Class 1 Context Register Word 9" hgroup.long (0x81004+0x120)++0x03 hide.long 0x00 "C1C1CTXR10,CCB 1 Class 1 Context Register Word 10" hgroup.long (0x81004+0x124)++0x03 hide.long 0x00 "C1C1CTXR11,CCB 1 Class 1 Context Register Word 11" hgroup.long (0x81004+0x128)++0x03 hide.long 0x00 "C1C1CTXR12,CCB 1 Class 1 Context Register Word 12" hgroup.long (0x81004+0x12C)++0x03 hide.long 0x00 "C1C1CTXR13,CCB 1 Class 1 Context Register Word 13" hgroup.long (0x81004+0x130)++0x03 hide.long 0x00 "C1C1CTXR14,CCB 1 Class 1 Context Register Word 14" hgroup.long (0x81004+0x134)++0x03 hide.long 0x00 "C1C1CTXR15,CCB 1 Class 1 Context Register Word 15" hgroup.long (0x81004+0x1FC)++0x03 hide.long 0x00 "C1C1KR0,CCB 1 Class 1 Key Register Word 0" hgroup.long (0x81004+0x200)++0x03 hide.long 0x00 "C1C1KR1,CCB 1 Class 1 Key Register Word 1" hgroup.long (0x81004+0x204)++0x03 hide.long 0x00 "C1C1KR2,CCB 1 Class 1 Key Register Word 2" hgroup.long (0x81004+0x208)++0x03 hide.long 0x00 "C1C1KR3,CCB 1 Class 1 Key Register Word 3" hgroup.long (0x81004+0x20C)++0x03 hide.long 0x00 "C1C1KR4,CCB 1 Class 1 Key Register Word 4" hgroup.long (0x81004+0x210)++0x03 hide.long 0x00 "C1C1KR5,CCB 1 Class 1 Key Register Word 5" hgroup.long (0x81004+0x214)++0x03 hide.long 0x00 "C1C1KR6,CCB 1 Class 1 Key Register Word 6" hgroup.long (0x81004+0x218)++0x03 hide.long 0x00 "C1C1KR7,CCB 1 Class 1 Key Register Word 7" hgroup.long (0x81004+0x400)++0x03 hide.long 0x00 "C1C2MR,CCB 1 Class 2 Mode Register" hgroup.long (0x81004+0x408)++0x03 hide.long 0x00 "C1C2KSR,CCB 1 Class 2 Key Size Register" hgroup.quad (0x81004+0x40C)++0x07 hide.quad 0x00 "C1C2DSR,CCB 1 Class 2 Data Size Register" hgroup.long (0x81004+0x418)++0x03 hide.long 0x00 "C1C2ICVSZR,CCB 1 Class 2 ICV Size Register" hgroup.long (0x81004+0x454)++0x03 hide.long 0x00 "C1C2AADSZR,CCB 1 Class 2 AAD Size Register" hgroup.long (0x81004+0x4FC)++0x03 hide.long 0x00 "C1C2CTXR0,CCB 1 Class 2 Context Register Word 0" hgroup.long (0x81004+0x500)++0x03 hide.long 0x00 "C1C2CTXR1,CCB 1 Class 2 Context Register Word 1" hgroup.long (0x81004+0x504)++0x03 hide.long 0x00 "C1C2CTXR2,CCB 1 Class 2 Context Register Word 2" hgroup.long (0x81004+0x508)++0x03 hide.long 0x00 "C1C2CTXR3,CCB 1 Class 2 Context Register Word 3" hgroup.long (0x81004+0x50C)++0x03 hide.long 0x00 "C1C2CTXR4,CCB 1 Class 2 Context Register Word 4" hgroup.long (0x81004+0x510)++0x03 hide.long 0x00 "C1C2CTXR5,CCB 1 Class 2 Context Register Word 5" hgroup.long (0x81004+0x514)++0x03 hide.long 0x00 "C1C2CTXR6,CCB 1 Class 2 Context Register Word 6" hgroup.long (0x81004+0x518)++0x03 hide.long 0x00 "C1C2CTXR7,CCB 1 Class 2 Context Register Word 7" hgroup.long (0x81004+0x51C)++0x03 hide.long 0x00 "C1C2CTXR8,CCB 1 Class 2 Context Register Word 8" hgroup.long (0x81004+0x520)++0x03 hide.long 0x00 "C1C2CTXR9,CCB 1 Class 2 Context Register Word 9" hgroup.long (0x81004+0x524)++0x03 hide.long 0x00 "C1C2CTXR10,CCB 1 Class 2 Context Register Word 10" hgroup.long (0x81004+0x528)++0x03 hide.long 0x00 "C1C2CTXR11,CCB 1 Class 2 Context Register Word 11" hgroup.long (0x81004+0x52C)++0x03 hide.long 0x00 "C1C2CTXR12,CCB 1 Class 2 Context Register Word 12" hgroup.long (0x81004+0x530)++0x03 hide.long 0x00 "C1C2CTXR13,CCB 1 Class 2 Context Register Word 13" hgroup.long (0x81004+0x534)++0x03 hide.long 0x00 "C1C2CTXR14,CCB 1 Class 2 Context Register Word 14" hgroup.long (0x81004+0x538)++0x03 hide.long 0x00 "C1C2CTXR15,CCB 1 Class 2 Context Register Word 15" hgroup.long (0x81004+0x53C)++0x03 hide.long 0x00 "C1C2CTXR16,CCB 1 Class 2 Context Register Word 16" hgroup.long (0x81004+0x540)++0x03 hide.long 0x00 "C1C2CTXR17,CCB 1 Class 2 Context Register Word 17" hgroup.long (0x81004+0x5FC)++0x03 hide.long 0x00 "C1C2KEYR0,CCB 1 Class 2 Key Register Word 0" hgroup.long (0x81004+0x600)++0x03 hide.long 0x00 "C1C2KEYR1,CCB 1 Class 2 Key Register Word 1" hgroup.long (0x81004+0x604)++0x03 hide.long 0x00 "C1C2KEYR2,CCB 1 Class 2 Key Register Word 2" hgroup.long (0x81004+0x608)++0x03 hide.long 0x00 "C1C2KEYR3,CCB 1 Class 2 Key Register Word 3" hgroup.long (0x81004+0x60C)++0x03 hide.long 0x00 "C1C2KEYR4,CCB 1 Class 2 Key Register Word 4" hgroup.long (0x81004+0x610)++0x03 hide.long 0x00 "C1C2KEYR5,CCB 1 Class 2 Key Register Word 5" hgroup.long (0x81004+0x614)++0x03 hide.long 0x00 "C1C2KEYR6,CCB 1 Class 2 Key Register Word 6" hgroup.long (0x81004+0x618)++0x03 hide.long 0x00 "C1C2KEYR7,CCB 1 Class 2 Key Register Word 7" hgroup.long (0x81004+0x61C)++0x03 hide.long 0x00 "C1C2KEYR8,CCB 1 Class 2 Key Register Word 8" hgroup.long (0x81004+0x620)++0x03 hide.long 0x00 "C1C2KEYR9,CCB 1 Class 2 Key Register Word 9" hgroup.long (0x81004+0x624)++0x03 hide.long 0x00 "C1C2KEYR10,CCB 1 Class 2 Key Register Word 10" hgroup.long (0x81004+0x628)++0x03 hide.long 0x00 "C1C2KEYR11,CCB 1 Class 2 Key Register Word 11" hgroup.long (0x81004+0x62C)++0x03 hide.long 0x00 "C1C2KEYR12,CCB 1 Class 2 Key Register Word 12" hgroup.long (0x81004+0x630)++0x03 hide.long 0x00 "C1C2KEYR13,CCB 1 Class 2 Key Register Word 13" hgroup.long (0x81004+0x634)++0x03 hide.long 0x00 "C1C2KEYR14,CCB 1 Class 2 Key Register Word 14" hgroup.long (0x81004+0x638)++0x03 hide.long 0x00 "C1C2KEYR15,CCB 1 Class 2 Key Register Word 15" hgroup.long (0x81004+0x63C)++0x03 hide.long 0x00 "C1C2KEYR16,CCB 1 Class 2 Key Register Word 16" hgroup.long (0x81004+0x640)++0x03 hide.long 0x00 "C1C2KEYR17,CCB 1 Class 2 Key Register Word 17" hgroup.long (0x81004+0x644)++0x03 hide.long 0x00 "C1C2KEYR18,CCB 1 Class 2 Key Register Word 18" hgroup.long (0x81004+0x648)++0x03 hide.long 0x00 "C1C2KEYR19,CCB 1 Class 2 Key Register Word 19" hgroup.long (0x81004+0x64C)++0x03 hide.long 0x00 "C1C2KEYR20,CCB 1 Class 2 Key Register Word 20" hgroup.long (0x81004+0x650)++0x03 hide.long 0x00 "C1C2KEYR21,CCB 1 Class 2 Key Register Word 21" hgroup.long (0x81004+0x654)++0x03 hide.long 0x00 "C1C2KEYR22,CCB 1 Class 2 Key Register Word 22" hgroup.long (0x81004+0x658)++0x03 hide.long 0x00 "C1C2KEYR23,CCB 1 Class 2 Key Register Word 23" hgroup.long (0x81004+0x65C)++0x03 hide.long 0x00 "C1C2KEYR24,CCB 1 Class 2 Key Register Word 24" hgroup.long (0x81004+0x660)++0x03 hide.long 0x00 "C1C2KEYR25,CCB 1 Class 2 Key Register Word 25" hgroup.long (0x81004+0x664)++0x03 hide.long 0x00 "C1C2KEYR26,CCB 1 Class 2 Key Register Word 26" hgroup.long (0x81004+0x668)++0x03 hide.long 0x00 "C1C2KEYR27,CCB 1 Class 2 Key Register Word 27" hgroup.long (0x81004+0x66C)++0x03 hide.long 0x00 "C1C2KEYR28,CCB 1 Class 2 Key Register Word 28" hgroup.long (0x81004+0x670)++0x03 hide.long 0x00 "C1C2KEYR29,CCB 1 Class 2 Key Register Word 29" hgroup.long (0x81004+0x674)++0x03 hide.long 0x00 "C1C2KEYR30,CCB 1 Class 2 Key Register Word 30" hgroup.long (0x81004+0x678)++0x03 hide.long 0x00 "C1C2KEYR31,CCB 1 Class 2 Key Register Word 31" hgroup.long (0x81004+0x7BC)++0x03 hide.long 0x00 "C1FIFOSTA,CCB 1 FIFO Status Register" hgroup.long (0x81004+0x7CC)++0x03 hide.long 0x00 "C1NFIFO,CCB 1 Information FIFO Register" hgroup.long (0x81004+0x7DC)++0x03 hide.long 0x00 "C1IFIFO,CCB 1 Input Data FIFO" hgroup.quad (0x81004+0x7EC)++0x07 hide.quad 0x00 "C1OFIFO,CCB 1 Output Data FIFO" hgroup.long (0x81004+0x7FC)++0x03 hide.long 0x00 "D1JQCR_MS,DECO1 Job Queue Control Register" hgroup.long (0x81004+0x800)++0x03 hide.long 0x00 "D1JQCR_LS,DECO1 Job Queue Control Register" hgroup.quad (0x81004+0x804)++0x07 hide.quad 0x00 "D1DAR,DECO1 Descriptor Address Register" hgroup.long (0x81004+0x80C)++0x03 hide.long 0x00 "D1OPSTA_MS,DECO1 Operation Status MS Register" hgroup.long (0x81004+0x810)++0x03 hide.long 0x00 "D1OPSTA_LS,DECO1 Operation Status Register" hgroup.long (0x81004+0x814)++0x03 hide.long 0x00 "D1CKSUMR,DECO1 Checksum Register" hgroup.long (0x81004+0x81C)++0x03 hide.long 0x00 "D1COICIDSR,DECO1 Control And Output ICID Status Register" hgroup.long (0x81004+0x820)++0x03 hide.long 0x00 "D1SIICIDSR,DECO1 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<2.)==(0x10001<<2.)) group.long 0x82004++0x03 "CCB 2" line.long 0x00 "C2C1MR,CCB 2 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x82004++0x03 line.long 0x00 "C2C1MR_PK,CCB 2 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x82004++0x03 line.long 0x00 "C2C1MR_RNG,CCB 2 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x82004+0x08)++0x03 line.long 0x00 "C2C1KSR,CCB 2 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x82004+0x0C)++0x07 line.quad 0x00 "C2C1DSR,CCB 2 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x82004+0x18)++0x03 line.long 0x00 "C2C1ICVSR,CCB 2 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x82004+0x30)++0x03 line.long 0x00 "C2CCTRL,CCB 2 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x82004+0x3C)++0x03 line.long 0x00 "C2ICTL,CCB 2 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x82004+0x40)++0x03 line.long 0x00 "C2CWR,CCB 2 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x82004+0x44)++0x07 line.long 0x00 "C2CSTA_MS,CCB 2 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C2CSTA_LS,CCB 2 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x82004+0x58)++0x03 line.long 0x00 "C2C1AADSZR,CCB 2 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x82004+0x60)++0x03 line.long 0x00 "C2C1IVSZR,CCB 2 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x82004+0x80)++0x03 line.long 0x00 "C2PKASZR,PKHA A Size 2 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x82004+0x88)++0x03 line.long 0x00 "C2PKBSZR,PKHA B Size 2 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x82004+0x90)++0x03 line.long 0x00 "C2PKNSZR,PKHA N Size 2 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x82004+0x98)++0x03 line.long 0x00 "C2PKESZR,PKHA E Size 2 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x82004+0xFC)++0x3F line.long 0x00 "C2C1CTXR0,CCB 2 Class 1 Context Register Word 0" line.long 0x04 "C2C1CTXR1,CCB 2 Class 1 Context Register Word 1" line.long 0x08 "C2C1CTXR2,CCB 2 Class 1 Context Register Word 2" line.long 0x0C "C2C1CTXR3,CCB 2 Class 1 Context Register Word 3" line.long 0x10 "C2C1CTXR4,CCB 2 Class 1 Context Register Word 4" line.long 0x14 "C2C1CTXR5,CCB 2 Class 1 Context Register Word 5" line.long 0x18 "C2C1CTXR6,CCB 2 Class 1 Context Register Word 6" line.long 0x1C "C2C1CTXR7,CCB 2 Class 1 Context Register Word 7" line.long 0x20 "C2C1CTXR8,CCB 2 Class 1 Context Register Word 8" line.long 0x24 "C2C1CTXR9,CCB 2 Class 1 Context Register Word 9" line.long 0x28 "C2C1CTXR10,CCB 2 Class 1 Context Register Word 10" line.long 0x2C "C2C1CTXR11,CCB 2 Class 1 Context Register Word 11" line.long 0x30 "C2C1CTXR12,CCB 2 Class 1 Context Register Word 12" line.long 0x34 "C2C1CTXR13,CCB 2 Class 1 Context Register Word 13" line.long 0x38 "C2C1CTXR14,CCB 2 Class 1 Context Register Word 14" line.long 0x3C "C2C1CTXR15,CCB 2 Class 1 Context Register Word 15" group.long (0x82004+0x1FC)++0x1F line.long 0x00 "C2C1KR0,CCB 2 Class 1 Key Register Word 0" line.long 0x04 "C2C1KR1,CCB 2 Class 1 Key Register Word 1" line.long 0x08 "C2C1KR2,CCB 2 Class 1 Key Register Word 2" line.long 0x0C "C2C1KR3,CCB 2 Class 1 Key Register Word 3" line.long 0x10 "C2C1KR4,CCB 2 Class 1 Key Register Word 4" line.long 0x14 "C2C1KR5,CCB 2 Class 1 Key Register Word 5" line.long 0x18 "C2C1KR6,CCB 2 Class 1 Key Register Word 6" line.long 0x1C "C2C1KR7,CCB 2 Class 1 Key Register Word 7" group.long (0x82004+0x400)++0x03 line.long 0x00 "C2C2MR,CCB 2 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x82004+0x408)++0x03 line.long 0x00 "C2C2KSR,CCB 2 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x82004+0x40C)++0x07 line.quad 0x00 "C2C2DSR,CCB 2 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x82004+0x418)++0x03 line.long 0x00 "C2C2ICVSZR,CCB 2 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x82004+0x454)++0x03 line.long 0x00 "C2C2AADSZR,CCB 2 Class 2 AAD Size Register" group.long (0x82004+0x4FC)++0x47 line.long 0x00 "C2C2CTXR0,CCB 2 Class 2 Context Register Word 0" line.long 0x04 "C2C2CTXR1,CCB 2 Class 2 Context Register Word 1" line.long 0x08 "C2C2CTXR2,CCB 2 Class 2 Context Register Word 2" line.long 0x0C "C2C2CTXR3,CCB 2 Class 2 Context Register Word 3" line.long 0x10 "C2C2CTXR4,CCB 2 Class 2 Context Register Word 4" line.long 0x14 "C2C2CTXR5,CCB 2 Class 2 Context Register Word 5" line.long 0x18 "C2C2CTXR6,CCB 2 Class 2 Context Register Word 6" line.long 0x1C "C2C2CTXR7,CCB 2 Class 2 Context Register Word 7" line.long 0x20 "C2C2CTXR8,CCB 2 Class 2 Context Register Word 8" line.long 0x24 "C2C2CTXR9,CCB 2 Class 2 Context Register Word 9" line.long 0x28 "C2C2CTXR10,CCB 2 Class 2 Context Register Word 10" line.long 0x2C "C2C2CTXR11,CCB 2 Class 2 Context Register Word 11" line.long 0x30 "C2C2CTXR12,CCB 2 Class 2 Context Register Word 12" line.long 0x34 "C2C2CTXR13,CCB 2 Class 2 Context Register Word 13" line.long 0x38 "C2C2CTXR14,CCB 2 Class 2 Context Register Word 14" line.long 0x3C "C2C2CTXR15,CCB 2 Class 2 Context Register Word 15" line.long 0x40 "C2C2CTXR16,CCB 2 Class 2 Context Register Word 16" line.long 0x44 "C2C2CTXR17,CCB 2 Class 2 Context Register Word 17" group.long (0x82004+0x5FC)++0x7F line.long 0x00 "C2C2KEYR0,CCB 2 Class 2 Key Register Word 0" line.long 0x04 "C2C2KEYR1,CCB 2 Class 2 Key Register Word 1" line.long 0x08 "C2C2KEYR2,CCB 2 Class 2 Key Register Word 2" line.long 0x0C "C2C2KEYR3,CCB 2 Class 2 Key Register Word 3" line.long 0x10 "C2C2KEYR4,CCB 2 Class 2 Key Register Word 4" line.long 0x14 "C2C2KEYR5,CCB 2 Class 2 Key Register Word 5" line.long 0x18 "C2C2KEYR6,CCB 2 Class 2 Key Register Word 6" line.long 0x1C "C2C2KEYR7,CCB 2 Class 2 Key Register Word 7" line.long 0x20 "C2C2KEYR8,CCB 2 Class 2 Key Register Word 8" line.long 0x24 "C2C2KEYR9,CCB 2 Class 2 Key Register Word 9" line.long 0x28 "C2C2KEYR10,CCB 2 Class 2 Key Register Word 10" line.long 0x2C "C2C2KEYR11,CCB 2 Class 2 Key Register Word 11" line.long 0x30 "C2C2KEYR12,CCB 2 Class 2 Key Register Word 12" line.long 0x34 "C2C2KEYR13,CCB 2 Class 2 Key Register Word 13" line.long 0x38 "C2C2KEYR14,CCB 2 Class 2 Key Register Word 14" line.long 0x3C "C2C2KEYR15,CCB 2 Class 2 Key Register Word 15" line.long 0x40 "C2C2KEYR16,CCB 2 Class 2 Key Register Word 16" line.long 0x44 "C2C2KEYR17,CCB 2 Class 2 Key Register Word 17" line.long 0x48 "C2C2KEYR18,CCB 2 Class 2 Key Register Word 18" line.long 0x4C "C2C2KEYR19,CCB 2 Class 2 Key Register Word 19" line.long 0x50 "C2C2KEYR20,CCB 2 Class 2 Key Register Word 20" line.long 0x54 "C2C2KEYR21,CCB 2 Class 2 Key Register Word 21" line.long 0x58 "C2C2KEYR22,CCB 2 Class 2 Key Register Word 22" line.long 0x5C "C2C2KEYR23,CCB 2 Class 2 Key Register Word 23" line.long 0x60 "C2C2KEYR24,CCB 2 Class 2 Key Register Word 24" line.long 0x64 "C2C2KEYR25,CCB 2 Class 2 Key Register Word 25" line.long 0x68 "C2C2KEYR26,CCB 2 Class 2 Key Register Word 26" line.long 0x6C "C2C2KEYR27,CCB 2 Class 2 Key Register Word 27" line.long 0x70 "C2C2KEYR28,CCB 2 Class 2 Key Register Word 28" line.long 0x74 "C2C2KEYR29,CCB 2 Class 2 Key Register Word 29" line.long 0x78 "C2C2KEYR30,CCB 2 Class 2 Key Register Word 30" line.long 0x7C "C2C2KEYR31,CCB 2 Class 2 Key Register Word 31" rgroup.long (0x82004+0x7BC)++0x03 line.long 0x00 "C2FIFOSTA,CCB 2 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x82004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x82004+0x7CC)++0x03 line.long 0x00 "C2NFIFO,CCB 2 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x82004+0x7CC)++0x03 line.long 0x00 "C2NFIFO,CCB 2 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x82004+0x7DC)++0x03 line.long 0x00 "C2IFIFO,CCB 2 Input Data FIFO" rgroup.quad (0x82004+0x7EC)++0x07 line.quad 0x00 "C2OFIFO,CCB 2 Output Data FIFO" group.long (0x82004+0x7FC)++0x03 line.long 0x00 "D2JQCR_MS,DECO2 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x82004+0x800)++0x03 line.long 0x00 "D2JQCR_LS,DECO2 Job Queue Control Register" rgroup.quad (0x82004+0x804)++0x07 line.quad 0x00 "D2DAR,DECO2 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x82004+0x80C)++0x07 line.long 0x00 "D2OPSTA_MS,DECO2 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D2OPSTA_LS,DECO2 Operation Status Register" group.long (0x82004+0x814)++0x03 line.long 0x00 "D2CKSUMR,DECO2 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x82004+0x81C)++0x07 line.long 0x00 "D2COICIDSR,DECO2 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D2SIICIDSR,DECO2 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x82004++0x03 hide.long 0x00 "C2C1MR,CCB 2 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x82004++0x03 hide.long 0x00 "C2C1MR_PK,CCB 2 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x82004++0x03 hide.long 0x00 "C2C1MR_RNG,CCB 2 Class 1 Mode Register Format For RNG" hgroup.long (0x82004+0x08)++0x03 hide.long 0x00 "C2C1KSR,CCB 2 Class 1 Key Size Register" hgroup.quad (0x82004+0x0C)++0x07 hide.quad 0x00 "C2C1DSR,CCB 2 Class 1 Data Size Register" hgroup.long (0x82004+0x18)++0x03 hide.long 0x00 "C2C1ICVSR,CCB 2 Class 1 ICV Size Register" hgroup.long (0x82004+0x30)++0x03 hide.long 0x00 "C2CCTRL,CCB 2 CHA Control Register" hgroup.long (0x82004+0x3C)++0x03 hide.long 0x00 "C2ICTL,CCB 2 Interrupt Control Register" hgroup.long (0x82004+0x40)++0x03 hide.long 0x00 "C2CWR,CCB 2 Clear Written Register" hgroup.long (0x82004+0x44)++0x03 hide.long 0x00 "C2CSTA_MS,CCB 2 Status And Error Register" hgroup.long (0x82004+0x48)++0x03 hide.long 0x00 "C2CSTA_LS,CCB 2 Status And Error LS Register" hgroup.long (0x82004+0x58)++0x03 hide.long 0x00 "C2C1AADSZR,CCB 2 Class 1 AAD Size Register" hgroup.long (0x82004+0x60)++0x03 hide.long 0x00 "C2C1IVSZR,CCB 2 Class 1 IV Size Register" hgroup.long (0x82004+0x80)++0x03 hide.long 0x00 "C2PKASZR,PKHA A Size 2 Register" hgroup.long (0x82004+0x88)++0x03 hide.long 0x00 "C2PKBSZR,PKHA B Size 2 Register" hgroup.long (0x82004+0x90)++0x03 hide.long 0x00 "C2PKNSZR,PKHA N Size 2 Register" hgroup.long (0x82004+0x98)++0x03 hide.long 0x00 "C2PKESZR,PKHA E Size 2 Register" hgroup.long (0x82004+0xFC)++0x03 hide.long 0x00 "C2C1CTXR0,CCB 2 Class 1 Context Register Word 0" hgroup.long (0x82004+0xFC)++0x03 hide.long 0x00 "C2C1CTXR1,CCB 2 Class 1 Context Register Word 1" hgroup.long (0x82004+0x100)++0x03 hide.long 0x00 "C2C1CTXR2,CCB 2 Class 1 Context Register Word 2" hgroup.long (0x82004+0x104)++0x03 hide.long 0x00 "C2C1CTXR3,CCB 2 Class 1 Context Register Word 3" hgroup.long (0x82004+0x108)++0x03 hide.long 0x00 "C2C1CTXR4,CCB 2 Class 1 Context Register Word 4" hgroup.long (0x82004+0x10C)++0x03 hide.long 0x00 "C2C1CTXR5,CCB 2 Class 1 Context Register Word 5" hgroup.long (0x82004+0x110)++0x03 hide.long 0x00 "C2C1CTXR6,CCB 2 Class 1 Context Register Word 6" hgroup.long (0x82004+0x114)++0x03 hide.long 0x00 "C2C1CTXR7,CCB 2 Class 1 Context Register Word 7" hgroup.long (0x82004+0x118)++0x03 hide.long 0x00 "C2C1CTXR8,CCB 2 Class 1 Context Register Word 8" hgroup.long (0x82004+0x11C)++0x03 hide.long 0x00 "C2C1CTXR9,CCB 2 Class 1 Context Register Word 9" hgroup.long (0x82004+0x120)++0x03 hide.long 0x00 "C2C1CTXR10,CCB 2 Class 1 Context Register Word 10" hgroup.long (0x82004+0x124)++0x03 hide.long 0x00 "C2C1CTXR11,CCB 2 Class 1 Context Register Word 11" hgroup.long (0x82004+0x128)++0x03 hide.long 0x00 "C2C1CTXR12,CCB 2 Class 1 Context Register Word 12" hgroup.long (0x82004+0x12C)++0x03 hide.long 0x00 "C2C1CTXR13,CCB 2 Class 1 Context Register Word 13" hgroup.long (0x82004+0x130)++0x03 hide.long 0x00 "C2C1CTXR14,CCB 2 Class 1 Context Register Word 14" hgroup.long (0x82004+0x134)++0x03 hide.long 0x00 "C2C1CTXR15,CCB 2 Class 1 Context Register Word 15" hgroup.long (0x82004+0x1FC)++0x03 hide.long 0x00 "C2C1KR0,CCB 2 Class 1 Key Register Word 0" hgroup.long (0x82004+0x200)++0x03 hide.long 0x00 "C2C1KR1,CCB 2 Class 1 Key Register Word 1" hgroup.long (0x82004+0x204)++0x03 hide.long 0x00 "C2C1KR2,CCB 2 Class 1 Key Register Word 2" hgroup.long (0x82004+0x208)++0x03 hide.long 0x00 "C2C1KR3,CCB 2 Class 1 Key Register Word 3" hgroup.long (0x82004+0x20C)++0x03 hide.long 0x00 "C2C1KR4,CCB 2 Class 1 Key Register Word 4" hgroup.long (0x82004+0x210)++0x03 hide.long 0x00 "C2C1KR5,CCB 2 Class 1 Key Register Word 5" hgroup.long (0x82004+0x214)++0x03 hide.long 0x00 "C2C1KR6,CCB 2 Class 1 Key Register Word 6" hgroup.long (0x82004+0x218)++0x03 hide.long 0x00 "C2C1KR7,CCB 2 Class 1 Key Register Word 7" hgroup.long (0x82004+0x400)++0x03 hide.long 0x00 "C2C2MR,CCB 2 Class 2 Mode Register" hgroup.long (0x82004+0x408)++0x03 hide.long 0x00 "C2C2KSR,CCB 2 Class 2 Key Size Register" hgroup.quad (0x82004+0x40C)++0x07 hide.quad 0x00 "C2C2DSR,CCB 2 Class 2 Data Size Register" hgroup.long (0x82004+0x418)++0x03 hide.long 0x00 "C2C2ICVSZR,CCB 2 Class 2 ICV Size Register" hgroup.long (0x82004+0x454)++0x03 hide.long 0x00 "C2C2AADSZR,CCB 2 Class 2 AAD Size Register" hgroup.long (0x82004+0x4FC)++0x03 hide.long 0x00 "C2C2CTXR0,CCB 2 Class 2 Context Register Word 0" hgroup.long (0x82004+0x500)++0x03 hide.long 0x00 "C2C2CTXR1,CCB 2 Class 2 Context Register Word 1" hgroup.long (0x82004+0x504)++0x03 hide.long 0x00 "C2C2CTXR2,CCB 2 Class 2 Context Register Word 2" hgroup.long (0x82004+0x508)++0x03 hide.long 0x00 "C2C2CTXR3,CCB 2 Class 2 Context Register Word 3" hgroup.long (0x82004+0x50C)++0x03 hide.long 0x00 "C2C2CTXR4,CCB 2 Class 2 Context Register Word 4" hgroup.long (0x82004+0x510)++0x03 hide.long 0x00 "C2C2CTXR5,CCB 2 Class 2 Context Register Word 5" hgroup.long (0x82004+0x514)++0x03 hide.long 0x00 "C2C2CTXR6,CCB 2 Class 2 Context Register Word 6" hgroup.long (0x82004+0x518)++0x03 hide.long 0x00 "C2C2CTXR7,CCB 2 Class 2 Context Register Word 7" hgroup.long (0x82004+0x51C)++0x03 hide.long 0x00 "C2C2CTXR8,CCB 2 Class 2 Context Register Word 8" hgroup.long (0x82004+0x520)++0x03 hide.long 0x00 "C2C2CTXR9,CCB 2 Class 2 Context Register Word 9" hgroup.long (0x82004+0x524)++0x03 hide.long 0x00 "C2C2CTXR10,CCB 2 Class 2 Context Register Word 10" hgroup.long (0x82004+0x528)++0x03 hide.long 0x00 "C2C2CTXR11,CCB 2 Class 2 Context Register Word 11" hgroup.long (0x82004+0x52C)++0x03 hide.long 0x00 "C2C2CTXR12,CCB 2 Class 2 Context Register Word 12" hgroup.long (0x82004+0x530)++0x03 hide.long 0x00 "C2C2CTXR13,CCB 2 Class 2 Context Register Word 13" hgroup.long (0x82004+0x534)++0x03 hide.long 0x00 "C2C2CTXR14,CCB 2 Class 2 Context Register Word 14" hgroup.long (0x82004+0x538)++0x03 hide.long 0x00 "C2C2CTXR15,CCB 2 Class 2 Context Register Word 15" hgroup.long (0x82004+0x53C)++0x03 hide.long 0x00 "C2C2CTXR16,CCB 2 Class 2 Context Register Word 16" hgroup.long (0x82004+0x540)++0x03 hide.long 0x00 "C2C2CTXR17,CCB 2 Class 2 Context Register Word 17" hgroup.long (0x82004+0x5FC)++0x03 hide.long 0x00 "C2C2KEYR0,CCB 2 Class 2 Key Register Word 0" hgroup.long (0x82004+0x600)++0x03 hide.long 0x00 "C2C2KEYR1,CCB 2 Class 2 Key Register Word 1" hgroup.long (0x82004+0x604)++0x03 hide.long 0x00 "C2C2KEYR2,CCB 2 Class 2 Key Register Word 2" hgroup.long (0x82004+0x608)++0x03 hide.long 0x00 "C2C2KEYR3,CCB 2 Class 2 Key Register Word 3" hgroup.long (0x82004+0x60C)++0x03 hide.long 0x00 "C2C2KEYR4,CCB 2 Class 2 Key Register Word 4" hgroup.long (0x82004+0x610)++0x03 hide.long 0x00 "C2C2KEYR5,CCB 2 Class 2 Key Register Word 5" hgroup.long (0x82004+0x614)++0x03 hide.long 0x00 "C2C2KEYR6,CCB 2 Class 2 Key Register Word 6" hgroup.long (0x82004+0x618)++0x03 hide.long 0x00 "C2C2KEYR7,CCB 2 Class 2 Key Register Word 7" hgroup.long (0x82004+0x61C)++0x03 hide.long 0x00 "C2C2KEYR8,CCB 2 Class 2 Key Register Word 8" hgroup.long (0x82004+0x620)++0x03 hide.long 0x00 "C2C2KEYR9,CCB 2 Class 2 Key Register Word 9" hgroup.long (0x82004+0x624)++0x03 hide.long 0x00 "C2C2KEYR10,CCB 2 Class 2 Key Register Word 10" hgroup.long (0x82004+0x628)++0x03 hide.long 0x00 "C2C2KEYR11,CCB 2 Class 2 Key Register Word 11" hgroup.long (0x82004+0x62C)++0x03 hide.long 0x00 "C2C2KEYR12,CCB 2 Class 2 Key Register Word 12" hgroup.long (0x82004+0x630)++0x03 hide.long 0x00 "C2C2KEYR13,CCB 2 Class 2 Key Register Word 13" hgroup.long (0x82004+0x634)++0x03 hide.long 0x00 "C2C2KEYR14,CCB 2 Class 2 Key Register Word 14" hgroup.long (0x82004+0x638)++0x03 hide.long 0x00 "C2C2KEYR15,CCB 2 Class 2 Key Register Word 15" hgroup.long (0x82004+0x63C)++0x03 hide.long 0x00 "C2C2KEYR16,CCB 2 Class 2 Key Register Word 16" hgroup.long (0x82004+0x640)++0x03 hide.long 0x00 "C2C2KEYR17,CCB 2 Class 2 Key Register Word 17" hgroup.long (0x82004+0x644)++0x03 hide.long 0x00 "C2C2KEYR18,CCB 2 Class 2 Key Register Word 18" hgroup.long (0x82004+0x648)++0x03 hide.long 0x00 "C2C2KEYR19,CCB 2 Class 2 Key Register Word 19" hgroup.long (0x82004+0x64C)++0x03 hide.long 0x00 "C2C2KEYR20,CCB 2 Class 2 Key Register Word 20" hgroup.long (0x82004+0x650)++0x03 hide.long 0x00 "C2C2KEYR21,CCB 2 Class 2 Key Register Word 21" hgroup.long (0x82004+0x654)++0x03 hide.long 0x00 "C2C2KEYR22,CCB 2 Class 2 Key Register Word 22" hgroup.long (0x82004+0x658)++0x03 hide.long 0x00 "C2C2KEYR23,CCB 2 Class 2 Key Register Word 23" hgroup.long (0x82004+0x65C)++0x03 hide.long 0x00 "C2C2KEYR24,CCB 2 Class 2 Key Register Word 24" hgroup.long (0x82004+0x660)++0x03 hide.long 0x00 "C2C2KEYR25,CCB 2 Class 2 Key Register Word 25" hgroup.long (0x82004+0x664)++0x03 hide.long 0x00 "C2C2KEYR26,CCB 2 Class 2 Key Register Word 26" hgroup.long (0x82004+0x668)++0x03 hide.long 0x00 "C2C2KEYR27,CCB 2 Class 2 Key Register Word 27" hgroup.long (0x82004+0x66C)++0x03 hide.long 0x00 "C2C2KEYR28,CCB 2 Class 2 Key Register Word 28" hgroup.long (0x82004+0x670)++0x03 hide.long 0x00 "C2C2KEYR29,CCB 2 Class 2 Key Register Word 29" hgroup.long (0x82004+0x674)++0x03 hide.long 0x00 "C2C2KEYR30,CCB 2 Class 2 Key Register Word 30" hgroup.long (0x82004+0x678)++0x03 hide.long 0x00 "C2C2KEYR31,CCB 2 Class 2 Key Register Word 31" hgroup.long (0x82004+0x7BC)++0x03 hide.long 0x00 "C2FIFOSTA,CCB 2 FIFO Status Register" hgroup.long (0x82004+0x7CC)++0x03 hide.long 0x00 "C2NFIFO,CCB 2 Information FIFO Register" hgroup.long (0x82004+0x7DC)++0x03 hide.long 0x00 "C2IFIFO,CCB 2 Input Data FIFO" hgroup.quad (0x82004+0x7EC)++0x07 hide.quad 0x00 "C2OFIFO,CCB 2 Output Data FIFO" hgroup.long (0x82004+0x7FC)++0x03 hide.long 0x00 "D2JQCR_MS,DECO2 Job Queue Control Register" hgroup.long (0x82004+0x800)++0x03 hide.long 0x00 "D2JQCR_LS,DECO2 Job Queue Control Register" hgroup.quad (0x82004+0x804)++0x07 hide.quad 0x00 "D2DAR,DECO2 Descriptor Address Register" hgroup.long (0x82004+0x80C)++0x03 hide.long 0x00 "D2OPSTA_MS,DECO2 Operation Status MS Register" hgroup.long (0x82004+0x810)++0x03 hide.long 0x00 "D2OPSTA_LS,DECO2 Operation Status Register" hgroup.long (0x82004+0x814)++0x03 hide.long 0x00 "D2CKSUMR,DECO2 Checksum Register" hgroup.long (0x82004+0x81C)++0x03 hide.long 0x00 "D2COICIDSR,DECO2 Control And Output ICID Status Register" hgroup.long (0x82004+0x820)++0x03 hide.long 0x00 "D2SIICIDSR,DECO2 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<3.)==(0x10001<<3.)) group.long 0x83004++0x03 "CCB 3" line.long 0x00 "C3C1MR,CCB 3 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x83004++0x03 line.long 0x00 "C3C1MR_PK,CCB 3 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x83004++0x03 line.long 0x00 "C3C1MR_RNG,CCB 3 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x83004+0x08)++0x03 line.long 0x00 "C3C1KSR,CCB 3 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x83004+0x0C)++0x07 line.quad 0x00 "C3C1DSR,CCB 3 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x83004+0x18)++0x03 line.long 0x00 "C3C1ICVSR,CCB 3 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x83004+0x30)++0x03 line.long 0x00 "C3CCTRL,CCB 3 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x83004+0x3C)++0x03 line.long 0x00 "C3ICTL,CCB 3 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x83004+0x40)++0x03 line.long 0x00 "C3CWR,CCB 3 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x83004+0x44)++0x07 line.long 0x00 "C3CSTA_MS,CCB 3 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C3CSTA_LS,CCB 3 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x83004+0x58)++0x03 line.long 0x00 "C3C1AADSZR,CCB 3 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x83004+0x60)++0x03 line.long 0x00 "C3C1IVSZR,CCB 3 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x83004+0x80)++0x03 line.long 0x00 "C3PKASZR,PKHA A Size 3 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x83004+0x88)++0x03 line.long 0x00 "C3PKBSZR,PKHA B Size 3 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x83004+0x90)++0x03 line.long 0x00 "C3PKNSZR,PKHA N Size 3 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x83004+0x98)++0x03 line.long 0x00 "C3PKESZR,PKHA E Size 3 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x83004+0xFC)++0x3F line.long 0x00 "C3C1CTXR0,CCB 3 Class 1 Context Register Word 0" line.long 0x04 "C3C1CTXR1,CCB 3 Class 1 Context Register Word 1" line.long 0x08 "C3C1CTXR2,CCB 3 Class 1 Context Register Word 2" line.long 0x0C "C3C1CTXR3,CCB 3 Class 1 Context Register Word 3" line.long 0x10 "C3C1CTXR4,CCB 3 Class 1 Context Register Word 4" line.long 0x14 "C3C1CTXR5,CCB 3 Class 1 Context Register Word 5" line.long 0x18 "C3C1CTXR6,CCB 3 Class 1 Context Register Word 6" line.long 0x1C "C3C1CTXR7,CCB 3 Class 1 Context Register Word 7" line.long 0x20 "C3C1CTXR8,CCB 3 Class 1 Context Register Word 8" line.long 0x24 "C3C1CTXR9,CCB 3 Class 1 Context Register Word 9" line.long 0x28 "C3C1CTXR10,CCB 3 Class 1 Context Register Word 10" line.long 0x2C "C3C1CTXR11,CCB 3 Class 1 Context Register Word 11" line.long 0x30 "C3C1CTXR12,CCB 3 Class 1 Context Register Word 12" line.long 0x34 "C3C1CTXR13,CCB 3 Class 1 Context Register Word 13" line.long 0x38 "C3C1CTXR14,CCB 3 Class 1 Context Register Word 14" line.long 0x3C "C3C1CTXR15,CCB 3 Class 1 Context Register Word 15" group.long (0x83004+0x1FC)++0x1F line.long 0x00 "C3C1KR0,CCB 3 Class 1 Key Register Word 0" line.long 0x04 "C3C1KR1,CCB 3 Class 1 Key Register Word 1" line.long 0x08 "C3C1KR2,CCB 3 Class 1 Key Register Word 2" line.long 0x0C "C3C1KR3,CCB 3 Class 1 Key Register Word 3" line.long 0x10 "C3C1KR4,CCB 3 Class 1 Key Register Word 4" line.long 0x14 "C3C1KR5,CCB 3 Class 1 Key Register Word 5" line.long 0x18 "C3C1KR6,CCB 3 Class 1 Key Register Word 6" line.long 0x1C "C3C1KR7,CCB 3 Class 1 Key Register Word 7" group.long (0x83004+0x400)++0x03 line.long 0x00 "C3C2MR,CCB 3 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x83004+0x408)++0x03 line.long 0x00 "C3C2KSR,CCB 3 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x83004+0x40C)++0x07 line.quad 0x00 "C3C2DSR,CCB 3 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x83004+0x418)++0x03 line.long 0x00 "C3C2ICVSZR,CCB 3 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x83004+0x454)++0x03 line.long 0x00 "C3C2AADSZR,CCB 3 Class 2 AAD Size Register" group.long (0x83004+0x4FC)++0x47 line.long 0x00 "C3C2CTXR0,CCB 3 Class 2 Context Register Word 0" line.long 0x04 "C3C2CTXR1,CCB 3 Class 2 Context Register Word 1" line.long 0x08 "C3C2CTXR2,CCB 3 Class 2 Context Register Word 2" line.long 0x0C "C3C2CTXR3,CCB 3 Class 2 Context Register Word 3" line.long 0x10 "C3C2CTXR4,CCB 3 Class 2 Context Register Word 4" line.long 0x14 "C3C2CTXR5,CCB 3 Class 2 Context Register Word 5" line.long 0x18 "C3C2CTXR6,CCB 3 Class 2 Context Register Word 6" line.long 0x1C "C3C2CTXR7,CCB 3 Class 2 Context Register Word 7" line.long 0x20 "C3C2CTXR8,CCB 3 Class 2 Context Register Word 8" line.long 0x24 "C3C2CTXR9,CCB 3 Class 2 Context Register Word 9" line.long 0x28 "C3C2CTXR10,CCB 3 Class 2 Context Register Word 10" line.long 0x2C "C3C2CTXR11,CCB 3 Class 2 Context Register Word 11" line.long 0x30 "C3C2CTXR12,CCB 3 Class 2 Context Register Word 12" line.long 0x34 "C3C2CTXR13,CCB 3 Class 2 Context Register Word 13" line.long 0x38 "C3C2CTXR14,CCB 3 Class 2 Context Register Word 14" line.long 0x3C "C3C2CTXR15,CCB 3 Class 2 Context Register Word 15" line.long 0x40 "C3C2CTXR16,CCB 3 Class 2 Context Register Word 16" line.long 0x44 "C3C2CTXR17,CCB 3 Class 2 Context Register Word 17" group.long (0x83004+0x5FC)++0x7F line.long 0x00 "C3C2KEYR0,CCB 3 Class 2 Key Register Word 0" line.long 0x04 "C3C2KEYR1,CCB 3 Class 2 Key Register Word 1" line.long 0x08 "C3C2KEYR2,CCB 3 Class 2 Key Register Word 2" line.long 0x0C "C3C2KEYR3,CCB 3 Class 2 Key Register Word 3" line.long 0x10 "C3C2KEYR4,CCB 3 Class 2 Key Register Word 4" line.long 0x14 "C3C2KEYR5,CCB 3 Class 2 Key Register Word 5" line.long 0x18 "C3C2KEYR6,CCB 3 Class 2 Key Register Word 6" line.long 0x1C "C3C2KEYR7,CCB 3 Class 2 Key Register Word 7" line.long 0x20 "C3C2KEYR8,CCB 3 Class 2 Key Register Word 8" line.long 0x24 "C3C2KEYR9,CCB 3 Class 2 Key Register Word 9" line.long 0x28 "C3C2KEYR10,CCB 3 Class 2 Key Register Word 10" line.long 0x2C "C3C2KEYR11,CCB 3 Class 2 Key Register Word 11" line.long 0x30 "C3C2KEYR12,CCB 3 Class 2 Key Register Word 12" line.long 0x34 "C3C2KEYR13,CCB 3 Class 2 Key Register Word 13" line.long 0x38 "C3C2KEYR14,CCB 3 Class 2 Key Register Word 14" line.long 0x3C "C3C2KEYR15,CCB 3 Class 2 Key Register Word 15" line.long 0x40 "C3C2KEYR16,CCB 3 Class 2 Key Register Word 16" line.long 0x44 "C3C2KEYR17,CCB 3 Class 2 Key Register Word 17" line.long 0x48 "C3C2KEYR18,CCB 3 Class 2 Key Register Word 18" line.long 0x4C "C3C2KEYR19,CCB 3 Class 2 Key Register Word 19" line.long 0x50 "C3C2KEYR20,CCB 3 Class 2 Key Register Word 20" line.long 0x54 "C3C2KEYR21,CCB 3 Class 2 Key Register Word 21" line.long 0x58 "C3C2KEYR22,CCB 3 Class 2 Key Register Word 22" line.long 0x5C "C3C2KEYR23,CCB 3 Class 2 Key Register Word 23" line.long 0x60 "C3C2KEYR24,CCB 3 Class 2 Key Register Word 24" line.long 0x64 "C3C2KEYR25,CCB 3 Class 2 Key Register Word 25" line.long 0x68 "C3C2KEYR26,CCB 3 Class 2 Key Register Word 26" line.long 0x6C "C3C2KEYR27,CCB 3 Class 2 Key Register Word 27" line.long 0x70 "C3C2KEYR28,CCB 3 Class 2 Key Register Word 28" line.long 0x74 "C3C2KEYR29,CCB 3 Class 2 Key Register Word 29" line.long 0x78 "C3C2KEYR30,CCB 3 Class 2 Key Register Word 30" line.long 0x7C "C3C2KEYR31,CCB 3 Class 2 Key Register Word 31" rgroup.long (0x83004+0x7BC)++0x03 line.long 0x00 "C3FIFOSTA,CCB 3 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x83004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x83004+0x7CC)++0x03 line.long 0x00 "C3NFIFO,CCB 3 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x83004+0x7CC)++0x03 line.long 0x00 "C3NFIFO,CCB 3 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x83004+0x7DC)++0x03 line.long 0x00 "C3IFIFO,CCB 3 Input Data FIFO" rgroup.quad (0x83004+0x7EC)++0x07 line.quad 0x00 "C3OFIFO,CCB 3 Output Data FIFO" group.long (0x83004+0x7FC)++0x03 line.long 0x00 "D3JQCR_MS,DECO3 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x83004+0x800)++0x03 line.long 0x00 "D3JQCR_LS,DECO3 Job Queue Control Register" rgroup.quad (0x83004+0x804)++0x07 line.quad 0x00 "D3DAR,DECO3 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x83004+0x80C)++0x07 line.long 0x00 "D3OPSTA_MS,DECO3 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D3OPSTA_LS,DECO3 Operation Status Register" group.long (0x83004+0x814)++0x03 line.long 0x00 "D3CKSUMR,DECO3 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x83004+0x81C)++0x07 line.long 0x00 "D3COICIDSR,DECO3 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D3SIICIDSR,DECO3 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x83004++0x03 hide.long 0x00 "C3C1MR,CCB 3 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x83004++0x03 hide.long 0x00 "C3C1MR_PK,CCB 3 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x83004++0x03 hide.long 0x00 "C3C1MR_RNG,CCB 3 Class 1 Mode Register Format For RNG" hgroup.long (0x83004+0x08)++0x03 hide.long 0x00 "C3C1KSR,CCB 3 Class 1 Key Size Register" hgroup.quad (0x83004+0x0C)++0x07 hide.quad 0x00 "C3C1DSR,CCB 3 Class 1 Data Size Register" hgroup.long (0x83004+0x18)++0x03 hide.long 0x00 "C3C1ICVSR,CCB 3 Class 1 ICV Size Register" hgroup.long (0x83004+0x30)++0x03 hide.long 0x00 "C3CCTRL,CCB 3 CHA Control Register" hgroup.long (0x83004+0x3C)++0x03 hide.long 0x00 "C3ICTL,CCB 3 Interrupt Control Register" hgroup.long (0x83004+0x40)++0x03 hide.long 0x00 "C3CWR,CCB 3 Clear Written Register" hgroup.long (0x83004+0x44)++0x03 hide.long 0x00 "C3CSTA_MS,CCB 3 Status And Error Register" hgroup.long (0x83004+0x48)++0x03 hide.long 0x00 "C3CSTA_LS,CCB 3 Status And Error LS Register" hgroup.long (0x83004+0x58)++0x03 hide.long 0x00 "C3C1AADSZR,CCB 3 Class 1 AAD Size Register" hgroup.long (0x83004+0x60)++0x03 hide.long 0x00 "C3C1IVSZR,CCB 3 Class 1 IV Size Register" hgroup.long (0x83004+0x80)++0x03 hide.long 0x00 "C3PKASZR,PKHA A Size 3 Register" hgroup.long (0x83004+0x88)++0x03 hide.long 0x00 "C3PKBSZR,PKHA B Size 3 Register" hgroup.long (0x83004+0x90)++0x03 hide.long 0x00 "C3PKNSZR,PKHA N Size 3 Register" hgroup.long (0x83004+0x98)++0x03 hide.long 0x00 "C3PKESZR,PKHA E Size 3 Register" hgroup.long (0x83004+0xFC)++0x03 hide.long 0x00 "C3C1CTXR0,CCB 3 Class 1 Context Register Word 0" hgroup.long (0x83004+0xFC)++0x03 hide.long 0x00 "C3C1CTXR1,CCB 3 Class 1 Context Register Word 1" hgroup.long (0x83004+0x100)++0x03 hide.long 0x00 "C3C1CTXR2,CCB 3 Class 1 Context Register Word 2" hgroup.long (0x83004+0x104)++0x03 hide.long 0x00 "C3C1CTXR3,CCB 3 Class 1 Context Register Word 3" hgroup.long (0x83004+0x108)++0x03 hide.long 0x00 "C3C1CTXR4,CCB 3 Class 1 Context Register Word 4" hgroup.long (0x83004+0x10C)++0x03 hide.long 0x00 "C3C1CTXR5,CCB 3 Class 1 Context Register Word 5" hgroup.long (0x83004+0x110)++0x03 hide.long 0x00 "C3C1CTXR6,CCB 3 Class 1 Context Register Word 6" hgroup.long (0x83004+0x114)++0x03 hide.long 0x00 "C3C1CTXR7,CCB 3 Class 1 Context Register Word 7" hgroup.long (0x83004+0x118)++0x03 hide.long 0x00 "C3C1CTXR8,CCB 3 Class 1 Context Register Word 8" hgroup.long (0x83004+0x11C)++0x03 hide.long 0x00 "C3C1CTXR9,CCB 3 Class 1 Context Register Word 9" hgroup.long (0x83004+0x120)++0x03 hide.long 0x00 "C3C1CTXR10,CCB 3 Class 1 Context Register Word 10" hgroup.long (0x83004+0x124)++0x03 hide.long 0x00 "C3C1CTXR11,CCB 3 Class 1 Context Register Word 11" hgroup.long (0x83004+0x128)++0x03 hide.long 0x00 "C3C1CTXR12,CCB 3 Class 1 Context Register Word 12" hgroup.long (0x83004+0x12C)++0x03 hide.long 0x00 "C3C1CTXR13,CCB 3 Class 1 Context Register Word 13" hgroup.long (0x83004+0x130)++0x03 hide.long 0x00 "C3C1CTXR14,CCB 3 Class 1 Context Register Word 14" hgroup.long (0x83004+0x134)++0x03 hide.long 0x00 "C3C1CTXR15,CCB 3 Class 1 Context Register Word 15" hgroup.long (0x83004+0x1FC)++0x03 hide.long 0x00 "C3C1KR0,CCB 3 Class 1 Key Register Word 0" hgroup.long (0x83004+0x200)++0x03 hide.long 0x00 "C3C1KR1,CCB 3 Class 1 Key Register Word 1" hgroup.long (0x83004+0x204)++0x03 hide.long 0x00 "C3C1KR2,CCB 3 Class 1 Key Register Word 2" hgroup.long (0x83004+0x208)++0x03 hide.long 0x00 "C3C1KR3,CCB 3 Class 1 Key Register Word 3" hgroup.long (0x83004+0x20C)++0x03 hide.long 0x00 "C3C1KR4,CCB 3 Class 1 Key Register Word 4" hgroup.long (0x83004+0x210)++0x03 hide.long 0x00 "C3C1KR5,CCB 3 Class 1 Key Register Word 5" hgroup.long (0x83004+0x214)++0x03 hide.long 0x00 "C3C1KR6,CCB 3 Class 1 Key Register Word 6" hgroup.long (0x83004+0x218)++0x03 hide.long 0x00 "C3C1KR7,CCB 3 Class 1 Key Register Word 7" hgroup.long (0x83004+0x400)++0x03 hide.long 0x00 "C3C2MR,CCB 3 Class 2 Mode Register" hgroup.long (0x83004+0x408)++0x03 hide.long 0x00 "C3C2KSR,CCB 3 Class 2 Key Size Register" hgroup.quad (0x83004+0x40C)++0x07 hide.quad 0x00 "C3C2DSR,CCB 3 Class 2 Data Size Register" hgroup.long (0x83004+0x418)++0x03 hide.long 0x00 "C3C2ICVSZR,CCB 3 Class 2 ICV Size Register" hgroup.long (0x83004+0x454)++0x03 hide.long 0x00 "C3C2AADSZR,CCB 3 Class 2 AAD Size Register" hgroup.long (0x83004+0x4FC)++0x03 hide.long 0x00 "C3C2CTXR0,CCB 3 Class 2 Context Register Word 0" hgroup.long (0x83004+0x500)++0x03 hide.long 0x00 "C3C2CTXR1,CCB 3 Class 2 Context Register Word 1" hgroup.long (0x83004+0x504)++0x03 hide.long 0x00 "C3C2CTXR2,CCB 3 Class 2 Context Register Word 2" hgroup.long (0x83004+0x508)++0x03 hide.long 0x00 "C3C2CTXR3,CCB 3 Class 2 Context Register Word 3" hgroup.long (0x83004+0x50C)++0x03 hide.long 0x00 "C3C2CTXR4,CCB 3 Class 2 Context Register Word 4" hgroup.long (0x83004+0x510)++0x03 hide.long 0x00 "C3C2CTXR5,CCB 3 Class 2 Context Register Word 5" hgroup.long (0x83004+0x514)++0x03 hide.long 0x00 "C3C2CTXR6,CCB 3 Class 2 Context Register Word 6" hgroup.long (0x83004+0x518)++0x03 hide.long 0x00 "C3C2CTXR7,CCB 3 Class 2 Context Register Word 7" hgroup.long (0x83004+0x51C)++0x03 hide.long 0x00 "C3C2CTXR8,CCB 3 Class 2 Context Register Word 8" hgroup.long (0x83004+0x520)++0x03 hide.long 0x00 "C3C2CTXR9,CCB 3 Class 2 Context Register Word 9" hgroup.long (0x83004+0x524)++0x03 hide.long 0x00 "C3C2CTXR10,CCB 3 Class 2 Context Register Word 10" hgroup.long (0x83004+0x528)++0x03 hide.long 0x00 "C3C2CTXR11,CCB 3 Class 2 Context Register Word 11" hgroup.long (0x83004+0x52C)++0x03 hide.long 0x00 "C3C2CTXR12,CCB 3 Class 2 Context Register Word 12" hgroup.long (0x83004+0x530)++0x03 hide.long 0x00 "C3C2CTXR13,CCB 3 Class 2 Context Register Word 13" hgroup.long (0x83004+0x534)++0x03 hide.long 0x00 "C3C2CTXR14,CCB 3 Class 2 Context Register Word 14" hgroup.long (0x83004+0x538)++0x03 hide.long 0x00 "C3C2CTXR15,CCB 3 Class 2 Context Register Word 15" hgroup.long (0x83004+0x53C)++0x03 hide.long 0x00 "C3C2CTXR16,CCB 3 Class 2 Context Register Word 16" hgroup.long (0x83004+0x540)++0x03 hide.long 0x00 "C3C2CTXR17,CCB 3 Class 2 Context Register Word 17" hgroup.long (0x83004+0x5FC)++0x03 hide.long 0x00 "C3C2KEYR0,CCB 3 Class 2 Key Register Word 0" hgroup.long (0x83004+0x600)++0x03 hide.long 0x00 "C3C2KEYR1,CCB 3 Class 2 Key Register Word 1" hgroup.long (0x83004+0x604)++0x03 hide.long 0x00 "C3C2KEYR2,CCB 3 Class 2 Key Register Word 2" hgroup.long (0x83004+0x608)++0x03 hide.long 0x00 "C3C2KEYR3,CCB 3 Class 2 Key Register Word 3" hgroup.long (0x83004+0x60C)++0x03 hide.long 0x00 "C3C2KEYR4,CCB 3 Class 2 Key Register Word 4" hgroup.long (0x83004+0x610)++0x03 hide.long 0x00 "C3C2KEYR5,CCB 3 Class 2 Key Register Word 5" hgroup.long (0x83004+0x614)++0x03 hide.long 0x00 "C3C2KEYR6,CCB 3 Class 2 Key Register Word 6" hgroup.long (0x83004+0x618)++0x03 hide.long 0x00 "C3C2KEYR7,CCB 3 Class 2 Key Register Word 7" hgroup.long (0x83004+0x61C)++0x03 hide.long 0x00 "C3C2KEYR8,CCB 3 Class 2 Key Register Word 8" hgroup.long (0x83004+0x620)++0x03 hide.long 0x00 "C3C2KEYR9,CCB 3 Class 2 Key Register Word 9" hgroup.long (0x83004+0x624)++0x03 hide.long 0x00 "C3C2KEYR10,CCB 3 Class 2 Key Register Word 10" hgroup.long (0x83004+0x628)++0x03 hide.long 0x00 "C3C2KEYR11,CCB 3 Class 2 Key Register Word 11" hgroup.long (0x83004+0x62C)++0x03 hide.long 0x00 "C3C2KEYR12,CCB 3 Class 2 Key Register Word 12" hgroup.long (0x83004+0x630)++0x03 hide.long 0x00 "C3C2KEYR13,CCB 3 Class 2 Key Register Word 13" hgroup.long (0x83004+0x634)++0x03 hide.long 0x00 "C3C2KEYR14,CCB 3 Class 2 Key Register Word 14" hgroup.long (0x83004+0x638)++0x03 hide.long 0x00 "C3C2KEYR15,CCB 3 Class 2 Key Register Word 15" hgroup.long (0x83004+0x63C)++0x03 hide.long 0x00 "C3C2KEYR16,CCB 3 Class 2 Key Register Word 16" hgroup.long (0x83004+0x640)++0x03 hide.long 0x00 "C3C2KEYR17,CCB 3 Class 2 Key Register Word 17" hgroup.long (0x83004+0x644)++0x03 hide.long 0x00 "C3C2KEYR18,CCB 3 Class 2 Key Register Word 18" hgroup.long (0x83004+0x648)++0x03 hide.long 0x00 "C3C2KEYR19,CCB 3 Class 2 Key Register Word 19" hgroup.long (0x83004+0x64C)++0x03 hide.long 0x00 "C3C2KEYR20,CCB 3 Class 2 Key Register Word 20" hgroup.long (0x83004+0x650)++0x03 hide.long 0x00 "C3C2KEYR21,CCB 3 Class 2 Key Register Word 21" hgroup.long (0x83004+0x654)++0x03 hide.long 0x00 "C3C2KEYR22,CCB 3 Class 2 Key Register Word 22" hgroup.long (0x83004+0x658)++0x03 hide.long 0x00 "C3C2KEYR23,CCB 3 Class 2 Key Register Word 23" hgroup.long (0x83004+0x65C)++0x03 hide.long 0x00 "C3C2KEYR24,CCB 3 Class 2 Key Register Word 24" hgroup.long (0x83004+0x660)++0x03 hide.long 0x00 "C3C2KEYR25,CCB 3 Class 2 Key Register Word 25" hgroup.long (0x83004+0x664)++0x03 hide.long 0x00 "C3C2KEYR26,CCB 3 Class 2 Key Register Word 26" hgroup.long (0x83004+0x668)++0x03 hide.long 0x00 "C3C2KEYR27,CCB 3 Class 2 Key Register Word 27" hgroup.long (0x83004+0x66C)++0x03 hide.long 0x00 "C3C2KEYR28,CCB 3 Class 2 Key Register Word 28" hgroup.long (0x83004+0x670)++0x03 hide.long 0x00 "C3C2KEYR29,CCB 3 Class 2 Key Register Word 29" hgroup.long (0x83004+0x674)++0x03 hide.long 0x00 "C3C2KEYR30,CCB 3 Class 2 Key Register Word 30" hgroup.long (0x83004+0x678)++0x03 hide.long 0x00 "C3C2KEYR31,CCB 3 Class 2 Key Register Word 31" hgroup.long (0x83004+0x7BC)++0x03 hide.long 0x00 "C3FIFOSTA,CCB 3 FIFO Status Register" hgroup.long (0x83004+0x7CC)++0x03 hide.long 0x00 "C3NFIFO,CCB 3 Information FIFO Register" hgroup.long (0x83004+0x7DC)++0x03 hide.long 0x00 "C3IFIFO,CCB 3 Input Data FIFO" hgroup.quad (0x83004+0x7EC)++0x07 hide.quad 0x00 "C3OFIFO,CCB 3 Output Data FIFO" hgroup.long (0x83004+0x7FC)++0x03 hide.long 0x00 "D3JQCR_MS,DECO3 Job Queue Control Register" hgroup.long (0x83004+0x800)++0x03 hide.long 0x00 "D3JQCR_LS,DECO3 Job Queue Control Register" hgroup.quad (0x83004+0x804)++0x07 hide.quad 0x00 "D3DAR,DECO3 Descriptor Address Register" hgroup.long (0x83004+0x80C)++0x03 hide.long 0x00 "D3OPSTA_MS,DECO3 Operation Status MS Register" hgroup.long (0x83004+0x810)++0x03 hide.long 0x00 "D3OPSTA_LS,DECO3 Operation Status Register" hgroup.long (0x83004+0x814)++0x03 hide.long 0x00 "D3CKSUMR,DECO3 Checksum Register" hgroup.long (0x83004+0x81C)++0x03 hide.long 0x00 "D3COICIDSR,DECO3 Control And Output ICID Status Register" hgroup.long (0x83004+0x820)++0x03 hide.long 0x00 "D3SIICIDSR,DECO3 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<4.)==(0x10001<<4.)) group.long 0x84004++0x03 "CCB 4" line.long 0x00 "C4C1MR,CCB 4 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x84004++0x03 line.long 0x00 "C4C1MR_PK,CCB 4 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x84004++0x03 line.long 0x00 "C4C1MR_RNG,CCB 4 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x84004+0x08)++0x03 line.long 0x00 "C4C1KSR,CCB 4 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x84004+0x0C)++0x07 line.quad 0x00 "C4C1DSR,CCB 4 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x84004+0x18)++0x03 line.long 0x00 "C4C1ICVSR,CCB 4 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x84004+0x30)++0x03 line.long 0x00 "C4CCTRL,CCB 4 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x84004+0x3C)++0x03 line.long 0x00 "C4ICTL,CCB 4 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x84004+0x40)++0x03 line.long 0x00 "C4CWR,CCB 4 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x84004+0x44)++0x07 line.long 0x00 "C4CSTA_MS,CCB 4 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C4CSTA_LS,CCB 4 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x84004+0x58)++0x03 line.long 0x00 "C4C1AADSZR,CCB 4 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x84004+0x60)++0x03 line.long 0x00 "C4C1IVSZR,CCB 4 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x84004+0x80)++0x03 line.long 0x00 "C4PKASZR,PKHA A Size 4 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x84004+0x88)++0x03 line.long 0x00 "C4PKBSZR,PKHA B Size 4 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x84004+0x90)++0x03 line.long 0x00 "C4PKNSZR,PKHA N Size 4 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x84004+0x98)++0x03 line.long 0x00 "C4PKESZR,PKHA E Size 4 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x84004+0xFC)++0x3F line.long 0x00 "C4C1CTXR0,CCB 4 Class 1 Context Register Word 0" line.long 0x04 "C4C1CTXR1,CCB 4 Class 1 Context Register Word 1" line.long 0x08 "C4C1CTXR2,CCB 4 Class 1 Context Register Word 2" line.long 0x0C "C4C1CTXR3,CCB 4 Class 1 Context Register Word 3" line.long 0x10 "C4C1CTXR4,CCB 4 Class 1 Context Register Word 4" line.long 0x14 "C4C1CTXR5,CCB 4 Class 1 Context Register Word 5" line.long 0x18 "C4C1CTXR6,CCB 4 Class 1 Context Register Word 6" line.long 0x1C "C4C1CTXR7,CCB 4 Class 1 Context Register Word 7" line.long 0x20 "C4C1CTXR8,CCB 4 Class 1 Context Register Word 8" line.long 0x24 "C4C1CTXR9,CCB 4 Class 1 Context Register Word 9" line.long 0x28 "C4C1CTXR10,CCB 4 Class 1 Context Register Word 10" line.long 0x2C "C4C1CTXR11,CCB 4 Class 1 Context Register Word 11" line.long 0x30 "C4C1CTXR12,CCB 4 Class 1 Context Register Word 12" line.long 0x34 "C4C1CTXR13,CCB 4 Class 1 Context Register Word 13" line.long 0x38 "C4C1CTXR14,CCB 4 Class 1 Context Register Word 14" line.long 0x3C "C4C1CTXR15,CCB 4 Class 1 Context Register Word 15" group.long (0x84004+0x1FC)++0x1F line.long 0x00 "C4C1KR0,CCB 4 Class 1 Key Register Word 0" line.long 0x04 "C4C1KR1,CCB 4 Class 1 Key Register Word 1" line.long 0x08 "C4C1KR2,CCB 4 Class 1 Key Register Word 2" line.long 0x0C "C4C1KR3,CCB 4 Class 1 Key Register Word 3" line.long 0x10 "C4C1KR4,CCB 4 Class 1 Key Register Word 4" line.long 0x14 "C4C1KR5,CCB 4 Class 1 Key Register Word 5" line.long 0x18 "C4C1KR6,CCB 4 Class 1 Key Register Word 6" line.long 0x1C "C4C1KR7,CCB 4 Class 1 Key Register Word 7" group.long (0x84004+0x400)++0x03 line.long 0x00 "C4C2MR,CCB 4 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x84004+0x408)++0x03 line.long 0x00 "C4C2KSR,CCB 4 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x84004+0x40C)++0x07 line.quad 0x00 "C4C2DSR,CCB 4 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x84004+0x418)++0x03 line.long 0x00 "C4C2ICVSZR,CCB 4 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x84004+0x454)++0x03 line.long 0x00 "C4C2AADSZR,CCB 4 Class 2 AAD Size Register" group.long (0x84004+0x4FC)++0x47 line.long 0x00 "C4C2CTXR0,CCB 4 Class 2 Context Register Word 0" line.long 0x04 "C4C2CTXR1,CCB 4 Class 2 Context Register Word 1" line.long 0x08 "C4C2CTXR2,CCB 4 Class 2 Context Register Word 2" line.long 0x0C "C4C2CTXR3,CCB 4 Class 2 Context Register Word 3" line.long 0x10 "C4C2CTXR4,CCB 4 Class 2 Context Register Word 4" line.long 0x14 "C4C2CTXR5,CCB 4 Class 2 Context Register Word 5" line.long 0x18 "C4C2CTXR6,CCB 4 Class 2 Context Register Word 6" line.long 0x1C "C4C2CTXR7,CCB 4 Class 2 Context Register Word 7" line.long 0x20 "C4C2CTXR8,CCB 4 Class 2 Context Register Word 8" line.long 0x24 "C4C2CTXR9,CCB 4 Class 2 Context Register Word 9" line.long 0x28 "C4C2CTXR10,CCB 4 Class 2 Context Register Word 10" line.long 0x2C "C4C2CTXR11,CCB 4 Class 2 Context Register Word 11" line.long 0x30 "C4C2CTXR12,CCB 4 Class 2 Context Register Word 12" line.long 0x34 "C4C2CTXR13,CCB 4 Class 2 Context Register Word 13" line.long 0x38 "C4C2CTXR14,CCB 4 Class 2 Context Register Word 14" line.long 0x3C "C4C2CTXR15,CCB 4 Class 2 Context Register Word 15" line.long 0x40 "C4C2CTXR16,CCB 4 Class 2 Context Register Word 16" line.long 0x44 "C4C2CTXR17,CCB 4 Class 2 Context Register Word 17" group.long (0x84004+0x5FC)++0x7F line.long 0x00 "C4C2KEYR0,CCB 4 Class 2 Key Register Word 0" line.long 0x04 "C4C2KEYR1,CCB 4 Class 2 Key Register Word 1" line.long 0x08 "C4C2KEYR2,CCB 4 Class 2 Key Register Word 2" line.long 0x0C "C4C2KEYR3,CCB 4 Class 2 Key Register Word 3" line.long 0x10 "C4C2KEYR4,CCB 4 Class 2 Key Register Word 4" line.long 0x14 "C4C2KEYR5,CCB 4 Class 2 Key Register Word 5" line.long 0x18 "C4C2KEYR6,CCB 4 Class 2 Key Register Word 6" line.long 0x1C "C4C2KEYR7,CCB 4 Class 2 Key Register Word 7" line.long 0x20 "C4C2KEYR8,CCB 4 Class 2 Key Register Word 8" line.long 0x24 "C4C2KEYR9,CCB 4 Class 2 Key Register Word 9" line.long 0x28 "C4C2KEYR10,CCB 4 Class 2 Key Register Word 10" line.long 0x2C "C4C2KEYR11,CCB 4 Class 2 Key Register Word 11" line.long 0x30 "C4C2KEYR12,CCB 4 Class 2 Key Register Word 12" line.long 0x34 "C4C2KEYR13,CCB 4 Class 2 Key Register Word 13" line.long 0x38 "C4C2KEYR14,CCB 4 Class 2 Key Register Word 14" line.long 0x3C "C4C2KEYR15,CCB 4 Class 2 Key Register Word 15" line.long 0x40 "C4C2KEYR16,CCB 4 Class 2 Key Register Word 16" line.long 0x44 "C4C2KEYR17,CCB 4 Class 2 Key Register Word 17" line.long 0x48 "C4C2KEYR18,CCB 4 Class 2 Key Register Word 18" line.long 0x4C "C4C2KEYR19,CCB 4 Class 2 Key Register Word 19" line.long 0x50 "C4C2KEYR20,CCB 4 Class 2 Key Register Word 20" line.long 0x54 "C4C2KEYR21,CCB 4 Class 2 Key Register Word 21" line.long 0x58 "C4C2KEYR22,CCB 4 Class 2 Key Register Word 22" line.long 0x5C "C4C2KEYR23,CCB 4 Class 2 Key Register Word 23" line.long 0x60 "C4C2KEYR24,CCB 4 Class 2 Key Register Word 24" line.long 0x64 "C4C2KEYR25,CCB 4 Class 2 Key Register Word 25" line.long 0x68 "C4C2KEYR26,CCB 4 Class 2 Key Register Word 26" line.long 0x6C "C4C2KEYR27,CCB 4 Class 2 Key Register Word 27" line.long 0x70 "C4C2KEYR28,CCB 4 Class 2 Key Register Word 28" line.long 0x74 "C4C2KEYR29,CCB 4 Class 2 Key Register Word 29" line.long 0x78 "C4C2KEYR30,CCB 4 Class 2 Key Register Word 30" line.long 0x7C "C4C2KEYR31,CCB 4 Class 2 Key Register Word 31" rgroup.long (0x84004+0x7BC)++0x03 line.long 0x00 "C4FIFOSTA,CCB 4 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x84004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x84004+0x7CC)++0x03 line.long 0x00 "C4NFIFO,CCB 4 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x84004+0x7CC)++0x03 line.long 0x00 "C4NFIFO,CCB 4 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x84004+0x7DC)++0x03 line.long 0x00 "C4IFIFO,CCB 4 Input Data FIFO" rgroup.quad (0x84004+0x7EC)++0x07 line.quad 0x00 "C4OFIFO,CCB 4 Output Data FIFO" group.long (0x84004+0x7FC)++0x03 line.long 0x00 "D4JQCR_MS,DECO4 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x84004+0x800)++0x03 line.long 0x00 "D4JQCR_LS,DECO4 Job Queue Control Register" rgroup.quad (0x84004+0x804)++0x07 line.quad 0x00 "D4DAR,DECO4 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x84004+0x80C)++0x07 line.long 0x00 "D4OPSTA_MS,DECO4 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D4OPSTA_LS,DECO4 Operation Status Register" group.long (0x84004+0x814)++0x03 line.long 0x00 "D4CKSUMR,DECO4 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x84004+0x81C)++0x07 line.long 0x00 "D4COICIDSR,DECO4 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D4SIICIDSR,DECO4 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x84004++0x03 hide.long 0x00 "C4C1MR,CCB 4 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x84004++0x03 hide.long 0x00 "C4C1MR_PK,CCB 4 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x84004++0x03 hide.long 0x00 "C4C1MR_RNG,CCB 4 Class 1 Mode Register Format For RNG" hgroup.long (0x84004+0x08)++0x03 hide.long 0x00 "C4C1KSR,CCB 4 Class 1 Key Size Register" hgroup.quad (0x84004+0x0C)++0x07 hide.quad 0x00 "C4C1DSR,CCB 4 Class 1 Data Size Register" hgroup.long (0x84004+0x18)++0x03 hide.long 0x00 "C4C1ICVSR,CCB 4 Class 1 ICV Size Register" hgroup.long (0x84004+0x30)++0x03 hide.long 0x00 "C4CCTRL,CCB 4 CHA Control Register" hgroup.long (0x84004+0x3C)++0x03 hide.long 0x00 "C4ICTL,CCB 4 Interrupt Control Register" hgroup.long (0x84004+0x40)++0x03 hide.long 0x00 "C4CWR,CCB 4 Clear Written Register" hgroup.long (0x84004+0x44)++0x03 hide.long 0x00 "C4CSTA_MS,CCB 4 Status And Error Register" hgroup.long (0x84004+0x48)++0x03 hide.long 0x00 "C4CSTA_LS,CCB 4 Status And Error LS Register" hgroup.long (0x84004+0x58)++0x03 hide.long 0x00 "C4C1AADSZR,CCB 4 Class 1 AAD Size Register" hgroup.long (0x84004+0x60)++0x03 hide.long 0x00 "C4C1IVSZR,CCB 4 Class 1 IV Size Register" hgroup.long (0x84004+0x80)++0x03 hide.long 0x00 "C4PKASZR,PKHA A Size 4 Register" hgroup.long (0x84004+0x88)++0x03 hide.long 0x00 "C4PKBSZR,PKHA B Size 4 Register" hgroup.long (0x84004+0x90)++0x03 hide.long 0x00 "C4PKNSZR,PKHA N Size 4 Register" hgroup.long (0x84004+0x98)++0x03 hide.long 0x00 "C4PKESZR,PKHA E Size 4 Register" hgroup.long (0x84004+0xFC)++0x03 hide.long 0x00 "C4C1CTXR0,CCB 4 Class 1 Context Register Word 0" hgroup.long (0x84004+0xFC)++0x03 hide.long 0x00 "C4C1CTXR1,CCB 4 Class 1 Context Register Word 1" hgroup.long (0x84004+0x100)++0x03 hide.long 0x00 "C4C1CTXR2,CCB 4 Class 1 Context Register Word 2" hgroup.long (0x84004+0x104)++0x03 hide.long 0x00 "C4C1CTXR3,CCB 4 Class 1 Context Register Word 3" hgroup.long (0x84004+0x108)++0x03 hide.long 0x00 "C4C1CTXR4,CCB 4 Class 1 Context Register Word 4" hgroup.long (0x84004+0x10C)++0x03 hide.long 0x00 "C4C1CTXR5,CCB 4 Class 1 Context Register Word 5" hgroup.long (0x84004+0x110)++0x03 hide.long 0x00 "C4C1CTXR6,CCB 4 Class 1 Context Register Word 6" hgroup.long (0x84004+0x114)++0x03 hide.long 0x00 "C4C1CTXR7,CCB 4 Class 1 Context Register Word 7" hgroup.long (0x84004+0x118)++0x03 hide.long 0x00 "C4C1CTXR8,CCB 4 Class 1 Context Register Word 8" hgroup.long (0x84004+0x11C)++0x03 hide.long 0x00 "C4C1CTXR9,CCB 4 Class 1 Context Register Word 9" hgroup.long (0x84004+0x120)++0x03 hide.long 0x00 "C4C1CTXR10,CCB 4 Class 1 Context Register Word 10" hgroup.long (0x84004+0x124)++0x03 hide.long 0x00 "C4C1CTXR11,CCB 4 Class 1 Context Register Word 11" hgroup.long (0x84004+0x128)++0x03 hide.long 0x00 "C4C1CTXR12,CCB 4 Class 1 Context Register Word 12" hgroup.long (0x84004+0x12C)++0x03 hide.long 0x00 "C4C1CTXR13,CCB 4 Class 1 Context Register Word 13" hgroup.long (0x84004+0x130)++0x03 hide.long 0x00 "C4C1CTXR14,CCB 4 Class 1 Context Register Word 14" hgroup.long (0x84004+0x134)++0x03 hide.long 0x00 "C4C1CTXR15,CCB 4 Class 1 Context Register Word 15" hgroup.long (0x84004+0x1FC)++0x03 hide.long 0x00 "C4C1KR0,CCB 4 Class 1 Key Register Word 0" hgroup.long (0x84004+0x200)++0x03 hide.long 0x00 "C4C1KR1,CCB 4 Class 1 Key Register Word 1" hgroup.long (0x84004+0x204)++0x03 hide.long 0x00 "C4C1KR2,CCB 4 Class 1 Key Register Word 2" hgroup.long (0x84004+0x208)++0x03 hide.long 0x00 "C4C1KR3,CCB 4 Class 1 Key Register Word 3" hgroup.long (0x84004+0x20C)++0x03 hide.long 0x00 "C4C1KR4,CCB 4 Class 1 Key Register Word 4" hgroup.long (0x84004+0x210)++0x03 hide.long 0x00 "C4C1KR5,CCB 4 Class 1 Key Register Word 5" hgroup.long (0x84004+0x214)++0x03 hide.long 0x00 "C4C1KR6,CCB 4 Class 1 Key Register Word 6" hgroup.long (0x84004+0x218)++0x03 hide.long 0x00 "C4C1KR7,CCB 4 Class 1 Key Register Word 7" hgroup.long (0x84004+0x400)++0x03 hide.long 0x00 "C4C2MR,CCB 4 Class 2 Mode Register" hgroup.long (0x84004+0x408)++0x03 hide.long 0x00 "C4C2KSR,CCB 4 Class 2 Key Size Register" hgroup.quad (0x84004+0x40C)++0x07 hide.quad 0x00 "C4C2DSR,CCB 4 Class 2 Data Size Register" hgroup.long (0x84004+0x418)++0x03 hide.long 0x00 "C4C2ICVSZR,CCB 4 Class 2 ICV Size Register" hgroup.long (0x84004+0x454)++0x03 hide.long 0x00 "C4C2AADSZR,CCB 4 Class 2 AAD Size Register" hgroup.long (0x84004+0x4FC)++0x03 hide.long 0x00 "C4C2CTXR0,CCB 4 Class 2 Context Register Word 0" hgroup.long (0x84004+0x500)++0x03 hide.long 0x00 "C4C2CTXR1,CCB 4 Class 2 Context Register Word 1" hgroup.long (0x84004+0x504)++0x03 hide.long 0x00 "C4C2CTXR2,CCB 4 Class 2 Context Register Word 2" hgroup.long (0x84004+0x508)++0x03 hide.long 0x00 "C4C2CTXR3,CCB 4 Class 2 Context Register Word 3" hgroup.long (0x84004+0x50C)++0x03 hide.long 0x00 "C4C2CTXR4,CCB 4 Class 2 Context Register Word 4" hgroup.long (0x84004+0x510)++0x03 hide.long 0x00 "C4C2CTXR5,CCB 4 Class 2 Context Register Word 5" hgroup.long (0x84004+0x514)++0x03 hide.long 0x00 "C4C2CTXR6,CCB 4 Class 2 Context Register Word 6" hgroup.long (0x84004+0x518)++0x03 hide.long 0x00 "C4C2CTXR7,CCB 4 Class 2 Context Register Word 7" hgroup.long (0x84004+0x51C)++0x03 hide.long 0x00 "C4C2CTXR8,CCB 4 Class 2 Context Register Word 8" hgroup.long (0x84004+0x520)++0x03 hide.long 0x00 "C4C2CTXR9,CCB 4 Class 2 Context Register Word 9" hgroup.long (0x84004+0x524)++0x03 hide.long 0x00 "C4C2CTXR10,CCB 4 Class 2 Context Register Word 10" hgroup.long (0x84004+0x528)++0x03 hide.long 0x00 "C4C2CTXR11,CCB 4 Class 2 Context Register Word 11" hgroup.long (0x84004+0x52C)++0x03 hide.long 0x00 "C4C2CTXR12,CCB 4 Class 2 Context Register Word 12" hgroup.long (0x84004+0x530)++0x03 hide.long 0x00 "C4C2CTXR13,CCB 4 Class 2 Context Register Word 13" hgroup.long (0x84004+0x534)++0x03 hide.long 0x00 "C4C2CTXR14,CCB 4 Class 2 Context Register Word 14" hgroup.long (0x84004+0x538)++0x03 hide.long 0x00 "C4C2CTXR15,CCB 4 Class 2 Context Register Word 15" hgroup.long (0x84004+0x53C)++0x03 hide.long 0x00 "C4C2CTXR16,CCB 4 Class 2 Context Register Word 16" hgroup.long (0x84004+0x540)++0x03 hide.long 0x00 "C4C2CTXR17,CCB 4 Class 2 Context Register Word 17" hgroup.long (0x84004+0x5FC)++0x03 hide.long 0x00 "C4C2KEYR0,CCB 4 Class 2 Key Register Word 0" hgroup.long (0x84004+0x600)++0x03 hide.long 0x00 "C4C2KEYR1,CCB 4 Class 2 Key Register Word 1" hgroup.long (0x84004+0x604)++0x03 hide.long 0x00 "C4C2KEYR2,CCB 4 Class 2 Key Register Word 2" hgroup.long (0x84004+0x608)++0x03 hide.long 0x00 "C4C2KEYR3,CCB 4 Class 2 Key Register Word 3" hgroup.long (0x84004+0x60C)++0x03 hide.long 0x00 "C4C2KEYR4,CCB 4 Class 2 Key Register Word 4" hgroup.long (0x84004+0x610)++0x03 hide.long 0x00 "C4C2KEYR5,CCB 4 Class 2 Key Register Word 5" hgroup.long (0x84004+0x614)++0x03 hide.long 0x00 "C4C2KEYR6,CCB 4 Class 2 Key Register Word 6" hgroup.long (0x84004+0x618)++0x03 hide.long 0x00 "C4C2KEYR7,CCB 4 Class 2 Key Register Word 7" hgroup.long (0x84004+0x61C)++0x03 hide.long 0x00 "C4C2KEYR8,CCB 4 Class 2 Key Register Word 8" hgroup.long (0x84004+0x620)++0x03 hide.long 0x00 "C4C2KEYR9,CCB 4 Class 2 Key Register Word 9" hgroup.long (0x84004+0x624)++0x03 hide.long 0x00 "C4C2KEYR10,CCB 4 Class 2 Key Register Word 10" hgroup.long (0x84004+0x628)++0x03 hide.long 0x00 "C4C2KEYR11,CCB 4 Class 2 Key Register Word 11" hgroup.long (0x84004+0x62C)++0x03 hide.long 0x00 "C4C2KEYR12,CCB 4 Class 2 Key Register Word 12" hgroup.long (0x84004+0x630)++0x03 hide.long 0x00 "C4C2KEYR13,CCB 4 Class 2 Key Register Word 13" hgroup.long (0x84004+0x634)++0x03 hide.long 0x00 "C4C2KEYR14,CCB 4 Class 2 Key Register Word 14" hgroup.long (0x84004+0x638)++0x03 hide.long 0x00 "C4C2KEYR15,CCB 4 Class 2 Key Register Word 15" hgroup.long (0x84004+0x63C)++0x03 hide.long 0x00 "C4C2KEYR16,CCB 4 Class 2 Key Register Word 16" hgroup.long (0x84004+0x640)++0x03 hide.long 0x00 "C4C2KEYR17,CCB 4 Class 2 Key Register Word 17" hgroup.long (0x84004+0x644)++0x03 hide.long 0x00 "C4C2KEYR18,CCB 4 Class 2 Key Register Word 18" hgroup.long (0x84004+0x648)++0x03 hide.long 0x00 "C4C2KEYR19,CCB 4 Class 2 Key Register Word 19" hgroup.long (0x84004+0x64C)++0x03 hide.long 0x00 "C4C2KEYR20,CCB 4 Class 2 Key Register Word 20" hgroup.long (0x84004+0x650)++0x03 hide.long 0x00 "C4C2KEYR21,CCB 4 Class 2 Key Register Word 21" hgroup.long (0x84004+0x654)++0x03 hide.long 0x00 "C4C2KEYR22,CCB 4 Class 2 Key Register Word 22" hgroup.long (0x84004+0x658)++0x03 hide.long 0x00 "C4C2KEYR23,CCB 4 Class 2 Key Register Word 23" hgroup.long (0x84004+0x65C)++0x03 hide.long 0x00 "C4C2KEYR24,CCB 4 Class 2 Key Register Word 24" hgroup.long (0x84004+0x660)++0x03 hide.long 0x00 "C4C2KEYR25,CCB 4 Class 2 Key Register Word 25" hgroup.long (0x84004+0x664)++0x03 hide.long 0x00 "C4C2KEYR26,CCB 4 Class 2 Key Register Word 26" hgroup.long (0x84004+0x668)++0x03 hide.long 0x00 "C4C2KEYR27,CCB 4 Class 2 Key Register Word 27" hgroup.long (0x84004+0x66C)++0x03 hide.long 0x00 "C4C2KEYR28,CCB 4 Class 2 Key Register Word 28" hgroup.long (0x84004+0x670)++0x03 hide.long 0x00 "C4C2KEYR29,CCB 4 Class 2 Key Register Word 29" hgroup.long (0x84004+0x674)++0x03 hide.long 0x00 "C4C2KEYR30,CCB 4 Class 2 Key Register Word 30" hgroup.long (0x84004+0x678)++0x03 hide.long 0x00 "C4C2KEYR31,CCB 4 Class 2 Key Register Word 31" hgroup.long (0x84004+0x7BC)++0x03 hide.long 0x00 "C4FIFOSTA,CCB 4 FIFO Status Register" hgroup.long (0x84004+0x7CC)++0x03 hide.long 0x00 "C4NFIFO,CCB 4 Information FIFO Register" hgroup.long (0x84004+0x7DC)++0x03 hide.long 0x00 "C4IFIFO,CCB 4 Input Data FIFO" hgroup.quad (0x84004+0x7EC)++0x07 hide.quad 0x00 "C4OFIFO,CCB 4 Output Data FIFO" hgroup.long (0x84004+0x7FC)++0x03 hide.long 0x00 "D4JQCR_MS,DECO4 Job Queue Control Register" hgroup.long (0x84004+0x800)++0x03 hide.long 0x00 "D4JQCR_LS,DECO4 Job Queue Control Register" hgroup.quad (0x84004+0x804)++0x07 hide.quad 0x00 "D4DAR,DECO4 Descriptor Address Register" hgroup.long (0x84004+0x80C)++0x03 hide.long 0x00 "D4OPSTA_MS,DECO4 Operation Status MS Register" hgroup.long (0x84004+0x810)++0x03 hide.long 0x00 "D4OPSTA_LS,DECO4 Operation Status Register" hgroup.long (0x84004+0x814)++0x03 hide.long 0x00 "D4CKSUMR,DECO4 Checksum Register" hgroup.long (0x84004+0x81C)++0x03 hide.long 0x00 "D4COICIDSR,DECO4 Control And Output ICID Status Register" hgroup.long (0x84004+0x820)++0x03 hide.long 0x00 "D4SIICIDSR,DECO4 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<5.)==(0x10001<<5.)) group.long 0x85004++0x03 "CCB 5" line.long 0x00 "C5C1MR,CCB 5 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x85004++0x03 line.long 0x00 "C5C1MR_PK,CCB 5 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x85004++0x03 line.long 0x00 "C5C1MR_RNG,CCB 5 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x85004+0x08)++0x03 line.long 0x00 "C5C1KSR,CCB 5 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x85004+0x0C)++0x07 line.quad 0x00 "C5C1DSR,CCB 5 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x85004+0x18)++0x03 line.long 0x00 "C5C1ICVSR,CCB 5 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x85004+0x30)++0x03 line.long 0x00 "C5CCTRL,CCB 5 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x85004+0x3C)++0x03 line.long 0x00 "C5ICTL,CCB 5 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x85004+0x40)++0x03 line.long 0x00 "C5CWR,CCB 5 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x85004+0x44)++0x07 line.long 0x00 "C5CSTA_MS,CCB 5 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C5CSTA_LS,CCB 5 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x85004+0x58)++0x03 line.long 0x00 "C5C1AADSZR,CCB 5 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x85004+0x60)++0x03 line.long 0x00 "C5C1IVSZR,CCB 5 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x85004+0x80)++0x03 line.long 0x00 "C5PKASZR,PKHA A Size 5 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x85004+0x88)++0x03 line.long 0x00 "C5PKBSZR,PKHA B Size 5 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x85004+0x90)++0x03 line.long 0x00 "C5PKNSZR,PKHA N Size 5 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x85004+0x98)++0x03 line.long 0x00 "C5PKESZR,PKHA E Size 5 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x85004+0xFC)++0x3F line.long 0x00 "C5C1CTXR0,CCB 5 Class 1 Context Register Word 0" line.long 0x04 "C5C1CTXR1,CCB 5 Class 1 Context Register Word 1" line.long 0x08 "C5C1CTXR2,CCB 5 Class 1 Context Register Word 2" line.long 0x0C "C5C1CTXR3,CCB 5 Class 1 Context Register Word 3" line.long 0x10 "C5C1CTXR4,CCB 5 Class 1 Context Register Word 4" line.long 0x14 "C5C1CTXR5,CCB 5 Class 1 Context Register Word 5" line.long 0x18 "C5C1CTXR6,CCB 5 Class 1 Context Register Word 6" line.long 0x1C "C5C1CTXR7,CCB 5 Class 1 Context Register Word 7" line.long 0x20 "C5C1CTXR8,CCB 5 Class 1 Context Register Word 8" line.long 0x24 "C5C1CTXR9,CCB 5 Class 1 Context Register Word 9" line.long 0x28 "C5C1CTXR10,CCB 5 Class 1 Context Register Word 10" line.long 0x2C "C5C1CTXR11,CCB 5 Class 1 Context Register Word 11" line.long 0x30 "C5C1CTXR12,CCB 5 Class 1 Context Register Word 12" line.long 0x34 "C5C1CTXR13,CCB 5 Class 1 Context Register Word 13" line.long 0x38 "C5C1CTXR14,CCB 5 Class 1 Context Register Word 14" line.long 0x3C "C5C1CTXR15,CCB 5 Class 1 Context Register Word 15" group.long (0x85004+0x1FC)++0x1F line.long 0x00 "C5C1KR0,CCB 5 Class 1 Key Register Word 0" line.long 0x04 "C5C1KR1,CCB 5 Class 1 Key Register Word 1" line.long 0x08 "C5C1KR2,CCB 5 Class 1 Key Register Word 2" line.long 0x0C "C5C1KR3,CCB 5 Class 1 Key Register Word 3" line.long 0x10 "C5C1KR4,CCB 5 Class 1 Key Register Word 4" line.long 0x14 "C5C1KR5,CCB 5 Class 1 Key Register Word 5" line.long 0x18 "C5C1KR6,CCB 5 Class 1 Key Register Word 6" line.long 0x1C "C5C1KR7,CCB 5 Class 1 Key Register Word 7" group.long (0x85004+0x400)++0x03 line.long 0x00 "C5C2MR,CCB 5 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x85004+0x408)++0x03 line.long 0x00 "C5C2KSR,CCB 5 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x85004+0x40C)++0x07 line.quad 0x00 "C5C2DSR,CCB 5 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x85004+0x418)++0x03 line.long 0x00 "C5C2ICVSZR,CCB 5 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x85004+0x454)++0x03 line.long 0x00 "C5C2AADSZR,CCB 5 Class 2 AAD Size Register" group.long (0x85004+0x4FC)++0x47 line.long 0x00 "C5C2CTXR0,CCB 5 Class 2 Context Register Word 0" line.long 0x04 "C5C2CTXR1,CCB 5 Class 2 Context Register Word 1" line.long 0x08 "C5C2CTXR2,CCB 5 Class 2 Context Register Word 2" line.long 0x0C "C5C2CTXR3,CCB 5 Class 2 Context Register Word 3" line.long 0x10 "C5C2CTXR4,CCB 5 Class 2 Context Register Word 4" line.long 0x14 "C5C2CTXR5,CCB 5 Class 2 Context Register Word 5" line.long 0x18 "C5C2CTXR6,CCB 5 Class 2 Context Register Word 6" line.long 0x1C "C5C2CTXR7,CCB 5 Class 2 Context Register Word 7" line.long 0x20 "C5C2CTXR8,CCB 5 Class 2 Context Register Word 8" line.long 0x24 "C5C2CTXR9,CCB 5 Class 2 Context Register Word 9" line.long 0x28 "C5C2CTXR10,CCB 5 Class 2 Context Register Word 10" line.long 0x2C "C5C2CTXR11,CCB 5 Class 2 Context Register Word 11" line.long 0x30 "C5C2CTXR12,CCB 5 Class 2 Context Register Word 12" line.long 0x34 "C5C2CTXR13,CCB 5 Class 2 Context Register Word 13" line.long 0x38 "C5C2CTXR14,CCB 5 Class 2 Context Register Word 14" line.long 0x3C "C5C2CTXR15,CCB 5 Class 2 Context Register Word 15" line.long 0x40 "C5C2CTXR16,CCB 5 Class 2 Context Register Word 16" line.long 0x44 "C5C2CTXR17,CCB 5 Class 2 Context Register Word 17" group.long (0x85004+0x5FC)++0x7F line.long 0x00 "C5C2KEYR0,CCB 5 Class 2 Key Register Word 0" line.long 0x04 "C5C2KEYR1,CCB 5 Class 2 Key Register Word 1" line.long 0x08 "C5C2KEYR2,CCB 5 Class 2 Key Register Word 2" line.long 0x0C "C5C2KEYR3,CCB 5 Class 2 Key Register Word 3" line.long 0x10 "C5C2KEYR4,CCB 5 Class 2 Key Register Word 4" line.long 0x14 "C5C2KEYR5,CCB 5 Class 2 Key Register Word 5" line.long 0x18 "C5C2KEYR6,CCB 5 Class 2 Key Register Word 6" line.long 0x1C "C5C2KEYR7,CCB 5 Class 2 Key Register Word 7" line.long 0x20 "C5C2KEYR8,CCB 5 Class 2 Key Register Word 8" line.long 0x24 "C5C2KEYR9,CCB 5 Class 2 Key Register Word 9" line.long 0x28 "C5C2KEYR10,CCB 5 Class 2 Key Register Word 10" line.long 0x2C "C5C2KEYR11,CCB 5 Class 2 Key Register Word 11" line.long 0x30 "C5C2KEYR12,CCB 5 Class 2 Key Register Word 12" line.long 0x34 "C5C2KEYR13,CCB 5 Class 2 Key Register Word 13" line.long 0x38 "C5C2KEYR14,CCB 5 Class 2 Key Register Word 14" line.long 0x3C "C5C2KEYR15,CCB 5 Class 2 Key Register Word 15" line.long 0x40 "C5C2KEYR16,CCB 5 Class 2 Key Register Word 16" line.long 0x44 "C5C2KEYR17,CCB 5 Class 2 Key Register Word 17" line.long 0x48 "C5C2KEYR18,CCB 5 Class 2 Key Register Word 18" line.long 0x4C "C5C2KEYR19,CCB 5 Class 2 Key Register Word 19" line.long 0x50 "C5C2KEYR20,CCB 5 Class 2 Key Register Word 20" line.long 0x54 "C5C2KEYR21,CCB 5 Class 2 Key Register Word 21" line.long 0x58 "C5C2KEYR22,CCB 5 Class 2 Key Register Word 22" line.long 0x5C "C5C2KEYR23,CCB 5 Class 2 Key Register Word 23" line.long 0x60 "C5C2KEYR24,CCB 5 Class 2 Key Register Word 24" line.long 0x64 "C5C2KEYR25,CCB 5 Class 2 Key Register Word 25" line.long 0x68 "C5C2KEYR26,CCB 5 Class 2 Key Register Word 26" line.long 0x6C "C5C2KEYR27,CCB 5 Class 2 Key Register Word 27" line.long 0x70 "C5C2KEYR28,CCB 5 Class 2 Key Register Word 28" line.long 0x74 "C5C2KEYR29,CCB 5 Class 2 Key Register Word 29" line.long 0x78 "C5C2KEYR30,CCB 5 Class 2 Key Register Word 30" line.long 0x7C "C5C2KEYR31,CCB 5 Class 2 Key Register Word 31" rgroup.long (0x85004+0x7BC)++0x03 line.long 0x00 "C5FIFOSTA,CCB 5 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x85004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x85004+0x7CC)++0x03 line.long 0x00 "C5NFIFO,CCB 5 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x85004+0x7CC)++0x03 line.long 0x00 "C5NFIFO,CCB 5 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x85004+0x7DC)++0x03 line.long 0x00 "C5IFIFO,CCB 5 Input Data FIFO" rgroup.quad (0x85004+0x7EC)++0x07 line.quad 0x00 "C5OFIFO,CCB 5 Output Data FIFO" group.long (0x85004+0x7FC)++0x03 line.long 0x00 "D5JQCR_MS,DECO5 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x85004+0x800)++0x03 line.long 0x00 "D5JQCR_LS,DECO5 Job Queue Control Register" rgroup.quad (0x85004+0x804)++0x07 line.quad 0x00 "D5DAR,DECO5 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x85004+0x80C)++0x07 line.long 0x00 "D5OPSTA_MS,DECO5 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D5OPSTA_LS,DECO5 Operation Status Register" group.long (0x85004+0x814)++0x03 line.long 0x00 "D5CKSUMR,DECO5 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x85004+0x81C)++0x07 line.long 0x00 "D5COICIDSR,DECO5 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D5SIICIDSR,DECO5 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x85004++0x03 hide.long 0x00 "C5C1MR,CCB 5 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x85004++0x03 hide.long 0x00 "C5C1MR_PK,CCB 5 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x85004++0x03 hide.long 0x00 "C5C1MR_RNG,CCB 5 Class 1 Mode Register Format For RNG" hgroup.long (0x85004+0x08)++0x03 hide.long 0x00 "C5C1KSR,CCB 5 Class 1 Key Size Register" hgroup.quad (0x85004+0x0C)++0x07 hide.quad 0x00 "C5C1DSR,CCB 5 Class 1 Data Size Register" hgroup.long (0x85004+0x18)++0x03 hide.long 0x00 "C5C1ICVSR,CCB 5 Class 1 ICV Size Register" hgroup.long (0x85004+0x30)++0x03 hide.long 0x00 "C5CCTRL,CCB 5 CHA Control Register" hgroup.long (0x85004+0x3C)++0x03 hide.long 0x00 "C5ICTL,CCB 5 Interrupt Control Register" hgroup.long (0x85004+0x40)++0x03 hide.long 0x00 "C5CWR,CCB 5 Clear Written Register" hgroup.long (0x85004+0x44)++0x03 hide.long 0x00 "C5CSTA_MS,CCB 5 Status And Error Register" hgroup.long (0x85004+0x48)++0x03 hide.long 0x00 "C5CSTA_LS,CCB 5 Status And Error LS Register" hgroup.long (0x85004+0x58)++0x03 hide.long 0x00 "C5C1AADSZR,CCB 5 Class 1 AAD Size Register" hgroup.long (0x85004+0x60)++0x03 hide.long 0x00 "C5C1IVSZR,CCB 5 Class 1 IV Size Register" hgroup.long (0x85004+0x80)++0x03 hide.long 0x00 "C5PKASZR,PKHA A Size 5 Register" hgroup.long (0x85004+0x88)++0x03 hide.long 0x00 "C5PKBSZR,PKHA B Size 5 Register" hgroup.long (0x85004+0x90)++0x03 hide.long 0x00 "C5PKNSZR,PKHA N Size 5 Register" hgroup.long (0x85004+0x98)++0x03 hide.long 0x00 "C5PKESZR,PKHA E Size 5 Register" hgroup.long (0x85004+0xFC)++0x03 hide.long 0x00 "C5C1CTXR0,CCB 5 Class 1 Context Register Word 0" hgroup.long (0x85004+0xFC)++0x03 hide.long 0x00 "C5C1CTXR1,CCB 5 Class 1 Context Register Word 1" hgroup.long (0x85004+0x100)++0x03 hide.long 0x00 "C5C1CTXR2,CCB 5 Class 1 Context Register Word 2" hgroup.long (0x85004+0x104)++0x03 hide.long 0x00 "C5C1CTXR3,CCB 5 Class 1 Context Register Word 3" hgroup.long (0x85004+0x108)++0x03 hide.long 0x00 "C5C1CTXR4,CCB 5 Class 1 Context Register Word 4" hgroup.long (0x85004+0x10C)++0x03 hide.long 0x00 "C5C1CTXR5,CCB 5 Class 1 Context Register Word 5" hgroup.long (0x85004+0x110)++0x03 hide.long 0x00 "C5C1CTXR6,CCB 5 Class 1 Context Register Word 6" hgroup.long (0x85004+0x114)++0x03 hide.long 0x00 "C5C1CTXR7,CCB 5 Class 1 Context Register Word 7" hgroup.long (0x85004+0x118)++0x03 hide.long 0x00 "C5C1CTXR8,CCB 5 Class 1 Context Register Word 8" hgroup.long (0x85004+0x11C)++0x03 hide.long 0x00 "C5C1CTXR9,CCB 5 Class 1 Context Register Word 9" hgroup.long (0x85004+0x120)++0x03 hide.long 0x00 "C5C1CTXR10,CCB 5 Class 1 Context Register Word 10" hgroup.long (0x85004+0x124)++0x03 hide.long 0x00 "C5C1CTXR11,CCB 5 Class 1 Context Register Word 11" hgroup.long (0x85004+0x128)++0x03 hide.long 0x00 "C5C1CTXR12,CCB 5 Class 1 Context Register Word 12" hgroup.long (0x85004+0x12C)++0x03 hide.long 0x00 "C5C1CTXR13,CCB 5 Class 1 Context Register Word 13" hgroup.long (0x85004+0x130)++0x03 hide.long 0x00 "C5C1CTXR14,CCB 5 Class 1 Context Register Word 14" hgroup.long (0x85004+0x134)++0x03 hide.long 0x00 "C5C1CTXR15,CCB 5 Class 1 Context Register Word 15" hgroup.long (0x85004+0x1FC)++0x03 hide.long 0x00 "C5C1KR0,CCB 5 Class 1 Key Register Word 0" hgroup.long (0x85004+0x200)++0x03 hide.long 0x00 "C5C1KR1,CCB 5 Class 1 Key Register Word 1" hgroup.long (0x85004+0x204)++0x03 hide.long 0x00 "C5C1KR2,CCB 5 Class 1 Key Register Word 2" hgroup.long (0x85004+0x208)++0x03 hide.long 0x00 "C5C1KR3,CCB 5 Class 1 Key Register Word 3" hgroup.long (0x85004+0x20C)++0x03 hide.long 0x00 "C5C1KR4,CCB 5 Class 1 Key Register Word 4" hgroup.long (0x85004+0x210)++0x03 hide.long 0x00 "C5C1KR5,CCB 5 Class 1 Key Register Word 5" hgroup.long (0x85004+0x214)++0x03 hide.long 0x00 "C5C1KR6,CCB 5 Class 1 Key Register Word 6" hgroup.long (0x85004+0x218)++0x03 hide.long 0x00 "C5C1KR7,CCB 5 Class 1 Key Register Word 7" hgroup.long (0x85004+0x400)++0x03 hide.long 0x00 "C5C2MR,CCB 5 Class 2 Mode Register" hgroup.long (0x85004+0x408)++0x03 hide.long 0x00 "C5C2KSR,CCB 5 Class 2 Key Size Register" hgroup.quad (0x85004+0x40C)++0x07 hide.quad 0x00 "C5C2DSR,CCB 5 Class 2 Data Size Register" hgroup.long (0x85004+0x418)++0x03 hide.long 0x00 "C5C2ICVSZR,CCB 5 Class 2 ICV Size Register" hgroup.long (0x85004+0x454)++0x03 hide.long 0x00 "C5C2AADSZR,CCB 5 Class 2 AAD Size Register" hgroup.long (0x85004+0x4FC)++0x03 hide.long 0x00 "C5C2CTXR0,CCB 5 Class 2 Context Register Word 0" hgroup.long (0x85004+0x500)++0x03 hide.long 0x00 "C5C2CTXR1,CCB 5 Class 2 Context Register Word 1" hgroup.long (0x85004+0x504)++0x03 hide.long 0x00 "C5C2CTXR2,CCB 5 Class 2 Context Register Word 2" hgroup.long (0x85004+0x508)++0x03 hide.long 0x00 "C5C2CTXR3,CCB 5 Class 2 Context Register Word 3" hgroup.long (0x85004+0x50C)++0x03 hide.long 0x00 "C5C2CTXR4,CCB 5 Class 2 Context Register Word 4" hgroup.long (0x85004+0x510)++0x03 hide.long 0x00 "C5C2CTXR5,CCB 5 Class 2 Context Register Word 5" hgroup.long (0x85004+0x514)++0x03 hide.long 0x00 "C5C2CTXR6,CCB 5 Class 2 Context Register Word 6" hgroup.long (0x85004+0x518)++0x03 hide.long 0x00 "C5C2CTXR7,CCB 5 Class 2 Context Register Word 7" hgroup.long (0x85004+0x51C)++0x03 hide.long 0x00 "C5C2CTXR8,CCB 5 Class 2 Context Register Word 8" hgroup.long (0x85004+0x520)++0x03 hide.long 0x00 "C5C2CTXR9,CCB 5 Class 2 Context Register Word 9" hgroup.long (0x85004+0x524)++0x03 hide.long 0x00 "C5C2CTXR10,CCB 5 Class 2 Context Register Word 10" hgroup.long (0x85004+0x528)++0x03 hide.long 0x00 "C5C2CTXR11,CCB 5 Class 2 Context Register Word 11" hgroup.long (0x85004+0x52C)++0x03 hide.long 0x00 "C5C2CTXR12,CCB 5 Class 2 Context Register Word 12" hgroup.long (0x85004+0x530)++0x03 hide.long 0x00 "C5C2CTXR13,CCB 5 Class 2 Context Register Word 13" hgroup.long (0x85004+0x534)++0x03 hide.long 0x00 "C5C2CTXR14,CCB 5 Class 2 Context Register Word 14" hgroup.long (0x85004+0x538)++0x03 hide.long 0x00 "C5C2CTXR15,CCB 5 Class 2 Context Register Word 15" hgroup.long (0x85004+0x53C)++0x03 hide.long 0x00 "C5C2CTXR16,CCB 5 Class 2 Context Register Word 16" hgroup.long (0x85004+0x540)++0x03 hide.long 0x00 "C5C2CTXR17,CCB 5 Class 2 Context Register Word 17" hgroup.long (0x85004+0x5FC)++0x03 hide.long 0x00 "C5C2KEYR0,CCB 5 Class 2 Key Register Word 0" hgroup.long (0x85004+0x600)++0x03 hide.long 0x00 "C5C2KEYR1,CCB 5 Class 2 Key Register Word 1" hgroup.long (0x85004+0x604)++0x03 hide.long 0x00 "C5C2KEYR2,CCB 5 Class 2 Key Register Word 2" hgroup.long (0x85004+0x608)++0x03 hide.long 0x00 "C5C2KEYR3,CCB 5 Class 2 Key Register Word 3" hgroup.long (0x85004+0x60C)++0x03 hide.long 0x00 "C5C2KEYR4,CCB 5 Class 2 Key Register Word 4" hgroup.long (0x85004+0x610)++0x03 hide.long 0x00 "C5C2KEYR5,CCB 5 Class 2 Key Register Word 5" hgroup.long (0x85004+0x614)++0x03 hide.long 0x00 "C5C2KEYR6,CCB 5 Class 2 Key Register Word 6" hgroup.long (0x85004+0x618)++0x03 hide.long 0x00 "C5C2KEYR7,CCB 5 Class 2 Key Register Word 7" hgroup.long (0x85004+0x61C)++0x03 hide.long 0x00 "C5C2KEYR8,CCB 5 Class 2 Key Register Word 8" hgroup.long (0x85004+0x620)++0x03 hide.long 0x00 "C5C2KEYR9,CCB 5 Class 2 Key Register Word 9" hgroup.long (0x85004+0x624)++0x03 hide.long 0x00 "C5C2KEYR10,CCB 5 Class 2 Key Register Word 10" hgroup.long (0x85004+0x628)++0x03 hide.long 0x00 "C5C2KEYR11,CCB 5 Class 2 Key Register Word 11" hgroup.long (0x85004+0x62C)++0x03 hide.long 0x00 "C5C2KEYR12,CCB 5 Class 2 Key Register Word 12" hgroup.long (0x85004+0x630)++0x03 hide.long 0x00 "C5C2KEYR13,CCB 5 Class 2 Key Register Word 13" hgroup.long (0x85004+0x634)++0x03 hide.long 0x00 "C5C2KEYR14,CCB 5 Class 2 Key Register Word 14" hgroup.long (0x85004+0x638)++0x03 hide.long 0x00 "C5C2KEYR15,CCB 5 Class 2 Key Register Word 15" hgroup.long (0x85004+0x63C)++0x03 hide.long 0x00 "C5C2KEYR16,CCB 5 Class 2 Key Register Word 16" hgroup.long (0x85004+0x640)++0x03 hide.long 0x00 "C5C2KEYR17,CCB 5 Class 2 Key Register Word 17" hgroup.long (0x85004+0x644)++0x03 hide.long 0x00 "C5C2KEYR18,CCB 5 Class 2 Key Register Word 18" hgroup.long (0x85004+0x648)++0x03 hide.long 0x00 "C5C2KEYR19,CCB 5 Class 2 Key Register Word 19" hgroup.long (0x85004+0x64C)++0x03 hide.long 0x00 "C5C2KEYR20,CCB 5 Class 2 Key Register Word 20" hgroup.long (0x85004+0x650)++0x03 hide.long 0x00 "C5C2KEYR21,CCB 5 Class 2 Key Register Word 21" hgroup.long (0x85004+0x654)++0x03 hide.long 0x00 "C5C2KEYR22,CCB 5 Class 2 Key Register Word 22" hgroup.long (0x85004+0x658)++0x03 hide.long 0x00 "C5C2KEYR23,CCB 5 Class 2 Key Register Word 23" hgroup.long (0x85004+0x65C)++0x03 hide.long 0x00 "C5C2KEYR24,CCB 5 Class 2 Key Register Word 24" hgroup.long (0x85004+0x660)++0x03 hide.long 0x00 "C5C2KEYR25,CCB 5 Class 2 Key Register Word 25" hgroup.long (0x85004+0x664)++0x03 hide.long 0x00 "C5C2KEYR26,CCB 5 Class 2 Key Register Word 26" hgroup.long (0x85004+0x668)++0x03 hide.long 0x00 "C5C2KEYR27,CCB 5 Class 2 Key Register Word 27" hgroup.long (0x85004+0x66C)++0x03 hide.long 0x00 "C5C2KEYR28,CCB 5 Class 2 Key Register Word 28" hgroup.long (0x85004+0x670)++0x03 hide.long 0x00 "C5C2KEYR29,CCB 5 Class 2 Key Register Word 29" hgroup.long (0x85004+0x674)++0x03 hide.long 0x00 "C5C2KEYR30,CCB 5 Class 2 Key Register Word 30" hgroup.long (0x85004+0x678)++0x03 hide.long 0x00 "C5C2KEYR31,CCB 5 Class 2 Key Register Word 31" hgroup.long (0x85004+0x7BC)++0x03 hide.long 0x00 "C5FIFOSTA,CCB 5 FIFO Status Register" hgroup.long (0x85004+0x7CC)++0x03 hide.long 0x00 "C5NFIFO,CCB 5 Information FIFO Register" hgroup.long (0x85004+0x7DC)++0x03 hide.long 0x00 "C5IFIFO,CCB 5 Input Data FIFO" hgroup.quad (0x85004+0x7EC)++0x07 hide.quad 0x00 "C5OFIFO,CCB 5 Output Data FIFO" hgroup.long (0x85004+0x7FC)++0x03 hide.long 0x00 "D5JQCR_MS,DECO5 Job Queue Control Register" hgroup.long (0x85004+0x800)++0x03 hide.long 0x00 "D5JQCR_LS,DECO5 Job Queue Control Register" hgroup.quad (0x85004+0x804)++0x07 hide.quad 0x00 "D5DAR,DECO5 Descriptor Address Register" hgroup.long (0x85004+0x80C)++0x03 hide.long 0x00 "D5OPSTA_MS,DECO5 Operation Status MS Register" hgroup.long (0x85004+0x810)++0x03 hide.long 0x00 "D5OPSTA_LS,DECO5 Operation Status Register" hgroup.long (0x85004+0x814)++0x03 hide.long 0x00 "D5CKSUMR,DECO5 Checksum Register" hgroup.long (0x85004+0x81C)++0x03 hide.long 0x00 "D5COICIDSR,DECO5 Control And Output ICID Status Register" hgroup.long (0x85004+0x820)++0x03 hide.long 0x00 "D5SIICIDSR,DECO5 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<6.)==(0x10001<<6.)) group.long 0x86004++0x03 "CCB 6" line.long 0x00 "C6C1MR,CCB 6 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x86004++0x03 line.long 0x00 "C6C1MR_PK,CCB 6 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x86004++0x03 line.long 0x00 "C6C1MR_RNG,CCB 6 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x86004+0x08)++0x03 line.long 0x00 "C6C1KSR,CCB 6 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x86004+0x0C)++0x07 line.quad 0x00 "C6C1DSR,CCB 6 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x86004+0x18)++0x03 line.long 0x00 "C6C1ICVSR,CCB 6 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x86004+0x30)++0x03 line.long 0x00 "C6CCTRL,CCB 6 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x86004+0x3C)++0x03 line.long 0x00 "C6ICTL,CCB 6 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x86004+0x40)++0x03 line.long 0x00 "C6CWR,CCB 6 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x86004+0x44)++0x07 line.long 0x00 "C6CSTA_MS,CCB 6 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C6CSTA_LS,CCB 6 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x86004+0x58)++0x03 line.long 0x00 "C6C1AADSZR,CCB 6 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x86004+0x60)++0x03 line.long 0x00 "C6C1IVSZR,CCB 6 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x86004+0x80)++0x03 line.long 0x00 "C6PKASZR,PKHA A Size 6 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x86004+0x88)++0x03 line.long 0x00 "C6PKBSZR,PKHA B Size 6 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x86004+0x90)++0x03 line.long 0x00 "C6PKNSZR,PKHA N Size 6 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x86004+0x98)++0x03 line.long 0x00 "C6PKESZR,PKHA E Size 6 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x86004+0xFC)++0x3F line.long 0x00 "C6C1CTXR0,CCB 6 Class 1 Context Register Word 0" line.long 0x04 "C6C1CTXR1,CCB 6 Class 1 Context Register Word 1" line.long 0x08 "C6C1CTXR2,CCB 6 Class 1 Context Register Word 2" line.long 0x0C "C6C1CTXR3,CCB 6 Class 1 Context Register Word 3" line.long 0x10 "C6C1CTXR4,CCB 6 Class 1 Context Register Word 4" line.long 0x14 "C6C1CTXR5,CCB 6 Class 1 Context Register Word 5" line.long 0x18 "C6C1CTXR6,CCB 6 Class 1 Context Register Word 6" line.long 0x1C "C6C1CTXR7,CCB 6 Class 1 Context Register Word 7" line.long 0x20 "C6C1CTXR8,CCB 6 Class 1 Context Register Word 8" line.long 0x24 "C6C1CTXR9,CCB 6 Class 1 Context Register Word 9" line.long 0x28 "C6C1CTXR10,CCB 6 Class 1 Context Register Word 10" line.long 0x2C "C6C1CTXR11,CCB 6 Class 1 Context Register Word 11" line.long 0x30 "C6C1CTXR12,CCB 6 Class 1 Context Register Word 12" line.long 0x34 "C6C1CTXR13,CCB 6 Class 1 Context Register Word 13" line.long 0x38 "C6C1CTXR14,CCB 6 Class 1 Context Register Word 14" line.long 0x3C "C6C1CTXR15,CCB 6 Class 1 Context Register Word 15" group.long (0x86004+0x1FC)++0x1F line.long 0x00 "C6C1KR0,CCB 6 Class 1 Key Register Word 0" line.long 0x04 "C6C1KR1,CCB 6 Class 1 Key Register Word 1" line.long 0x08 "C6C1KR2,CCB 6 Class 1 Key Register Word 2" line.long 0x0C "C6C1KR3,CCB 6 Class 1 Key Register Word 3" line.long 0x10 "C6C1KR4,CCB 6 Class 1 Key Register Word 4" line.long 0x14 "C6C1KR5,CCB 6 Class 1 Key Register Word 5" line.long 0x18 "C6C1KR6,CCB 6 Class 1 Key Register Word 6" line.long 0x1C "C6C1KR7,CCB 6 Class 1 Key Register Word 7" group.long (0x86004+0x400)++0x03 line.long 0x00 "C6C2MR,CCB 6 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x86004+0x408)++0x03 line.long 0x00 "C6C2KSR,CCB 6 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x86004+0x40C)++0x07 line.quad 0x00 "C6C2DSR,CCB 6 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x86004+0x418)++0x03 line.long 0x00 "C6C2ICVSZR,CCB 6 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x86004+0x454)++0x03 line.long 0x00 "C6C2AADSZR,CCB 6 Class 2 AAD Size Register" group.long (0x86004+0x4FC)++0x47 line.long 0x00 "C6C2CTXR0,CCB 6 Class 2 Context Register Word 0" line.long 0x04 "C6C2CTXR1,CCB 6 Class 2 Context Register Word 1" line.long 0x08 "C6C2CTXR2,CCB 6 Class 2 Context Register Word 2" line.long 0x0C "C6C2CTXR3,CCB 6 Class 2 Context Register Word 3" line.long 0x10 "C6C2CTXR4,CCB 6 Class 2 Context Register Word 4" line.long 0x14 "C6C2CTXR5,CCB 6 Class 2 Context Register Word 5" line.long 0x18 "C6C2CTXR6,CCB 6 Class 2 Context Register Word 6" line.long 0x1C "C6C2CTXR7,CCB 6 Class 2 Context Register Word 7" line.long 0x20 "C6C2CTXR8,CCB 6 Class 2 Context Register Word 8" line.long 0x24 "C6C2CTXR9,CCB 6 Class 2 Context Register Word 9" line.long 0x28 "C6C2CTXR10,CCB 6 Class 2 Context Register Word 10" line.long 0x2C "C6C2CTXR11,CCB 6 Class 2 Context Register Word 11" line.long 0x30 "C6C2CTXR12,CCB 6 Class 2 Context Register Word 12" line.long 0x34 "C6C2CTXR13,CCB 6 Class 2 Context Register Word 13" line.long 0x38 "C6C2CTXR14,CCB 6 Class 2 Context Register Word 14" line.long 0x3C "C6C2CTXR15,CCB 6 Class 2 Context Register Word 15" line.long 0x40 "C6C2CTXR16,CCB 6 Class 2 Context Register Word 16" line.long 0x44 "C6C2CTXR17,CCB 6 Class 2 Context Register Word 17" group.long (0x86004+0x5FC)++0x7F line.long 0x00 "C6C2KEYR0,CCB 6 Class 2 Key Register Word 0" line.long 0x04 "C6C2KEYR1,CCB 6 Class 2 Key Register Word 1" line.long 0x08 "C6C2KEYR2,CCB 6 Class 2 Key Register Word 2" line.long 0x0C "C6C2KEYR3,CCB 6 Class 2 Key Register Word 3" line.long 0x10 "C6C2KEYR4,CCB 6 Class 2 Key Register Word 4" line.long 0x14 "C6C2KEYR5,CCB 6 Class 2 Key Register Word 5" line.long 0x18 "C6C2KEYR6,CCB 6 Class 2 Key Register Word 6" line.long 0x1C "C6C2KEYR7,CCB 6 Class 2 Key Register Word 7" line.long 0x20 "C6C2KEYR8,CCB 6 Class 2 Key Register Word 8" line.long 0x24 "C6C2KEYR9,CCB 6 Class 2 Key Register Word 9" line.long 0x28 "C6C2KEYR10,CCB 6 Class 2 Key Register Word 10" line.long 0x2C "C6C2KEYR11,CCB 6 Class 2 Key Register Word 11" line.long 0x30 "C6C2KEYR12,CCB 6 Class 2 Key Register Word 12" line.long 0x34 "C6C2KEYR13,CCB 6 Class 2 Key Register Word 13" line.long 0x38 "C6C2KEYR14,CCB 6 Class 2 Key Register Word 14" line.long 0x3C "C6C2KEYR15,CCB 6 Class 2 Key Register Word 15" line.long 0x40 "C6C2KEYR16,CCB 6 Class 2 Key Register Word 16" line.long 0x44 "C6C2KEYR17,CCB 6 Class 2 Key Register Word 17" line.long 0x48 "C6C2KEYR18,CCB 6 Class 2 Key Register Word 18" line.long 0x4C "C6C2KEYR19,CCB 6 Class 2 Key Register Word 19" line.long 0x50 "C6C2KEYR20,CCB 6 Class 2 Key Register Word 20" line.long 0x54 "C6C2KEYR21,CCB 6 Class 2 Key Register Word 21" line.long 0x58 "C6C2KEYR22,CCB 6 Class 2 Key Register Word 22" line.long 0x5C "C6C2KEYR23,CCB 6 Class 2 Key Register Word 23" line.long 0x60 "C6C2KEYR24,CCB 6 Class 2 Key Register Word 24" line.long 0x64 "C6C2KEYR25,CCB 6 Class 2 Key Register Word 25" line.long 0x68 "C6C2KEYR26,CCB 6 Class 2 Key Register Word 26" line.long 0x6C "C6C2KEYR27,CCB 6 Class 2 Key Register Word 27" line.long 0x70 "C6C2KEYR28,CCB 6 Class 2 Key Register Word 28" line.long 0x74 "C6C2KEYR29,CCB 6 Class 2 Key Register Word 29" line.long 0x78 "C6C2KEYR30,CCB 6 Class 2 Key Register Word 30" line.long 0x7C "C6C2KEYR31,CCB 6 Class 2 Key Register Word 31" rgroup.long (0x86004+0x7BC)++0x03 line.long 0x00 "C6FIFOSTA,CCB 6 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x86004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x86004+0x7CC)++0x03 line.long 0x00 "C6NFIFO,CCB 6 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x86004+0x7CC)++0x03 line.long 0x00 "C6NFIFO,CCB 6 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x86004+0x7DC)++0x03 line.long 0x00 "C6IFIFO,CCB 6 Input Data FIFO" rgroup.quad (0x86004+0x7EC)++0x07 line.quad 0x00 "C6OFIFO,CCB 6 Output Data FIFO" group.long (0x86004+0x7FC)++0x03 line.long 0x00 "D6JQCR_MS,DECO6 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x86004+0x800)++0x03 line.long 0x00 "D6JQCR_LS,DECO6 Job Queue Control Register" rgroup.quad (0x86004+0x804)++0x07 line.quad 0x00 "D6DAR,DECO6 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x86004+0x80C)++0x07 line.long 0x00 "D6OPSTA_MS,DECO6 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D6OPSTA_LS,DECO6 Operation Status Register" group.long (0x86004+0x814)++0x03 line.long 0x00 "D6CKSUMR,DECO6 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x86004+0x81C)++0x07 line.long 0x00 "D6COICIDSR,DECO6 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D6SIICIDSR,DECO6 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x86004++0x03 hide.long 0x00 "C6C1MR,CCB 6 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x86004++0x03 hide.long 0x00 "C6C1MR_PK,CCB 6 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x86004++0x03 hide.long 0x00 "C6C1MR_RNG,CCB 6 Class 1 Mode Register Format For RNG" hgroup.long (0x86004+0x08)++0x03 hide.long 0x00 "C6C1KSR,CCB 6 Class 1 Key Size Register" hgroup.quad (0x86004+0x0C)++0x07 hide.quad 0x00 "C6C1DSR,CCB 6 Class 1 Data Size Register" hgroup.long (0x86004+0x18)++0x03 hide.long 0x00 "C6C1ICVSR,CCB 6 Class 1 ICV Size Register" hgroup.long (0x86004+0x30)++0x03 hide.long 0x00 "C6CCTRL,CCB 6 CHA Control Register" hgroup.long (0x86004+0x3C)++0x03 hide.long 0x00 "C6ICTL,CCB 6 Interrupt Control Register" hgroup.long (0x86004+0x40)++0x03 hide.long 0x00 "C6CWR,CCB 6 Clear Written Register" hgroup.long (0x86004+0x44)++0x03 hide.long 0x00 "C6CSTA_MS,CCB 6 Status And Error Register" hgroup.long (0x86004+0x48)++0x03 hide.long 0x00 "C6CSTA_LS,CCB 6 Status And Error LS Register" hgroup.long (0x86004+0x58)++0x03 hide.long 0x00 "C6C1AADSZR,CCB 6 Class 1 AAD Size Register" hgroup.long (0x86004+0x60)++0x03 hide.long 0x00 "C6C1IVSZR,CCB 6 Class 1 IV Size Register" hgroup.long (0x86004+0x80)++0x03 hide.long 0x00 "C6PKASZR,PKHA A Size 6 Register" hgroup.long (0x86004+0x88)++0x03 hide.long 0x00 "C6PKBSZR,PKHA B Size 6 Register" hgroup.long (0x86004+0x90)++0x03 hide.long 0x00 "C6PKNSZR,PKHA N Size 6 Register" hgroup.long (0x86004+0x98)++0x03 hide.long 0x00 "C6PKESZR,PKHA E Size 6 Register" hgroup.long (0x86004+0xFC)++0x03 hide.long 0x00 "C6C1CTXR0,CCB 6 Class 1 Context Register Word 0" hgroup.long (0x86004+0xFC)++0x03 hide.long 0x00 "C6C1CTXR1,CCB 6 Class 1 Context Register Word 1" hgroup.long (0x86004+0x100)++0x03 hide.long 0x00 "C6C1CTXR2,CCB 6 Class 1 Context Register Word 2" hgroup.long (0x86004+0x104)++0x03 hide.long 0x00 "C6C1CTXR3,CCB 6 Class 1 Context Register Word 3" hgroup.long (0x86004+0x108)++0x03 hide.long 0x00 "C6C1CTXR4,CCB 6 Class 1 Context Register Word 4" hgroup.long (0x86004+0x10C)++0x03 hide.long 0x00 "C6C1CTXR5,CCB 6 Class 1 Context Register Word 5" hgroup.long (0x86004+0x110)++0x03 hide.long 0x00 "C6C1CTXR6,CCB 6 Class 1 Context Register Word 6" hgroup.long (0x86004+0x114)++0x03 hide.long 0x00 "C6C1CTXR7,CCB 6 Class 1 Context Register Word 7" hgroup.long (0x86004+0x118)++0x03 hide.long 0x00 "C6C1CTXR8,CCB 6 Class 1 Context Register Word 8" hgroup.long (0x86004+0x11C)++0x03 hide.long 0x00 "C6C1CTXR9,CCB 6 Class 1 Context Register Word 9" hgroup.long (0x86004+0x120)++0x03 hide.long 0x00 "C6C1CTXR10,CCB 6 Class 1 Context Register Word 10" hgroup.long (0x86004+0x124)++0x03 hide.long 0x00 "C6C1CTXR11,CCB 6 Class 1 Context Register Word 11" hgroup.long (0x86004+0x128)++0x03 hide.long 0x00 "C6C1CTXR12,CCB 6 Class 1 Context Register Word 12" hgroup.long (0x86004+0x12C)++0x03 hide.long 0x00 "C6C1CTXR13,CCB 6 Class 1 Context Register Word 13" hgroup.long (0x86004+0x130)++0x03 hide.long 0x00 "C6C1CTXR14,CCB 6 Class 1 Context Register Word 14" hgroup.long (0x86004+0x134)++0x03 hide.long 0x00 "C6C1CTXR15,CCB 6 Class 1 Context Register Word 15" hgroup.long (0x86004+0x1FC)++0x03 hide.long 0x00 "C6C1KR0,CCB 6 Class 1 Key Register Word 0" hgroup.long (0x86004+0x200)++0x03 hide.long 0x00 "C6C1KR1,CCB 6 Class 1 Key Register Word 1" hgroup.long (0x86004+0x204)++0x03 hide.long 0x00 "C6C1KR2,CCB 6 Class 1 Key Register Word 2" hgroup.long (0x86004+0x208)++0x03 hide.long 0x00 "C6C1KR3,CCB 6 Class 1 Key Register Word 3" hgroup.long (0x86004+0x20C)++0x03 hide.long 0x00 "C6C1KR4,CCB 6 Class 1 Key Register Word 4" hgroup.long (0x86004+0x210)++0x03 hide.long 0x00 "C6C1KR5,CCB 6 Class 1 Key Register Word 5" hgroup.long (0x86004+0x214)++0x03 hide.long 0x00 "C6C1KR6,CCB 6 Class 1 Key Register Word 6" hgroup.long (0x86004+0x218)++0x03 hide.long 0x00 "C6C1KR7,CCB 6 Class 1 Key Register Word 7" hgroup.long (0x86004+0x400)++0x03 hide.long 0x00 "C6C2MR,CCB 6 Class 2 Mode Register" hgroup.long (0x86004+0x408)++0x03 hide.long 0x00 "C6C2KSR,CCB 6 Class 2 Key Size Register" hgroup.quad (0x86004+0x40C)++0x07 hide.quad 0x00 "C6C2DSR,CCB 6 Class 2 Data Size Register" hgroup.long (0x86004+0x418)++0x03 hide.long 0x00 "C6C2ICVSZR,CCB 6 Class 2 ICV Size Register" hgroup.long (0x86004+0x454)++0x03 hide.long 0x00 "C6C2AADSZR,CCB 6 Class 2 AAD Size Register" hgroup.long (0x86004+0x4FC)++0x03 hide.long 0x00 "C6C2CTXR0,CCB 6 Class 2 Context Register Word 0" hgroup.long (0x86004+0x500)++0x03 hide.long 0x00 "C6C2CTXR1,CCB 6 Class 2 Context Register Word 1" hgroup.long (0x86004+0x504)++0x03 hide.long 0x00 "C6C2CTXR2,CCB 6 Class 2 Context Register Word 2" hgroup.long (0x86004+0x508)++0x03 hide.long 0x00 "C6C2CTXR3,CCB 6 Class 2 Context Register Word 3" hgroup.long (0x86004+0x50C)++0x03 hide.long 0x00 "C6C2CTXR4,CCB 6 Class 2 Context Register Word 4" hgroup.long (0x86004+0x510)++0x03 hide.long 0x00 "C6C2CTXR5,CCB 6 Class 2 Context Register Word 5" hgroup.long (0x86004+0x514)++0x03 hide.long 0x00 "C6C2CTXR6,CCB 6 Class 2 Context Register Word 6" hgroup.long (0x86004+0x518)++0x03 hide.long 0x00 "C6C2CTXR7,CCB 6 Class 2 Context Register Word 7" hgroup.long (0x86004+0x51C)++0x03 hide.long 0x00 "C6C2CTXR8,CCB 6 Class 2 Context Register Word 8" hgroup.long (0x86004+0x520)++0x03 hide.long 0x00 "C6C2CTXR9,CCB 6 Class 2 Context Register Word 9" hgroup.long (0x86004+0x524)++0x03 hide.long 0x00 "C6C2CTXR10,CCB 6 Class 2 Context Register Word 10" hgroup.long (0x86004+0x528)++0x03 hide.long 0x00 "C6C2CTXR11,CCB 6 Class 2 Context Register Word 11" hgroup.long (0x86004+0x52C)++0x03 hide.long 0x00 "C6C2CTXR12,CCB 6 Class 2 Context Register Word 12" hgroup.long (0x86004+0x530)++0x03 hide.long 0x00 "C6C2CTXR13,CCB 6 Class 2 Context Register Word 13" hgroup.long (0x86004+0x534)++0x03 hide.long 0x00 "C6C2CTXR14,CCB 6 Class 2 Context Register Word 14" hgroup.long (0x86004+0x538)++0x03 hide.long 0x00 "C6C2CTXR15,CCB 6 Class 2 Context Register Word 15" hgroup.long (0x86004+0x53C)++0x03 hide.long 0x00 "C6C2CTXR16,CCB 6 Class 2 Context Register Word 16" hgroup.long (0x86004+0x540)++0x03 hide.long 0x00 "C6C2CTXR17,CCB 6 Class 2 Context Register Word 17" hgroup.long (0x86004+0x5FC)++0x03 hide.long 0x00 "C6C2KEYR0,CCB 6 Class 2 Key Register Word 0" hgroup.long (0x86004+0x600)++0x03 hide.long 0x00 "C6C2KEYR1,CCB 6 Class 2 Key Register Word 1" hgroup.long (0x86004+0x604)++0x03 hide.long 0x00 "C6C2KEYR2,CCB 6 Class 2 Key Register Word 2" hgroup.long (0x86004+0x608)++0x03 hide.long 0x00 "C6C2KEYR3,CCB 6 Class 2 Key Register Word 3" hgroup.long (0x86004+0x60C)++0x03 hide.long 0x00 "C6C2KEYR4,CCB 6 Class 2 Key Register Word 4" hgroup.long (0x86004+0x610)++0x03 hide.long 0x00 "C6C2KEYR5,CCB 6 Class 2 Key Register Word 5" hgroup.long (0x86004+0x614)++0x03 hide.long 0x00 "C6C2KEYR6,CCB 6 Class 2 Key Register Word 6" hgroup.long (0x86004+0x618)++0x03 hide.long 0x00 "C6C2KEYR7,CCB 6 Class 2 Key Register Word 7" hgroup.long (0x86004+0x61C)++0x03 hide.long 0x00 "C6C2KEYR8,CCB 6 Class 2 Key Register Word 8" hgroup.long (0x86004+0x620)++0x03 hide.long 0x00 "C6C2KEYR9,CCB 6 Class 2 Key Register Word 9" hgroup.long (0x86004+0x624)++0x03 hide.long 0x00 "C6C2KEYR10,CCB 6 Class 2 Key Register Word 10" hgroup.long (0x86004+0x628)++0x03 hide.long 0x00 "C6C2KEYR11,CCB 6 Class 2 Key Register Word 11" hgroup.long (0x86004+0x62C)++0x03 hide.long 0x00 "C6C2KEYR12,CCB 6 Class 2 Key Register Word 12" hgroup.long (0x86004+0x630)++0x03 hide.long 0x00 "C6C2KEYR13,CCB 6 Class 2 Key Register Word 13" hgroup.long (0x86004+0x634)++0x03 hide.long 0x00 "C6C2KEYR14,CCB 6 Class 2 Key Register Word 14" hgroup.long (0x86004+0x638)++0x03 hide.long 0x00 "C6C2KEYR15,CCB 6 Class 2 Key Register Word 15" hgroup.long (0x86004+0x63C)++0x03 hide.long 0x00 "C6C2KEYR16,CCB 6 Class 2 Key Register Word 16" hgroup.long (0x86004+0x640)++0x03 hide.long 0x00 "C6C2KEYR17,CCB 6 Class 2 Key Register Word 17" hgroup.long (0x86004+0x644)++0x03 hide.long 0x00 "C6C2KEYR18,CCB 6 Class 2 Key Register Word 18" hgroup.long (0x86004+0x648)++0x03 hide.long 0x00 "C6C2KEYR19,CCB 6 Class 2 Key Register Word 19" hgroup.long (0x86004+0x64C)++0x03 hide.long 0x00 "C6C2KEYR20,CCB 6 Class 2 Key Register Word 20" hgroup.long (0x86004+0x650)++0x03 hide.long 0x00 "C6C2KEYR21,CCB 6 Class 2 Key Register Word 21" hgroup.long (0x86004+0x654)++0x03 hide.long 0x00 "C6C2KEYR22,CCB 6 Class 2 Key Register Word 22" hgroup.long (0x86004+0x658)++0x03 hide.long 0x00 "C6C2KEYR23,CCB 6 Class 2 Key Register Word 23" hgroup.long (0x86004+0x65C)++0x03 hide.long 0x00 "C6C2KEYR24,CCB 6 Class 2 Key Register Word 24" hgroup.long (0x86004+0x660)++0x03 hide.long 0x00 "C6C2KEYR25,CCB 6 Class 2 Key Register Word 25" hgroup.long (0x86004+0x664)++0x03 hide.long 0x00 "C6C2KEYR26,CCB 6 Class 2 Key Register Word 26" hgroup.long (0x86004+0x668)++0x03 hide.long 0x00 "C6C2KEYR27,CCB 6 Class 2 Key Register Word 27" hgroup.long (0x86004+0x66C)++0x03 hide.long 0x00 "C6C2KEYR28,CCB 6 Class 2 Key Register Word 28" hgroup.long (0x86004+0x670)++0x03 hide.long 0x00 "C6C2KEYR29,CCB 6 Class 2 Key Register Word 29" hgroup.long (0x86004+0x674)++0x03 hide.long 0x00 "C6C2KEYR30,CCB 6 Class 2 Key Register Word 30" hgroup.long (0x86004+0x678)++0x03 hide.long 0x00 "C6C2KEYR31,CCB 6 Class 2 Key Register Word 31" hgroup.long (0x86004+0x7BC)++0x03 hide.long 0x00 "C6FIFOSTA,CCB 6 FIFO Status Register" hgroup.long (0x86004+0x7CC)++0x03 hide.long 0x00 "C6NFIFO,CCB 6 Information FIFO Register" hgroup.long (0x86004+0x7DC)++0x03 hide.long 0x00 "C6IFIFO,CCB 6 Input Data FIFO" hgroup.quad (0x86004+0x7EC)++0x07 hide.quad 0x00 "C6OFIFO,CCB 6 Output Data FIFO" hgroup.long (0x86004+0x7FC)++0x03 hide.long 0x00 "D6JQCR_MS,DECO6 Job Queue Control Register" hgroup.long (0x86004+0x800)++0x03 hide.long 0x00 "D6JQCR_LS,DECO6 Job Queue Control Register" hgroup.quad (0x86004+0x804)++0x07 hide.quad 0x00 "D6DAR,DECO6 Descriptor Address Register" hgroup.long (0x86004+0x80C)++0x03 hide.long 0x00 "D6OPSTA_MS,DECO6 Operation Status MS Register" hgroup.long (0x86004+0x810)++0x03 hide.long 0x00 "D6OPSTA_LS,DECO6 Operation Status Register" hgroup.long (0x86004+0x814)++0x03 hide.long 0x00 "D6CKSUMR,DECO6 Checksum Register" hgroup.long (0x86004+0x81C)++0x03 hide.long 0x00 "D6COICIDSR,DECO6 Control And Output ICID Status Register" hgroup.long (0x86004+0x820)++0x03 hide.long 0x00 "D6SIICIDSR,DECO6 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<7.)==(0x10001<<7.)) group.long 0x87004++0x03 "CCB 7" line.long 0x00 "C7C1MR,CCB 7 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x87004++0x03 line.long 0x00 "C7C1MR_PK,CCB 7 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x87004++0x03 line.long 0x00 "C7C1MR_RNG,CCB 7 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x87004+0x08)++0x03 line.long 0x00 "C7C1KSR,CCB 7 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x87004+0x0C)++0x07 line.quad 0x00 "C7C1DSR,CCB 7 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x87004+0x18)++0x03 line.long 0x00 "C7C1ICVSR,CCB 7 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x87004+0x30)++0x03 line.long 0x00 "C7CCTRL,CCB 7 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x87004+0x3C)++0x03 line.long 0x00 "C7ICTL,CCB 7 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x87004+0x40)++0x03 line.long 0x00 "C7CWR,CCB 7 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x87004+0x44)++0x07 line.long 0x00 "C7CSTA_MS,CCB 7 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C7CSTA_LS,CCB 7 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x87004+0x58)++0x03 line.long 0x00 "C7C1AADSZR,CCB 7 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x87004+0x60)++0x03 line.long 0x00 "C7C1IVSZR,CCB 7 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x87004+0x80)++0x03 line.long 0x00 "C7PKASZR,PKHA A Size 7 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x87004+0x88)++0x03 line.long 0x00 "C7PKBSZR,PKHA B Size 7 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x87004+0x90)++0x03 line.long 0x00 "C7PKNSZR,PKHA N Size 7 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x87004+0x98)++0x03 line.long 0x00 "C7PKESZR,PKHA E Size 7 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x87004+0xFC)++0x3F line.long 0x00 "C7C1CTXR0,CCB 7 Class 1 Context Register Word 0" line.long 0x04 "C7C1CTXR1,CCB 7 Class 1 Context Register Word 1" line.long 0x08 "C7C1CTXR2,CCB 7 Class 1 Context Register Word 2" line.long 0x0C "C7C1CTXR3,CCB 7 Class 1 Context Register Word 3" line.long 0x10 "C7C1CTXR4,CCB 7 Class 1 Context Register Word 4" line.long 0x14 "C7C1CTXR5,CCB 7 Class 1 Context Register Word 5" line.long 0x18 "C7C1CTXR6,CCB 7 Class 1 Context Register Word 6" line.long 0x1C "C7C1CTXR7,CCB 7 Class 1 Context Register Word 7" line.long 0x20 "C7C1CTXR8,CCB 7 Class 1 Context Register Word 8" line.long 0x24 "C7C1CTXR9,CCB 7 Class 1 Context Register Word 9" line.long 0x28 "C7C1CTXR10,CCB 7 Class 1 Context Register Word 10" line.long 0x2C "C7C1CTXR11,CCB 7 Class 1 Context Register Word 11" line.long 0x30 "C7C1CTXR12,CCB 7 Class 1 Context Register Word 12" line.long 0x34 "C7C1CTXR13,CCB 7 Class 1 Context Register Word 13" line.long 0x38 "C7C1CTXR14,CCB 7 Class 1 Context Register Word 14" line.long 0x3C "C7C1CTXR15,CCB 7 Class 1 Context Register Word 15" group.long (0x87004+0x1FC)++0x1F line.long 0x00 "C7C1KR0,CCB 7 Class 1 Key Register Word 0" line.long 0x04 "C7C1KR1,CCB 7 Class 1 Key Register Word 1" line.long 0x08 "C7C1KR2,CCB 7 Class 1 Key Register Word 2" line.long 0x0C "C7C1KR3,CCB 7 Class 1 Key Register Word 3" line.long 0x10 "C7C1KR4,CCB 7 Class 1 Key Register Word 4" line.long 0x14 "C7C1KR5,CCB 7 Class 1 Key Register Word 5" line.long 0x18 "C7C1KR6,CCB 7 Class 1 Key Register Word 6" line.long 0x1C "C7C1KR7,CCB 7 Class 1 Key Register Word 7" group.long (0x87004+0x400)++0x03 line.long 0x00 "C7C2MR,CCB 7 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x87004+0x408)++0x03 line.long 0x00 "C7C2KSR,CCB 7 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x87004+0x40C)++0x07 line.quad 0x00 "C7C2DSR,CCB 7 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x87004+0x418)++0x03 line.long 0x00 "C7C2ICVSZR,CCB 7 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x87004+0x454)++0x03 line.long 0x00 "C7C2AADSZR,CCB 7 Class 2 AAD Size Register" group.long (0x87004+0x4FC)++0x47 line.long 0x00 "C7C2CTXR0,CCB 7 Class 2 Context Register Word 0" line.long 0x04 "C7C2CTXR1,CCB 7 Class 2 Context Register Word 1" line.long 0x08 "C7C2CTXR2,CCB 7 Class 2 Context Register Word 2" line.long 0x0C "C7C2CTXR3,CCB 7 Class 2 Context Register Word 3" line.long 0x10 "C7C2CTXR4,CCB 7 Class 2 Context Register Word 4" line.long 0x14 "C7C2CTXR5,CCB 7 Class 2 Context Register Word 5" line.long 0x18 "C7C2CTXR6,CCB 7 Class 2 Context Register Word 6" line.long 0x1C "C7C2CTXR7,CCB 7 Class 2 Context Register Word 7" line.long 0x20 "C7C2CTXR8,CCB 7 Class 2 Context Register Word 8" line.long 0x24 "C7C2CTXR9,CCB 7 Class 2 Context Register Word 9" line.long 0x28 "C7C2CTXR10,CCB 7 Class 2 Context Register Word 10" line.long 0x2C "C7C2CTXR11,CCB 7 Class 2 Context Register Word 11" line.long 0x30 "C7C2CTXR12,CCB 7 Class 2 Context Register Word 12" line.long 0x34 "C7C2CTXR13,CCB 7 Class 2 Context Register Word 13" line.long 0x38 "C7C2CTXR14,CCB 7 Class 2 Context Register Word 14" line.long 0x3C "C7C2CTXR15,CCB 7 Class 2 Context Register Word 15" line.long 0x40 "C7C2CTXR16,CCB 7 Class 2 Context Register Word 16" line.long 0x44 "C7C2CTXR17,CCB 7 Class 2 Context Register Word 17" group.long (0x87004+0x5FC)++0x7F line.long 0x00 "C7C2KEYR0,CCB 7 Class 2 Key Register Word 0" line.long 0x04 "C7C2KEYR1,CCB 7 Class 2 Key Register Word 1" line.long 0x08 "C7C2KEYR2,CCB 7 Class 2 Key Register Word 2" line.long 0x0C "C7C2KEYR3,CCB 7 Class 2 Key Register Word 3" line.long 0x10 "C7C2KEYR4,CCB 7 Class 2 Key Register Word 4" line.long 0x14 "C7C2KEYR5,CCB 7 Class 2 Key Register Word 5" line.long 0x18 "C7C2KEYR6,CCB 7 Class 2 Key Register Word 6" line.long 0x1C "C7C2KEYR7,CCB 7 Class 2 Key Register Word 7" line.long 0x20 "C7C2KEYR8,CCB 7 Class 2 Key Register Word 8" line.long 0x24 "C7C2KEYR9,CCB 7 Class 2 Key Register Word 9" line.long 0x28 "C7C2KEYR10,CCB 7 Class 2 Key Register Word 10" line.long 0x2C "C7C2KEYR11,CCB 7 Class 2 Key Register Word 11" line.long 0x30 "C7C2KEYR12,CCB 7 Class 2 Key Register Word 12" line.long 0x34 "C7C2KEYR13,CCB 7 Class 2 Key Register Word 13" line.long 0x38 "C7C2KEYR14,CCB 7 Class 2 Key Register Word 14" line.long 0x3C "C7C2KEYR15,CCB 7 Class 2 Key Register Word 15" line.long 0x40 "C7C2KEYR16,CCB 7 Class 2 Key Register Word 16" line.long 0x44 "C7C2KEYR17,CCB 7 Class 2 Key Register Word 17" line.long 0x48 "C7C2KEYR18,CCB 7 Class 2 Key Register Word 18" line.long 0x4C "C7C2KEYR19,CCB 7 Class 2 Key Register Word 19" line.long 0x50 "C7C2KEYR20,CCB 7 Class 2 Key Register Word 20" line.long 0x54 "C7C2KEYR21,CCB 7 Class 2 Key Register Word 21" line.long 0x58 "C7C2KEYR22,CCB 7 Class 2 Key Register Word 22" line.long 0x5C "C7C2KEYR23,CCB 7 Class 2 Key Register Word 23" line.long 0x60 "C7C2KEYR24,CCB 7 Class 2 Key Register Word 24" line.long 0x64 "C7C2KEYR25,CCB 7 Class 2 Key Register Word 25" line.long 0x68 "C7C2KEYR26,CCB 7 Class 2 Key Register Word 26" line.long 0x6C "C7C2KEYR27,CCB 7 Class 2 Key Register Word 27" line.long 0x70 "C7C2KEYR28,CCB 7 Class 2 Key Register Word 28" line.long 0x74 "C7C2KEYR29,CCB 7 Class 2 Key Register Word 29" line.long 0x78 "C7C2KEYR30,CCB 7 Class 2 Key Register Word 30" line.long 0x7C "C7C2KEYR31,CCB 7 Class 2 Key Register Word 31" rgroup.long (0x87004+0x7BC)++0x03 line.long 0x00 "C7FIFOSTA,CCB 7 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x87004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x87004+0x7CC)++0x03 line.long 0x00 "C7NFIFO,CCB 7 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x87004+0x7CC)++0x03 line.long 0x00 "C7NFIFO,CCB 7 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x87004+0x7DC)++0x03 line.long 0x00 "C7IFIFO,CCB 7 Input Data FIFO" rgroup.quad (0x87004+0x7EC)++0x07 line.quad 0x00 "C7OFIFO,CCB 7 Output Data FIFO" group.long (0x87004+0x7FC)++0x03 line.long 0x00 "D7JQCR_MS,DECO7 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x87004+0x800)++0x03 line.long 0x00 "D7JQCR_LS,DECO7 Job Queue Control Register" rgroup.quad (0x87004+0x804)++0x07 line.quad 0x00 "D7DAR,DECO7 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x87004+0x80C)++0x07 line.long 0x00 "D7OPSTA_MS,DECO7 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D7OPSTA_LS,DECO7 Operation Status Register" group.long (0x87004+0x814)++0x03 line.long 0x00 "D7CKSUMR,DECO7 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x87004+0x81C)++0x07 line.long 0x00 "D7COICIDSR,DECO7 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D7SIICIDSR,DECO7 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x87004++0x03 hide.long 0x00 "C7C1MR,CCB 7 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x87004++0x03 hide.long 0x00 "C7C1MR_PK,CCB 7 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x87004++0x03 hide.long 0x00 "C7C1MR_RNG,CCB 7 Class 1 Mode Register Format For RNG" hgroup.long (0x87004+0x08)++0x03 hide.long 0x00 "C7C1KSR,CCB 7 Class 1 Key Size Register" hgroup.quad (0x87004+0x0C)++0x07 hide.quad 0x00 "C7C1DSR,CCB 7 Class 1 Data Size Register" hgroup.long (0x87004+0x18)++0x03 hide.long 0x00 "C7C1ICVSR,CCB 7 Class 1 ICV Size Register" hgroup.long (0x87004+0x30)++0x03 hide.long 0x00 "C7CCTRL,CCB 7 CHA Control Register" hgroup.long (0x87004+0x3C)++0x03 hide.long 0x00 "C7ICTL,CCB 7 Interrupt Control Register" hgroup.long (0x87004+0x40)++0x03 hide.long 0x00 "C7CWR,CCB 7 Clear Written Register" hgroup.long (0x87004+0x44)++0x03 hide.long 0x00 "C7CSTA_MS,CCB 7 Status And Error Register" hgroup.long (0x87004+0x48)++0x03 hide.long 0x00 "C7CSTA_LS,CCB 7 Status And Error LS Register" hgroup.long (0x87004+0x58)++0x03 hide.long 0x00 "C7C1AADSZR,CCB 7 Class 1 AAD Size Register" hgroup.long (0x87004+0x60)++0x03 hide.long 0x00 "C7C1IVSZR,CCB 7 Class 1 IV Size Register" hgroup.long (0x87004+0x80)++0x03 hide.long 0x00 "C7PKASZR,PKHA A Size 7 Register" hgroup.long (0x87004+0x88)++0x03 hide.long 0x00 "C7PKBSZR,PKHA B Size 7 Register" hgroup.long (0x87004+0x90)++0x03 hide.long 0x00 "C7PKNSZR,PKHA N Size 7 Register" hgroup.long (0x87004+0x98)++0x03 hide.long 0x00 "C7PKESZR,PKHA E Size 7 Register" hgroup.long (0x87004+0xFC)++0x03 hide.long 0x00 "C7C1CTXR0,CCB 7 Class 1 Context Register Word 0" hgroup.long (0x87004+0xFC)++0x03 hide.long 0x00 "C7C1CTXR1,CCB 7 Class 1 Context Register Word 1" hgroup.long (0x87004+0x100)++0x03 hide.long 0x00 "C7C1CTXR2,CCB 7 Class 1 Context Register Word 2" hgroup.long (0x87004+0x104)++0x03 hide.long 0x00 "C7C1CTXR3,CCB 7 Class 1 Context Register Word 3" hgroup.long (0x87004+0x108)++0x03 hide.long 0x00 "C7C1CTXR4,CCB 7 Class 1 Context Register Word 4" hgroup.long (0x87004+0x10C)++0x03 hide.long 0x00 "C7C1CTXR5,CCB 7 Class 1 Context Register Word 5" hgroup.long (0x87004+0x110)++0x03 hide.long 0x00 "C7C1CTXR6,CCB 7 Class 1 Context Register Word 6" hgroup.long (0x87004+0x114)++0x03 hide.long 0x00 "C7C1CTXR7,CCB 7 Class 1 Context Register Word 7" hgroup.long (0x87004+0x118)++0x03 hide.long 0x00 "C7C1CTXR8,CCB 7 Class 1 Context Register Word 8" hgroup.long (0x87004+0x11C)++0x03 hide.long 0x00 "C7C1CTXR9,CCB 7 Class 1 Context Register Word 9" hgroup.long (0x87004+0x120)++0x03 hide.long 0x00 "C7C1CTXR10,CCB 7 Class 1 Context Register Word 10" hgroup.long (0x87004+0x124)++0x03 hide.long 0x00 "C7C1CTXR11,CCB 7 Class 1 Context Register Word 11" hgroup.long (0x87004+0x128)++0x03 hide.long 0x00 "C7C1CTXR12,CCB 7 Class 1 Context Register Word 12" hgroup.long (0x87004+0x12C)++0x03 hide.long 0x00 "C7C1CTXR13,CCB 7 Class 1 Context Register Word 13" hgroup.long (0x87004+0x130)++0x03 hide.long 0x00 "C7C1CTXR14,CCB 7 Class 1 Context Register Word 14" hgroup.long (0x87004+0x134)++0x03 hide.long 0x00 "C7C1CTXR15,CCB 7 Class 1 Context Register Word 15" hgroup.long (0x87004+0x1FC)++0x03 hide.long 0x00 "C7C1KR0,CCB 7 Class 1 Key Register Word 0" hgroup.long (0x87004+0x200)++0x03 hide.long 0x00 "C7C1KR1,CCB 7 Class 1 Key Register Word 1" hgroup.long (0x87004+0x204)++0x03 hide.long 0x00 "C7C1KR2,CCB 7 Class 1 Key Register Word 2" hgroup.long (0x87004+0x208)++0x03 hide.long 0x00 "C7C1KR3,CCB 7 Class 1 Key Register Word 3" hgroup.long (0x87004+0x20C)++0x03 hide.long 0x00 "C7C1KR4,CCB 7 Class 1 Key Register Word 4" hgroup.long (0x87004+0x210)++0x03 hide.long 0x00 "C7C1KR5,CCB 7 Class 1 Key Register Word 5" hgroup.long (0x87004+0x214)++0x03 hide.long 0x00 "C7C1KR6,CCB 7 Class 1 Key Register Word 6" hgroup.long (0x87004+0x218)++0x03 hide.long 0x00 "C7C1KR7,CCB 7 Class 1 Key Register Word 7" hgroup.long (0x87004+0x400)++0x03 hide.long 0x00 "C7C2MR,CCB 7 Class 2 Mode Register" hgroup.long (0x87004+0x408)++0x03 hide.long 0x00 "C7C2KSR,CCB 7 Class 2 Key Size Register" hgroup.quad (0x87004+0x40C)++0x07 hide.quad 0x00 "C7C2DSR,CCB 7 Class 2 Data Size Register" hgroup.long (0x87004+0x418)++0x03 hide.long 0x00 "C7C2ICVSZR,CCB 7 Class 2 ICV Size Register" hgroup.long (0x87004+0x454)++0x03 hide.long 0x00 "C7C2AADSZR,CCB 7 Class 2 AAD Size Register" hgroup.long (0x87004+0x4FC)++0x03 hide.long 0x00 "C7C2CTXR0,CCB 7 Class 2 Context Register Word 0" hgroup.long (0x87004+0x500)++0x03 hide.long 0x00 "C7C2CTXR1,CCB 7 Class 2 Context Register Word 1" hgroup.long (0x87004+0x504)++0x03 hide.long 0x00 "C7C2CTXR2,CCB 7 Class 2 Context Register Word 2" hgroup.long (0x87004+0x508)++0x03 hide.long 0x00 "C7C2CTXR3,CCB 7 Class 2 Context Register Word 3" hgroup.long (0x87004+0x50C)++0x03 hide.long 0x00 "C7C2CTXR4,CCB 7 Class 2 Context Register Word 4" hgroup.long (0x87004+0x510)++0x03 hide.long 0x00 "C7C2CTXR5,CCB 7 Class 2 Context Register Word 5" hgroup.long (0x87004+0x514)++0x03 hide.long 0x00 "C7C2CTXR6,CCB 7 Class 2 Context Register Word 6" hgroup.long (0x87004+0x518)++0x03 hide.long 0x00 "C7C2CTXR7,CCB 7 Class 2 Context Register Word 7" hgroup.long (0x87004+0x51C)++0x03 hide.long 0x00 "C7C2CTXR8,CCB 7 Class 2 Context Register Word 8" hgroup.long (0x87004+0x520)++0x03 hide.long 0x00 "C7C2CTXR9,CCB 7 Class 2 Context Register Word 9" hgroup.long (0x87004+0x524)++0x03 hide.long 0x00 "C7C2CTXR10,CCB 7 Class 2 Context Register Word 10" hgroup.long (0x87004+0x528)++0x03 hide.long 0x00 "C7C2CTXR11,CCB 7 Class 2 Context Register Word 11" hgroup.long (0x87004+0x52C)++0x03 hide.long 0x00 "C7C2CTXR12,CCB 7 Class 2 Context Register Word 12" hgroup.long (0x87004+0x530)++0x03 hide.long 0x00 "C7C2CTXR13,CCB 7 Class 2 Context Register Word 13" hgroup.long (0x87004+0x534)++0x03 hide.long 0x00 "C7C2CTXR14,CCB 7 Class 2 Context Register Word 14" hgroup.long (0x87004+0x538)++0x03 hide.long 0x00 "C7C2CTXR15,CCB 7 Class 2 Context Register Word 15" hgroup.long (0x87004+0x53C)++0x03 hide.long 0x00 "C7C2CTXR16,CCB 7 Class 2 Context Register Word 16" hgroup.long (0x87004+0x540)++0x03 hide.long 0x00 "C7C2CTXR17,CCB 7 Class 2 Context Register Word 17" hgroup.long (0x87004+0x5FC)++0x03 hide.long 0x00 "C7C2KEYR0,CCB 7 Class 2 Key Register Word 0" hgroup.long (0x87004+0x600)++0x03 hide.long 0x00 "C7C2KEYR1,CCB 7 Class 2 Key Register Word 1" hgroup.long (0x87004+0x604)++0x03 hide.long 0x00 "C7C2KEYR2,CCB 7 Class 2 Key Register Word 2" hgroup.long (0x87004+0x608)++0x03 hide.long 0x00 "C7C2KEYR3,CCB 7 Class 2 Key Register Word 3" hgroup.long (0x87004+0x60C)++0x03 hide.long 0x00 "C7C2KEYR4,CCB 7 Class 2 Key Register Word 4" hgroup.long (0x87004+0x610)++0x03 hide.long 0x00 "C7C2KEYR5,CCB 7 Class 2 Key Register Word 5" hgroup.long (0x87004+0x614)++0x03 hide.long 0x00 "C7C2KEYR6,CCB 7 Class 2 Key Register Word 6" hgroup.long (0x87004+0x618)++0x03 hide.long 0x00 "C7C2KEYR7,CCB 7 Class 2 Key Register Word 7" hgroup.long (0x87004+0x61C)++0x03 hide.long 0x00 "C7C2KEYR8,CCB 7 Class 2 Key Register Word 8" hgroup.long (0x87004+0x620)++0x03 hide.long 0x00 "C7C2KEYR9,CCB 7 Class 2 Key Register Word 9" hgroup.long (0x87004+0x624)++0x03 hide.long 0x00 "C7C2KEYR10,CCB 7 Class 2 Key Register Word 10" hgroup.long (0x87004+0x628)++0x03 hide.long 0x00 "C7C2KEYR11,CCB 7 Class 2 Key Register Word 11" hgroup.long (0x87004+0x62C)++0x03 hide.long 0x00 "C7C2KEYR12,CCB 7 Class 2 Key Register Word 12" hgroup.long (0x87004+0x630)++0x03 hide.long 0x00 "C7C2KEYR13,CCB 7 Class 2 Key Register Word 13" hgroup.long (0x87004+0x634)++0x03 hide.long 0x00 "C7C2KEYR14,CCB 7 Class 2 Key Register Word 14" hgroup.long (0x87004+0x638)++0x03 hide.long 0x00 "C7C2KEYR15,CCB 7 Class 2 Key Register Word 15" hgroup.long (0x87004+0x63C)++0x03 hide.long 0x00 "C7C2KEYR16,CCB 7 Class 2 Key Register Word 16" hgroup.long (0x87004+0x640)++0x03 hide.long 0x00 "C7C2KEYR17,CCB 7 Class 2 Key Register Word 17" hgroup.long (0x87004+0x644)++0x03 hide.long 0x00 "C7C2KEYR18,CCB 7 Class 2 Key Register Word 18" hgroup.long (0x87004+0x648)++0x03 hide.long 0x00 "C7C2KEYR19,CCB 7 Class 2 Key Register Word 19" hgroup.long (0x87004+0x64C)++0x03 hide.long 0x00 "C7C2KEYR20,CCB 7 Class 2 Key Register Word 20" hgroup.long (0x87004+0x650)++0x03 hide.long 0x00 "C7C2KEYR21,CCB 7 Class 2 Key Register Word 21" hgroup.long (0x87004+0x654)++0x03 hide.long 0x00 "C7C2KEYR22,CCB 7 Class 2 Key Register Word 22" hgroup.long (0x87004+0x658)++0x03 hide.long 0x00 "C7C2KEYR23,CCB 7 Class 2 Key Register Word 23" hgroup.long (0x87004+0x65C)++0x03 hide.long 0x00 "C7C2KEYR24,CCB 7 Class 2 Key Register Word 24" hgroup.long (0x87004+0x660)++0x03 hide.long 0x00 "C7C2KEYR25,CCB 7 Class 2 Key Register Word 25" hgroup.long (0x87004+0x664)++0x03 hide.long 0x00 "C7C2KEYR26,CCB 7 Class 2 Key Register Word 26" hgroup.long (0x87004+0x668)++0x03 hide.long 0x00 "C7C2KEYR27,CCB 7 Class 2 Key Register Word 27" hgroup.long (0x87004+0x66C)++0x03 hide.long 0x00 "C7C2KEYR28,CCB 7 Class 2 Key Register Word 28" hgroup.long (0x87004+0x670)++0x03 hide.long 0x00 "C7C2KEYR29,CCB 7 Class 2 Key Register Word 29" hgroup.long (0x87004+0x674)++0x03 hide.long 0x00 "C7C2KEYR30,CCB 7 Class 2 Key Register Word 30" hgroup.long (0x87004+0x678)++0x03 hide.long 0x00 "C7C2KEYR31,CCB 7 Class 2 Key Register Word 31" hgroup.long (0x87004+0x7BC)++0x03 hide.long 0x00 "C7FIFOSTA,CCB 7 FIFO Status Register" hgroup.long (0x87004+0x7CC)++0x03 hide.long 0x00 "C7NFIFO,CCB 7 Information FIFO Register" hgroup.long (0x87004+0x7DC)++0x03 hide.long 0x00 "C7IFIFO,CCB 7 Input Data FIFO" hgroup.quad (0x87004+0x7EC)++0x07 hide.quad 0x00 "C7OFIFO,CCB 7 Output Data FIFO" hgroup.long (0x87004+0x7FC)++0x03 hide.long 0x00 "D7JQCR_MS,DECO7 Job Queue Control Register" hgroup.long (0x87004+0x800)++0x03 hide.long 0x00 "D7JQCR_LS,DECO7 Job Queue Control Register" hgroup.quad (0x87004+0x804)++0x07 hide.quad 0x00 "D7DAR,DECO7 Descriptor Address Register" hgroup.long (0x87004+0x80C)++0x03 hide.long 0x00 "D7OPSTA_MS,DECO7 Operation Status MS Register" hgroup.long (0x87004+0x810)++0x03 hide.long 0x00 "D7OPSTA_LS,DECO7 Operation Status Register" hgroup.long (0x87004+0x814)++0x03 hide.long 0x00 "D7CKSUMR,DECO7 Checksum Register" hgroup.long (0x87004+0x81C)++0x03 hide.long 0x00 "D7COICIDSR,DECO7 Control And Output ICID Status Register" hgroup.long (0x87004+0x820)++0x03 hide.long 0x00 "D7SIICIDSR,DECO7 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<8.)==(0x10001<<8.)) group.long 0x88004++0x03 "CCB 8" line.long 0x00 "C8C1MR,CCB 8 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x88004++0x03 line.long 0x00 "C8C1MR_PK,CCB 8 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x88004++0x03 line.long 0x00 "C8C1MR_RNG,CCB 8 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x88004+0x08)++0x03 line.long 0x00 "C8C1KSR,CCB 8 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x88004+0x0C)++0x07 line.quad 0x00 "C8C1DSR,CCB 8 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x88004+0x18)++0x03 line.long 0x00 "C8C1ICVSR,CCB 8 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x88004+0x30)++0x03 line.long 0x00 "C8CCTRL,CCB 8 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x88004+0x3C)++0x03 line.long 0x00 "C8ICTL,CCB 8 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x88004+0x40)++0x03 line.long 0x00 "C8CWR,CCB 8 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x88004+0x44)++0x07 line.long 0x00 "C8CSTA_MS,CCB 8 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C8CSTA_LS,CCB 8 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x88004+0x58)++0x03 line.long 0x00 "C8C1AADSZR,CCB 8 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x88004+0x60)++0x03 line.long 0x00 "C8C1IVSZR,CCB 8 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x88004+0x80)++0x03 line.long 0x00 "C8PKASZR,PKHA A Size 8 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x88004+0x88)++0x03 line.long 0x00 "C8PKBSZR,PKHA B Size 8 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x88004+0x90)++0x03 line.long 0x00 "C8PKNSZR,PKHA N Size 8 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x88004+0x98)++0x03 line.long 0x00 "C8PKESZR,PKHA E Size 8 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x88004+0xFC)++0x3F line.long 0x00 "C8C1CTXR0,CCB 8 Class 1 Context Register Word 0" line.long 0x04 "C8C1CTXR1,CCB 8 Class 1 Context Register Word 1" line.long 0x08 "C8C1CTXR2,CCB 8 Class 1 Context Register Word 2" line.long 0x0C "C8C1CTXR3,CCB 8 Class 1 Context Register Word 3" line.long 0x10 "C8C1CTXR4,CCB 8 Class 1 Context Register Word 4" line.long 0x14 "C8C1CTXR5,CCB 8 Class 1 Context Register Word 5" line.long 0x18 "C8C1CTXR6,CCB 8 Class 1 Context Register Word 6" line.long 0x1C "C8C1CTXR7,CCB 8 Class 1 Context Register Word 7" line.long 0x20 "C8C1CTXR8,CCB 8 Class 1 Context Register Word 8" line.long 0x24 "C8C1CTXR9,CCB 8 Class 1 Context Register Word 9" line.long 0x28 "C8C1CTXR10,CCB 8 Class 1 Context Register Word 10" line.long 0x2C "C8C1CTXR11,CCB 8 Class 1 Context Register Word 11" line.long 0x30 "C8C1CTXR12,CCB 8 Class 1 Context Register Word 12" line.long 0x34 "C8C1CTXR13,CCB 8 Class 1 Context Register Word 13" line.long 0x38 "C8C1CTXR14,CCB 8 Class 1 Context Register Word 14" line.long 0x3C "C8C1CTXR15,CCB 8 Class 1 Context Register Word 15" group.long (0x88004+0x1FC)++0x1F line.long 0x00 "C8C1KR0,CCB 8 Class 1 Key Register Word 0" line.long 0x04 "C8C1KR1,CCB 8 Class 1 Key Register Word 1" line.long 0x08 "C8C1KR2,CCB 8 Class 1 Key Register Word 2" line.long 0x0C "C8C1KR3,CCB 8 Class 1 Key Register Word 3" line.long 0x10 "C8C1KR4,CCB 8 Class 1 Key Register Word 4" line.long 0x14 "C8C1KR5,CCB 8 Class 1 Key Register Word 5" line.long 0x18 "C8C1KR6,CCB 8 Class 1 Key Register Word 6" line.long 0x1C "C8C1KR7,CCB 8 Class 1 Key Register Word 7" group.long (0x88004+0x400)++0x03 line.long 0x00 "C8C2MR,CCB 8 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x88004+0x408)++0x03 line.long 0x00 "C8C2KSR,CCB 8 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x88004+0x40C)++0x07 line.quad 0x00 "C8C2DSR,CCB 8 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x88004+0x418)++0x03 line.long 0x00 "C8C2ICVSZR,CCB 8 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x88004+0x454)++0x03 line.long 0x00 "C8C2AADSZR,CCB 8 Class 2 AAD Size Register" group.long (0x88004+0x4FC)++0x47 line.long 0x00 "C8C2CTXR0,CCB 8 Class 2 Context Register Word 0" line.long 0x04 "C8C2CTXR1,CCB 8 Class 2 Context Register Word 1" line.long 0x08 "C8C2CTXR2,CCB 8 Class 2 Context Register Word 2" line.long 0x0C "C8C2CTXR3,CCB 8 Class 2 Context Register Word 3" line.long 0x10 "C8C2CTXR4,CCB 8 Class 2 Context Register Word 4" line.long 0x14 "C8C2CTXR5,CCB 8 Class 2 Context Register Word 5" line.long 0x18 "C8C2CTXR6,CCB 8 Class 2 Context Register Word 6" line.long 0x1C "C8C2CTXR7,CCB 8 Class 2 Context Register Word 7" line.long 0x20 "C8C2CTXR8,CCB 8 Class 2 Context Register Word 8" line.long 0x24 "C8C2CTXR9,CCB 8 Class 2 Context Register Word 9" line.long 0x28 "C8C2CTXR10,CCB 8 Class 2 Context Register Word 10" line.long 0x2C "C8C2CTXR11,CCB 8 Class 2 Context Register Word 11" line.long 0x30 "C8C2CTXR12,CCB 8 Class 2 Context Register Word 12" line.long 0x34 "C8C2CTXR13,CCB 8 Class 2 Context Register Word 13" line.long 0x38 "C8C2CTXR14,CCB 8 Class 2 Context Register Word 14" line.long 0x3C "C8C2CTXR15,CCB 8 Class 2 Context Register Word 15" line.long 0x40 "C8C2CTXR16,CCB 8 Class 2 Context Register Word 16" line.long 0x44 "C8C2CTXR17,CCB 8 Class 2 Context Register Word 17" group.long (0x88004+0x5FC)++0x7F line.long 0x00 "C8C2KEYR0,CCB 8 Class 2 Key Register Word 0" line.long 0x04 "C8C2KEYR1,CCB 8 Class 2 Key Register Word 1" line.long 0x08 "C8C2KEYR2,CCB 8 Class 2 Key Register Word 2" line.long 0x0C "C8C2KEYR3,CCB 8 Class 2 Key Register Word 3" line.long 0x10 "C8C2KEYR4,CCB 8 Class 2 Key Register Word 4" line.long 0x14 "C8C2KEYR5,CCB 8 Class 2 Key Register Word 5" line.long 0x18 "C8C2KEYR6,CCB 8 Class 2 Key Register Word 6" line.long 0x1C "C8C2KEYR7,CCB 8 Class 2 Key Register Word 7" line.long 0x20 "C8C2KEYR8,CCB 8 Class 2 Key Register Word 8" line.long 0x24 "C8C2KEYR9,CCB 8 Class 2 Key Register Word 9" line.long 0x28 "C8C2KEYR10,CCB 8 Class 2 Key Register Word 10" line.long 0x2C "C8C2KEYR11,CCB 8 Class 2 Key Register Word 11" line.long 0x30 "C8C2KEYR12,CCB 8 Class 2 Key Register Word 12" line.long 0x34 "C8C2KEYR13,CCB 8 Class 2 Key Register Word 13" line.long 0x38 "C8C2KEYR14,CCB 8 Class 2 Key Register Word 14" line.long 0x3C "C8C2KEYR15,CCB 8 Class 2 Key Register Word 15" line.long 0x40 "C8C2KEYR16,CCB 8 Class 2 Key Register Word 16" line.long 0x44 "C8C2KEYR17,CCB 8 Class 2 Key Register Word 17" line.long 0x48 "C8C2KEYR18,CCB 8 Class 2 Key Register Word 18" line.long 0x4C "C8C2KEYR19,CCB 8 Class 2 Key Register Word 19" line.long 0x50 "C8C2KEYR20,CCB 8 Class 2 Key Register Word 20" line.long 0x54 "C8C2KEYR21,CCB 8 Class 2 Key Register Word 21" line.long 0x58 "C8C2KEYR22,CCB 8 Class 2 Key Register Word 22" line.long 0x5C "C8C2KEYR23,CCB 8 Class 2 Key Register Word 23" line.long 0x60 "C8C2KEYR24,CCB 8 Class 2 Key Register Word 24" line.long 0x64 "C8C2KEYR25,CCB 8 Class 2 Key Register Word 25" line.long 0x68 "C8C2KEYR26,CCB 8 Class 2 Key Register Word 26" line.long 0x6C "C8C2KEYR27,CCB 8 Class 2 Key Register Word 27" line.long 0x70 "C8C2KEYR28,CCB 8 Class 2 Key Register Word 28" line.long 0x74 "C8C2KEYR29,CCB 8 Class 2 Key Register Word 29" line.long 0x78 "C8C2KEYR30,CCB 8 Class 2 Key Register Word 30" line.long 0x7C "C8C2KEYR31,CCB 8 Class 2 Key Register Word 31" rgroup.long (0x88004+0x7BC)++0x03 line.long 0x00 "C8FIFOSTA,CCB 8 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x88004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x88004+0x7CC)++0x03 line.long 0x00 "C8NFIFO,CCB 8 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x88004+0x7CC)++0x03 line.long 0x00 "C8NFIFO,CCB 8 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x88004+0x7DC)++0x03 line.long 0x00 "C8IFIFO,CCB 8 Input Data FIFO" rgroup.quad (0x88004+0x7EC)++0x07 line.quad 0x00 "C8OFIFO,CCB 8 Output Data FIFO" group.long (0x88004+0x7FC)++0x03 line.long 0x00 "D8JQCR_MS,DECO8 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x88004+0x800)++0x03 line.long 0x00 "D8JQCR_LS,DECO8 Job Queue Control Register" rgroup.quad (0x88004+0x804)++0x07 line.quad 0x00 "D8DAR,DECO8 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x88004+0x80C)++0x07 line.long 0x00 "D8OPSTA_MS,DECO8 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D8OPSTA_LS,DECO8 Operation Status Register" group.long (0x88004+0x814)++0x03 line.long 0x00 "D8CKSUMR,DECO8 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x88004+0x81C)++0x07 line.long 0x00 "D8COICIDSR,DECO8 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D8SIICIDSR,DECO8 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x88004++0x03 hide.long 0x00 "C8C1MR,CCB 8 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x88004++0x03 hide.long 0x00 "C8C1MR_PK,CCB 8 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x88004++0x03 hide.long 0x00 "C8C1MR_RNG,CCB 8 Class 1 Mode Register Format For RNG" hgroup.long (0x88004+0x08)++0x03 hide.long 0x00 "C8C1KSR,CCB 8 Class 1 Key Size Register" hgroup.quad (0x88004+0x0C)++0x07 hide.quad 0x00 "C8C1DSR,CCB 8 Class 1 Data Size Register" hgroup.long (0x88004+0x18)++0x03 hide.long 0x00 "C8C1ICVSR,CCB 8 Class 1 ICV Size Register" hgroup.long (0x88004+0x30)++0x03 hide.long 0x00 "C8CCTRL,CCB 8 CHA Control Register" hgroup.long (0x88004+0x3C)++0x03 hide.long 0x00 "C8ICTL,CCB 8 Interrupt Control Register" hgroup.long (0x88004+0x40)++0x03 hide.long 0x00 "C8CWR,CCB 8 Clear Written Register" hgroup.long (0x88004+0x44)++0x03 hide.long 0x00 "C8CSTA_MS,CCB 8 Status And Error Register" hgroup.long (0x88004+0x48)++0x03 hide.long 0x00 "C8CSTA_LS,CCB 8 Status And Error LS Register" hgroup.long (0x88004+0x58)++0x03 hide.long 0x00 "C8C1AADSZR,CCB 8 Class 1 AAD Size Register" hgroup.long (0x88004+0x60)++0x03 hide.long 0x00 "C8C1IVSZR,CCB 8 Class 1 IV Size Register" hgroup.long (0x88004+0x80)++0x03 hide.long 0x00 "C8PKASZR,PKHA A Size 8 Register" hgroup.long (0x88004+0x88)++0x03 hide.long 0x00 "C8PKBSZR,PKHA B Size 8 Register" hgroup.long (0x88004+0x90)++0x03 hide.long 0x00 "C8PKNSZR,PKHA N Size 8 Register" hgroup.long (0x88004+0x98)++0x03 hide.long 0x00 "C8PKESZR,PKHA E Size 8 Register" hgroup.long (0x88004+0xFC)++0x03 hide.long 0x00 "C8C1CTXR0,CCB 8 Class 1 Context Register Word 0" hgroup.long (0x88004+0xFC)++0x03 hide.long 0x00 "C8C1CTXR1,CCB 8 Class 1 Context Register Word 1" hgroup.long (0x88004+0x100)++0x03 hide.long 0x00 "C8C1CTXR2,CCB 8 Class 1 Context Register Word 2" hgroup.long (0x88004+0x104)++0x03 hide.long 0x00 "C8C1CTXR3,CCB 8 Class 1 Context Register Word 3" hgroup.long (0x88004+0x108)++0x03 hide.long 0x00 "C8C1CTXR4,CCB 8 Class 1 Context Register Word 4" hgroup.long (0x88004+0x10C)++0x03 hide.long 0x00 "C8C1CTXR5,CCB 8 Class 1 Context Register Word 5" hgroup.long (0x88004+0x110)++0x03 hide.long 0x00 "C8C1CTXR6,CCB 8 Class 1 Context Register Word 6" hgroup.long (0x88004+0x114)++0x03 hide.long 0x00 "C8C1CTXR7,CCB 8 Class 1 Context Register Word 7" hgroup.long (0x88004+0x118)++0x03 hide.long 0x00 "C8C1CTXR8,CCB 8 Class 1 Context Register Word 8" hgroup.long (0x88004+0x11C)++0x03 hide.long 0x00 "C8C1CTXR9,CCB 8 Class 1 Context Register Word 9" hgroup.long (0x88004+0x120)++0x03 hide.long 0x00 "C8C1CTXR10,CCB 8 Class 1 Context Register Word 10" hgroup.long (0x88004+0x124)++0x03 hide.long 0x00 "C8C1CTXR11,CCB 8 Class 1 Context Register Word 11" hgroup.long (0x88004+0x128)++0x03 hide.long 0x00 "C8C1CTXR12,CCB 8 Class 1 Context Register Word 12" hgroup.long (0x88004+0x12C)++0x03 hide.long 0x00 "C8C1CTXR13,CCB 8 Class 1 Context Register Word 13" hgroup.long (0x88004+0x130)++0x03 hide.long 0x00 "C8C1CTXR14,CCB 8 Class 1 Context Register Word 14" hgroup.long (0x88004+0x134)++0x03 hide.long 0x00 "C8C1CTXR15,CCB 8 Class 1 Context Register Word 15" hgroup.long (0x88004+0x1FC)++0x03 hide.long 0x00 "C8C1KR0,CCB 8 Class 1 Key Register Word 0" hgroup.long (0x88004+0x200)++0x03 hide.long 0x00 "C8C1KR1,CCB 8 Class 1 Key Register Word 1" hgroup.long (0x88004+0x204)++0x03 hide.long 0x00 "C8C1KR2,CCB 8 Class 1 Key Register Word 2" hgroup.long (0x88004+0x208)++0x03 hide.long 0x00 "C8C1KR3,CCB 8 Class 1 Key Register Word 3" hgroup.long (0x88004+0x20C)++0x03 hide.long 0x00 "C8C1KR4,CCB 8 Class 1 Key Register Word 4" hgroup.long (0x88004+0x210)++0x03 hide.long 0x00 "C8C1KR5,CCB 8 Class 1 Key Register Word 5" hgroup.long (0x88004+0x214)++0x03 hide.long 0x00 "C8C1KR6,CCB 8 Class 1 Key Register Word 6" hgroup.long (0x88004+0x218)++0x03 hide.long 0x00 "C8C1KR7,CCB 8 Class 1 Key Register Word 7" hgroup.long (0x88004+0x400)++0x03 hide.long 0x00 "C8C2MR,CCB 8 Class 2 Mode Register" hgroup.long (0x88004+0x408)++0x03 hide.long 0x00 "C8C2KSR,CCB 8 Class 2 Key Size Register" hgroup.quad (0x88004+0x40C)++0x07 hide.quad 0x00 "C8C2DSR,CCB 8 Class 2 Data Size Register" hgroup.long (0x88004+0x418)++0x03 hide.long 0x00 "C8C2ICVSZR,CCB 8 Class 2 ICV Size Register" hgroup.long (0x88004+0x454)++0x03 hide.long 0x00 "C8C2AADSZR,CCB 8 Class 2 AAD Size Register" hgroup.long (0x88004+0x4FC)++0x03 hide.long 0x00 "C8C2CTXR0,CCB 8 Class 2 Context Register Word 0" hgroup.long (0x88004+0x500)++0x03 hide.long 0x00 "C8C2CTXR1,CCB 8 Class 2 Context Register Word 1" hgroup.long (0x88004+0x504)++0x03 hide.long 0x00 "C8C2CTXR2,CCB 8 Class 2 Context Register Word 2" hgroup.long (0x88004+0x508)++0x03 hide.long 0x00 "C8C2CTXR3,CCB 8 Class 2 Context Register Word 3" hgroup.long (0x88004+0x50C)++0x03 hide.long 0x00 "C8C2CTXR4,CCB 8 Class 2 Context Register Word 4" hgroup.long (0x88004+0x510)++0x03 hide.long 0x00 "C8C2CTXR5,CCB 8 Class 2 Context Register Word 5" hgroup.long (0x88004+0x514)++0x03 hide.long 0x00 "C8C2CTXR6,CCB 8 Class 2 Context Register Word 6" hgroup.long (0x88004+0x518)++0x03 hide.long 0x00 "C8C2CTXR7,CCB 8 Class 2 Context Register Word 7" hgroup.long (0x88004+0x51C)++0x03 hide.long 0x00 "C8C2CTXR8,CCB 8 Class 2 Context Register Word 8" hgroup.long (0x88004+0x520)++0x03 hide.long 0x00 "C8C2CTXR9,CCB 8 Class 2 Context Register Word 9" hgroup.long (0x88004+0x524)++0x03 hide.long 0x00 "C8C2CTXR10,CCB 8 Class 2 Context Register Word 10" hgroup.long (0x88004+0x528)++0x03 hide.long 0x00 "C8C2CTXR11,CCB 8 Class 2 Context Register Word 11" hgroup.long (0x88004+0x52C)++0x03 hide.long 0x00 "C8C2CTXR12,CCB 8 Class 2 Context Register Word 12" hgroup.long (0x88004+0x530)++0x03 hide.long 0x00 "C8C2CTXR13,CCB 8 Class 2 Context Register Word 13" hgroup.long (0x88004+0x534)++0x03 hide.long 0x00 "C8C2CTXR14,CCB 8 Class 2 Context Register Word 14" hgroup.long (0x88004+0x538)++0x03 hide.long 0x00 "C8C2CTXR15,CCB 8 Class 2 Context Register Word 15" hgroup.long (0x88004+0x53C)++0x03 hide.long 0x00 "C8C2CTXR16,CCB 8 Class 2 Context Register Word 16" hgroup.long (0x88004+0x540)++0x03 hide.long 0x00 "C8C2CTXR17,CCB 8 Class 2 Context Register Word 17" hgroup.long (0x88004+0x5FC)++0x03 hide.long 0x00 "C8C2KEYR0,CCB 8 Class 2 Key Register Word 0" hgroup.long (0x88004+0x600)++0x03 hide.long 0x00 "C8C2KEYR1,CCB 8 Class 2 Key Register Word 1" hgroup.long (0x88004+0x604)++0x03 hide.long 0x00 "C8C2KEYR2,CCB 8 Class 2 Key Register Word 2" hgroup.long (0x88004+0x608)++0x03 hide.long 0x00 "C8C2KEYR3,CCB 8 Class 2 Key Register Word 3" hgroup.long (0x88004+0x60C)++0x03 hide.long 0x00 "C8C2KEYR4,CCB 8 Class 2 Key Register Word 4" hgroup.long (0x88004+0x610)++0x03 hide.long 0x00 "C8C2KEYR5,CCB 8 Class 2 Key Register Word 5" hgroup.long (0x88004+0x614)++0x03 hide.long 0x00 "C8C2KEYR6,CCB 8 Class 2 Key Register Word 6" hgroup.long (0x88004+0x618)++0x03 hide.long 0x00 "C8C2KEYR7,CCB 8 Class 2 Key Register Word 7" hgroup.long (0x88004+0x61C)++0x03 hide.long 0x00 "C8C2KEYR8,CCB 8 Class 2 Key Register Word 8" hgroup.long (0x88004+0x620)++0x03 hide.long 0x00 "C8C2KEYR9,CCB 8 Class 2 Key Register Word 9" hgroup.long (0x88004+0x624)++0x03 hide.long 0x00 "C8C2KEYR10,CCB 8 Class 2 Key Register Word 10" hgroup.long (0x88004+0x628)++0x03 hide.long 0x00 "C8C2KEYR11,CCB 8 Class 2 Key Register Word 11" hgroup.long (0x88004+0x62C)++0x03 hide.long 0x00 "C8C2KEYR12,CCB 8 Class 2 Key Register Word 12" hgroup.long (0x88004+0x630)++0x03 hide.long 0x00 "C8C2KEYR13,CCB 8 Class 2 Key Register Word 13" hgroup.long (0x88004+0x634)++0x03 hide.long 0x00 "C8C2KEYR14,CCB 8 Class 2 Key Register Word 14" hgroup.long (0x88004+0x638)++0x03 hide.long 0x00 "C8C2KEYR15,CCB 8 Class 2 Key Register Word 15" hgroup.long (0x88004+0x63C)++0x03 hide.long 0x00 "C8C2KEYR16,CCB 8 Class 2 Key Register Word 16" hgroup.long (0x88004+0x640)++0x03 hide.long 0x00 "C8C2KEYR17,CCB 8 Class 2 Key Register Word 17" hgroup.long (0x88004+0x644)++0x03 hide.long 0x00 "C8C2KEYR18,CCB 8 Class 2 Key Register Word 18" hgroup.long (0x88004+0x648)++0x03 hide.long 0x00 "C8C2KEYR19,CCB 8 Class 2 Key Register Word 19" hgroup.long (0x88004+0x64C)++0x03 hide.long 0x00 "C8C2KEYR20,CCB 8 Class 2 Key Register Word 20" hgroup.long (0x88004+0x650)++0x03 hide.long 0x00 "C8C2KEYR21,CCB 8 Class 2 Key Register Word 21" hgroup.long (0x88004+0x654)++0x03 hide.long 0x00 "C8C2KEYR22,CCB 8 Class 2 Key Register Word 22" hgroup.long (0x88004+0x658)++0x03 hide.long 0x00 "C8C2KEYR23,CCB 8 Class 2 Key Register Word 23" hgroup.long (0x88004+0x65C)++0x03 hide.long 0x00 "C8C2KEYR24,CCB 8 Class 2 Key Register Word 24" hgroup.long (0x88004+0x660)++0x03 hide.long 0x00 "C8C2KEYR25,CCB 8 Class 2 Key Register Word 25" hgroup.long (0x88004+0x664)++0x03 hide.long 0x00 "C8C2KEYR26,CCB 8 Class 2 Key Register Word 26" hgroup.long (0x88004+0x668)++0x03 hide.long 0x00 "C8C2KEYR27,CCB 8 Class 2 Key Register Word 27" hgroup.long (0x88004+0x66C)++0x03 hide.long 0x00 "C8C2KEYR28,CCB 8 Class 2 Key Register Word 28" hgroup.long (0x88004+0x670)++0x03 hide.long 0x00 "C8C2KEYR29,CCB 8 Class 2 Key Register Word 29" hgroup.long (0x88004+0x674)++0x03 hide.long 0x00 "C8C2KEYR30,CCB 8 Class 2 Key Register Word 30" hgroup.long (0x88004+0x678)++0x03 hide.long 0x00 "C8C2KEYR31,CCB 8 Class 2 Key Register Word 31" hgroup.long (0x88004+0x7BC)++0x03 hide.long 0x00 "C8FIFOSTA,CCB 8 FIFO Status Register" hgroup.long (0x88004+0x7CC)++0x03 hide.long 0x00 "C8NFIFO,CCB 8 Information FIFO Register" hgroup.long (0x88004+0x7DC)++0x03 hide.long 0x00 "C8IFIFO,CCB 8 Input Data FIFO" hgroup.quad (0x88004+0x7EC)++0x07 hide.quad 0x00 "C8OFIFO,CCB 8 Output Data FIFO" hgroup.long (0x88004+0x7FC)++0x03 hide.long 0x00 "D8JQCR_MS,DECO8 Job Queue Control Register" hgroup.long (0x88004+0x800)++0x03 hide.long 0x00 "D8JQCR_LS,DECO8 Job Queue Control Register" hgroup.quad (0x88004+0x804)++0x07 hide.quad 0x00 "D8DAR,DECO8 Descriptor Address Register" hgroup.long (0x88004+0x80C)++0x03 hide.long 0x00 "D8OPSTA_MS,DECO8 Operation Status MS Register" hgroup.long (0x88004+0x810)++0x03 hide.long 0x00 "D8OPSTA_LS,DECO8 Operation Status Register" hgroup.long (0x88004+0x814)++0x03 hide.long 0x00 "D8CKSUMR,DECO8 Checksum Register" hgroup.long (0x88004+0x81C)++0x03 hide.long 0x00 "D8COICIDSR,DECO8 Control And Output ICID Status Register" hgroup.long (0x88004+0x820)++0x03 hide.long 0x00 "D8SIICIDSR,DECO8 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<9.)==(0x10001<<9.)) group.long 0x89004++0x03 "CCB 9" line.long 0x00 "C9C1MR,CCB 9 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x89004++0x03 line.long 0x00 "C9C1MR_PK,CCB 9 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x89004++0x03 line.long 0x00 "C9C1MR_RNG,CCB 9 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x89004+0x08)++0x03 line.long 0x00 "C9C1KSR,CCB 9 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x89004+0x0C)++0x07 line.quad 0x00 "C9C1DSR,CCB 9 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x89004+0x18)++0x03 line.long 0x00 "C9C1ICVSR,CCB 9 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x89004+0x30)++0x03 line.long 0x00 "C9CCTRL,CCB 9 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x89004+0x3C)++0x03 line.long 0x00 "C9ICTL,CCB 9 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x89004+0x40)++0x03 line.long 0x00 "C9CWR,CCB 9 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x89004+0x44)++0x07 line.long 0x00 "C9CSTA_MS,CCB 9 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C9CSTA_LS,CCB 9 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x89004+0x58)++0x03 line.long 0x00 "C9C1AADSZR,CCB 9 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x89004+0x60)++0x03 line.long 0x00 "C9C1IVSZR,CCB 9 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x89004+0x80)++0x03 line.long 0x00 "C9PKASZR,PKHA A Size 9 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x89004+0x88)++0x03 line.long 0x00 "C9PKBSZR,PKHA B Size 9 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x89004+0x90)++0x03 line.long 0x00 "C9PKNSZR,PKHA N Size 9 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x89004+0x98)++0x03 line.long 0x00 "C9PKESZR,PKHA E Size 9 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x89004+0xFC)++0x3F line.long 0x00 "C9C1CTXR0,CCB 9 Class 1 Context Register Word 0" line.long 0x04 "C9C1CTXR1,CCB 9 Class 1 Context Register Word 1" line.long 0x08 "C9C1CTXR2,CCB 9 Class 1 Context Register Word 2" line.long 0x0C "C9C1CTXR3,CCB 9 Class 1 Context Register Word 3" line.long 0x10 "C9C1CTXR4,CCB 9 Class 1 Context Register Word 4" line.long 0x14 "C9C1CTXR5,CCB 9 Class 1 Context Register Word 5" line.long 0x18 "C9C1CTXR6,CCB 9 Class 1 Context Register Word 6" line.long 0x1C "C9C1CTXR7,CCB 9 Class 1 Context Register Word 7" line.long 0x20 "C9C1CTXR8,CCB 9 Class 1 Context Register Word 8" line.long 0x24 "C9C1CTXR9,CCB 9 Class 1 Context Register Word 9" line.long 0x28 "C9C1CTXR10,CCB 9 Class 1 Context Register Word 10" line.long 0x2C "C9C1CTXR11,CCB 9 Class 1 Context Register Word 11" line.long 0x30 "C9C1CTXR12,CCB 9 Class 1 Context Register Word 12" line.long 0x34 "C9C1CTXR13,CCB 9 Class 1 Context Register Word 13" line.long 0x38 "C9C1CTXR14,CCB 9 Class 1 Context Register Word 14" line.long 0x3C "C9C1CTXR15,CCB 9 Class 1 Context Register Word 15" group.long (0x89004+0x1FC)++0x1F line.long 0x00 "C9C1KR0,CCB 9 Class 1 Key Register Word 0" line.long 0x04 "C9C1KR1,CCB 9 Class 1 Key Register Word 1" line.long 0x08 "C9C1KR2,CCB 9 Class 1 Key Register Word 2" line.long 0x0C "C9C1KR3,CCB 9 Class 1 Key Register Word 3" line.long 0x10 "C9C1KR4,CCB 9 Class 1 Key Register Word 4" line.long 0x14 "C9C1KR5,CCB 9 Class 1 Key Register Word 5" line.long 0x18 "C9C1KR6,CCB 9 Class 1 Key Register Word 6" line.long 0x1C "C9C1KR7,CCB 9 Class 1 Key Register Word 7" group.long (0x89004+0x400)++0x03 line.long 0x00 "C9C2MR,CCB 9 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x89004+0x408)++0x03 line.long 0x00 "C9C2KSR,CCB 9 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x89004+0x40C)++0x07 line.quad 0x00 "C9C2DSR,CCB 9 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x89004+0x418)++0x03 line.long 0x00 "C9C2ICVSZR,CCB 9 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x89004+0x454)++0x03 line.long 0x00 "C9C2AADSZR,CCB 9 Class 2 AAD Size Register" group.long (0x89004+0x4FC)++0x47 line.long 0x00 "C9C2CTXR0,CCB 9 Class 2 Context Register Word 0" line.long 0x04 "C9C2CTXR1,CCB 9 Class 2 Context Register Word 1" line.long 0x08 "C9C2CTXR2,CCB 9 Class 2 Context Register Word 2" line.long 0x0C "C9C2CTXR3,CCB 9 Class 2 Context Register Word 3" line.long 0x10 "C9C2CTXR4,CCB 9 Class 2 Context Register Word 4" line.long 0x14 "C9C2CTXR5,CCB 9 Class 2 Context Register Word 5" line.long 0x18 "C9C2CTXR6,CCB 9 Class 2 Context Register Word 6" line.long 0x1C "C9C2CTXR7,CCB 9 Class 2 Context Register Word 7" line.long 0x20 "C9C2CTXR8,CCB 9 Class 2 Context Register Word 8" line.long 0x24 "C9C2CTXR9,CCB 9 Class 2 Context Register Word 9" line.long 0x28 "C9C2CTXR10,CCB 9 Class 2 Context Register Word 10" line.long 0x2C "C9C2CTXR11,CCB 9 Class 2 Context Register Word 11" line.long 0x30 "C9C2CTXR12,CCB 9 Class 2 Context Register Word 12" line.long 0x34 "C9C2CTXR13,CCB 9 Class 2 Context Register Word 13" line.long 0x38 "C9C2CTXR14,CCB 9 Class 2 Context Register Word 14" line.long 0x3C "C9C2CTXR15,CCB 9 Class 2 Context Register Word 15" line.long 0x40 "C9C2CTXR16,CCB 9 Class 2 Context Register Word 16" line.long 0x44 "C9C2CTXR17,CCB 9 Class 2 Context Register Word 17" group.long (0x89004+0x5FC)++0x7F line.long 0x00 "C9C2KEYR0,CCB 9 Class 2 Key Register Word 0" line.long 0x04 "C9C2KEYR1,CCB 9 Class 2 Key Register Word 1" line.long 0x08 "C9C2KEYR2,CCB 9 Class 2 Key Register Word 2" line.long 0x0C "C9C2KEYR3,CCB 9 Class 2 Key Register Word 3" line.long 0x10 "C9C2KEYR4,CCB 9 Class 2 Key Register Word 4" line.long 0x14 "C9C2KEYR5,CCB 9 Class 2 Key Register Word 5" line.long 0x18 "C9C2KEYR6,CCB 9 Class 2 Key Register Word 6" line.long 0x1C "C9C2KEYR7,CCB 9 Class 2 Key Register Word 7" line.long 0x20 "C9C2KEYR8,CCB 9 Class 2 Key Register Word 8" line.long 0x24 "C9C2KEYR9,CCB 9 Class 2 Key Register Word 9" line.long 0x28 "C9C2KEYR10,CCB 9 Class 2 Key Register Word 10" line.long 0x2C "C9C2KEYR11,CCB 9 Class 2 Key Register Word 11" line.long 0x30 "C9C2KEYR12,CCB 9 Class 2 Key Register Word 12" line.long 0x34 "C9C2KEYR13,CCB 9 Class 2 Key Register Word 13" line.long 0x38 "C9C2KEYR14,CCB 9 Class 2 Key Register Word 14" line.long 0x3C "C9C2KEYR15,CCB 9 Class 2 Key Register Word 15" line.long 0x40 "C9C2KEYR16,CCB 9 Class 2 Key Register Word 16" line.long 0x44 "C9C2KEYR17,CCB 9 Class 2 Key Register Word 17" line.long 0x48 "C9C2KEYR18,CCB 9 Class 2 Key Register Word 18" line.long 0x4C "C9C2KEYR19,CCB 9 Class 2 Key Register Word 19" line.long 0x50 "C9C2KEYR20,CCB 9 Class 2 Key Register Word 20" line.long 0x54 "C9C2KEYR21,CCB 9 Class 2 Key Register Word 21" line.long 0x58 "C9C2KEYR22,CCB 9 Class 2 Key Register Word 22" line.long 0x5C "C9C2KEYR23,CCB 9 Class 2 Key Register Word 23" line.long 0x60 "C9C2KEYR24,CCB 9 Class 2 Key Register Word 24" line.long 0x64 "C9C2KEYR25,CCB 9 Class 2 Key Register Word 25" line.long 0x68 "C9C2KEYR26,CCB 9 Class 2 Key Register Word 26" line.long 0x6C "C9C2KEYR27,CCB 9 Class 2 Key Register Word 27" line.long 0x70 "C9C2KEYR28,CCB 9 Class 2 Key Register Word 28" line.long 0x74 "C9C2KEYR29,CCB 9 Class 2 Key Register Word 29" line.long 0x78 "C9C2KEYR30,CCB 9 Class 2 Key Register Word 30" line.long 0x7C "C9C2KEYR31,CCB 9 Class 2 Key Register Word 31" rgroup.long (0x89004+0x7BC)++0x03 line.long 0x00 "C9FIFOSTA,CCB 9 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x89004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x89004+0x7CC)++0x03 line.long 0x00 "C9NFIFO,CCB 9 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x89004+0x7CC)++0x03 line.long 0x00 "C9NFIFO,CCB 9 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x89004+0x7DC)++0x03 line.long 0x00 "C9IFIFO,CCB 9 Input Data FIFO" rgroup.quad (0x89004+0x7EC)++0x07 line.quad 0x00 "C9OFIFO,CCB 9 Output Data FIFO" group.long (0x89004+0x7FC)++0x03 line.long 0x00 "D9JQCR_MS,DECO9 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x89004+0x800)++0x03 line.long 0x00 "D9JQCR_LS,DECO9 Job Queue Control Register" rgroup.quad (0x89004+0x804)++0x07 line.quad 0x00 "D9DAR,DECO9 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x89004+0x80C)++0x07 line.long 0x00 "D9OPSTA_MS,DECO9 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D9OPSTA_LS,DECO9 Operation Status Register" group.long (0x89004+0x814)++0x03 line.long 0x00 "D9CKSUMR,DECO9 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x89004+0x81C)++0x07 line.long 0x00 "D9COICIDSR,DECO9 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D9SIICIDSR,DECO9 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x89004++0x03 hide.long 0x00 "C9C1MR,CCB 9 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x89004++0x03 hide.long 0x00 "C9C1MR_PK,CCB 9 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x89004++0x03 hide.long 0x00 "C9C1MR_RNG,CCB 9 Class 1 Mode Register Format For RNG" hgroup.long (0x89004+0x08)++0x03 hide.long 0x00 "C9C1KSR,CCB 9 Class 1 Key Size Register" hgroup.quad (0x89004+0x0C)++0x07 hide.quad 0x00 "C9C1DSR,CCB 9 Class 1 Data Size Register" hgroup.long (0x89004+0x18)++0x03 hide.long 0x00 "C9C1ICVSR,CCB 9 Class 1 ICV Size Register" hgroup.long (0x89004+0x30)++0x03 hide.long 0x00 "C9CCTRL,CCB 9 CHA Control Register" hgroup.long (0x89004+0x3C)++0x03 hide.long 0x00 "C9ICTL,CCB 9 Interrupt Control Register" hgroup.long (0x89004+0x40)++0x03 hide.long 0x00 "C9CWR,CCB 9 Clear Written Register" hgroup.long (0x89004+0x44)++0x03 hide.long 0x00 "C9CSTA_MS,CCB 9 Status And Error Register" hgroup.long (0x89004+0x48)++0x03 hide.long 0x00 "C9CSTA_LS,CCB 9 Status And Error LS Register" hgroup.long (0x89004+0x58)++0x03 hide.long 0x00 "C9C1AADSZR,CCB 9 Class 1 AAD Size Register" hgroup.long (0x89004+0x60)++0x03 hide.long 0x00 "C9C1IVSZR,CCB 9 Class 1 IV Size Register" hgroup.long (0x89004+0x80)++0x03 hide.long 0x00 "C9PKASZR,PKHA A Size 9 Register" hgroup.long (0x89004+0x88)++0x03 hide.long 0x00 "C9PKBSZR,PKHA B Size 9 Register" hgroup.long (0x89004+0x90)++0x03 hide.long 0x00 "C9PKNSZR,PKHA N Size 9 Register" hgroup.long (0x89004+0x98)++0x03 hide.long 0x00 "C9PKESZR,PKHA E Size 9 Register" hgroup.long (0x89004+0xFC)++0x03 hide.long 0x00 "C9C1CTXR0,CCB 9 Class 1 Context Register Word 0" hgroup.long (0x89004+0xFC)++0x03 hide.long 0x00 "C9C1CTXR1,CCB 9 Class 1 Context Register Word 1" hgroup.long (0x89004+0x100)++0x03 hide.long 0x00 "C9C1CTXR2,CCB 9 Class 1 Context Register Word 2" hgroup.long (0x89004+0x104)++0x03 hide.long 0x00 "C9C1CTXR3,CCB 9 Class 1 Context Register Word 3" hgroup.long (0x89004+0x108)++0x03 hide.long 0x00 "C9C1CTXR4,CCB 9 Class 1 Context Register Word 4" hgroup.long (0x89004+0x10C)++0x03 hide.long 0x00 "C9C1CTXR5,CCB 9 Class 1 Context Register Word 5" hgroup.long (0x89004+0x110)++0x03 hide.long 0x00 "C9C1CTXR6,CCB 9 Class 1 Context Register Word 6" hgroup.long (0x89004+0x114)++0x03 hide.long 0x00 "C9C1CTXR7,CCB 9 Class 1 Context Register Word 7" hgroup.long (0x89004+0x118)++0x03 hide.long 0x00 "C9C1CTXR8,CCB 9 Class 1 Context Register Word 8" hgroup.long (0x89004+0x11C)++0x03 hide.long 0x00 "C9C1CTXR9,CCB 9 Class 1 Context Register Word 9" hgroup.long (0x89004+0x120)++0x03 hide.long 0x00 "C9C1CTXR10,CCB 9 Class 1 Context Register Word 10" hgroup.long (0x89004+0x124)++0x03 hide.long 0x00 "C9C1CTXR11,CCB 9 Class 1 Context Register Word 11" hgroup.long (0x89004+0x128)++0x03 hide.long 0x00 "C9C1CTXR12,CCB 9 Class 1 Context Register Word 12" hgroup.long (0x89004+0x12C)++0x03 hide.long 0x00 "C9C1CTXR13,CCB 9 Class 1 Context Register Word 13" hgroup.long (0x89004+0x130)++0x03 hide.long 0x00 "C9C1CTXR14,CCB 9 Class 1 Context Register Word 14" hgroup.long (0x89004+0x134)++0x03 hide.long 0x00 "C9C1CTXR15,CCB 9 Class 1 Context Register Word 15" hgroup.long (0x89004+0x1FC)++0x03 hide.long 0x00 "C9C1KR0,CCB 9 Class 1 Key Register Word 0" hgroup.long (0x89004+0x200)++0x03 hide.long 0x00 "C9C1KR1,CCB 9 Class 1 Key Register Word 1" hgroup.long (0x89004+0x204)++0x03 hide.long 0x00 "C9C1KR2,CCB 9 Class 1 Key Register Word 2" hgroup.long (0x89004+0x208)++0x03 hide.long 0x00 "C9C1KR3,CCB 9 Class 1 Key Register Word 3" hgroup.long (0x89004+0x20C)++0x03 hide.long 0x00 "C9C1KR4,CCB 9 Class 1 Key Register Word 4" hgroup.long (0x89004+0x210)++0x03 hide.long 0x00 "C9C1KR5,CCB 9 Class 1 Key Register Word 5" hgroup.long (0x89004+0x214)++0x03 hide.long 0x00 "C9C1KR6,CCB 9 Class 1 Key Register Word 6" hgroup.long (0x89004+0x218)++0x03 hide.long 0x00 "C9C1KR7,CCB 9 Class 1 Key Register Word 7" hgroup.long (0x89004+0x400)++0x03 hide.long 0x00 "C9C2MR,CCB 9 Class 2 Mode Register" hgroup.long (0x89004+0x408)++0x03 hide.long 0x00 "C9C2KSR,CCB 9 Class 2 Key Size Register" hgroup.quad (0x89004+0x40C)++0x07 hide.quad 0x00 "C9C2DSR,CCB 9 Class 2 Data Size Register" hgroup.long (0x89004+0x418)++0x03 hide.long 0x00 "C9C2ICVSZR,CCB 9 Class 2 ICV Size Register" hgroup.long (0x89004+0x454)++0x03 hide.long 0x00 "C9C2AADSZR,CCB 9 Class 2 AAD Size Register" hgroup.long (0x89004+0x4FC)++0x03 hide.long 0x00 "C9C2CTXR0,CCB 9 Class 2 Context Register Word 0" hgroup.long (0x89004+0x500)++0x03 hide.long 0x00 "C9C2CTXR1,CCB 9 Class 2 Context Register Word 1" hgroup.long (0x89004+0x504)++0x03 hide.long 0x00 "C9C2CTXR2,CCB 9 Class 2 Context Register Word 2" hgroup.long (0x89004+0x508)++0x03 hide.long 0x00 "C9C2CTXR3,CCB 9 Class 2 Context Register Word 3" hgroup.long (0x89004+0x50C)++0x03 hide.long 0x00 "C9C2CTXR4,CCB 9 Class 2 Context Register Word 4" hgroup.long (0x89004+0x510)++0x03 hide.long 0x00 "C9C2CTXR5,CCB 9 Class 2 Context Register Word 5" hgroup.long (0x89004+0x514)++0x03 hide.long 0x00 "C9C2CTXR6,CCB 9 Class 2 Context Register Word 6" hgroup.long (0x89004+0x518)++0x03 hide.long 0x00 "C9C2CTXR7,CCB 9 Class 2 Context Register Word 7" hgroup.long (0x89004+0x51C)++0x03 hide.long 0x00 "C9C2CTXR8,CCB 9 Class 2 Context Register Word 8" hgroup.long (0x89004+0x520)++0x03 hide.long 0x00 "C9C2CTXR9,CCB 9 Class 2 Context Register Word 9" hgroup.long (0x89004+0x524)++0x03 hide.long 0x00 "C9C2CTXR10,CCB 9 Class 2 Context Register Word 10" hgroup.long (0x89004+0x528)++0x03 hide.long 0x00 "C9C2CTXR11,CCB 9 Class 2 Context Register Word 11" hgroup.long (0x89004+0x52C)++0x03 hide.long 0x00 "C9C2CTXR12,CCB 9 Class 2 Context Register Word 12" hgroup.long (0x89004+0x530)++0x03 hide.long 0x00 "C9C2CTXR13,CCB 9 Class 2 Context Register Word 13" hgroup.long (0x89004+0x534)++0x03 hide.long 0x00 "C9C2CTXR14,CCB 9 Class 2 Context Register Word 14" hgroup.long (0x89004+0x538)++0x03 hide.long 0x00 "C9C2CTXR15,CCB 9 Class 2 Context Register Word 15" hgroup.long (0x89004+0x53C)++0x03 hide.long 0x00 "C9C2CTXR16,CCB 9 Class 2 Context Register Word 16" hgroup.long (0x89004+0x540)++0x03 hide.long 0x00 "C9C2CTXR17,CCB 9 Class 2 Context Register Word 17" hgroup.long (0x89004+0x5FC)++0x03 hide.long 0x00 "C9C2KEYR0,CCB 9 Class 2 Key Register Word 0" hgroup.long (0x89004+0x600)++0x03 hide.long 0x00 "C9C2KEYR1,CCB 9 Class 2 Key Register Word 1" hgroup.long (0x89004+0x604)++0x03 hide.long 0x00 "C9C2KEYR2,CCB 9 Class 2 Key Register Word 2" hgroup.long (0x89004+0x608)++0x03 hide.long 0x00 "C9C2KEYR3,CCB 9 Class 2 Key Register Word 3" hgroup.long (0x89004+0x60C)++0x03 hide.long 0x00 "C9C2KEYR4,CCB 9 Class 2 Key Register Word 4" hgroup.long (0x89004+0x610)++0x03 hide.long 0x00 "C9C2KEYR5,CCB 9 Class 2 Key Register Word 5" hgroup.long (0x89004+0x614)++0x03 hide.long 0x00 "C9C2KEYR6,CCB 9 Class 2 Key Register Word 6" hgroup.long (0x89004+0x618)++0x03 hide.long 0x00 "C9C2KEYR7,CCB 9 Class 2 Key Register Word 7" hgroup.long (0x89004+0x61C)++0x03 hide.long 0x00 "C9C2KEYR8,CCB 9 Class 2 Key Register Word 8" hgroup.long (0x89004+0x620)++0x03 hide.long 0x00 "C9C2KEYR9,CCB 9 Class 2 Key Register Word 9" hgroup.long (0x89004+0x624)++0x03 hide.long 0x00 "C9C2KEYR10,CCB 9 Class 2 Key Register Word 10" hgroup.long (0x89004+0x628)++0x03 hide.long 0x00 "C9C2KEYR11,CCB 9 Class 2 Key Register Word 11" hgroup.long (0x89004+0x62C)++0x03 hide.long 0x00 "C9C2KEYR12,CCB 9 Class 2 Key Register Word 12" hgroup.long (0x89004+0x630)++0x03 hide.long 0x00 "C9C2KEYR13,CCB 9 Class 2 Key Register Word 13" hgroup.long (0x89004+0x634)++0x03 hide.long 0x00 "C9C2KEYR14,CCB 9 Class 2 Key Register Word 14" hgroup.long (0x89004+0x638)++0x03 hide.long 0x00 "C9C2KEYR15,CCB 9 Class 2 Key Register Word 15" hgroup.long (0x89004+0x63C)++0x03 hide.long 0x00 "C9C2KEYR16,CCB 9 Class 2 Key Register Word 16" hgroup.long (0x89004+0x640)++0x03 hide.long 0x00 "C9C2KEYR17,CCB 9 Class 2 Key Register Word 17" hgroup.long (0x89004+0x644)++0x03 hide.long 0x00 "C9C2KEYR18,CCB 9 Class 2 Key Register Word 18" hgroup.long (0x89004+0x648)++0x03 hide.long 0x00 "C9C2KEYR19,CCB 9 Class 2 Key Register Word 19" hgroup.long (0x89004+0x64C)++0x03 hide.long 0x00 "C9C2KEYR20,CCB 9 Class 2 Key Register Word 20" hgroup.long (0x89004+0x650)++0x03 hide.long 0x00 "C9C2KEYR21,CCB 9 Class 2 Key Register Word 21" hgroup.long (0x89004+0x654)++0x03 hide.long 0x00 "C9C2KEYR22,CCB 9 Class 2 Key Register Word 22" hgroup.long (0x89004+0x658)++0x03 hide.long 0x00 "C9C2KEYR23,CCB 9 Class 2 Key Register Word 23" hgroup.long (0x89004+0x65C)++0x03 hide.long 0x00 "C9C2KEYR24,CCB 9 Class 2 Key Register Word 24" hgroup.long (0x89004+0x660)++0x03 hide.long 0x00 "C9C2KEYR25,CCB 9 Class 2 Key Register Word 25" hgroup.long (0x89004+0x664)++0x03 hide.long 0x00 "C9C2KEYR26,CCB 9 Class 2 Key Register Word 26" hgroup.long (0x89004+0x668)++0x03 hide.long 0x00 "C9C2KEYR27,CCB 9 Class 2 Key Register Word 27" hgroup.long (0x89004+0x66C)++0x03 hide.long 0x00 "C9C2KEYR28,CCB 9 Class 2 Key Register Word 28" hgroup.long (0x89004+0x670)++0x03 hide.long 0x00 "C9C2KEYR29,CCB 9 Class 2 Key Register Word 29" hgroup.long (0x89004+0x674)++0x03 hide.long 0x00 "C9C2KEYR30,CCB 9 Class 2 Key Register Word 30" hgroup.long (0x89004+0x678)++0x03 hide.long 0x00 "C9C2KEYR31,CCB 9 Class 2 Key Register Word 31" hgroup.long (0x89004+0x7BC)++0x03 hide.long 0x00 "C9FIFOSTA,CCB 9 FIFO Status Register" hgroup.long (0x89004+0x7CC)++0x03 hide.long 0x00 "C9NFIFO,CCB 9 Information FIFO Register" hgroup.long (0x89004+0x7DC)++0x03 hide.long 0x00 "C9IFIFO,CCB 9 Input Data FIFO" hgroup.quad (0x89004+0x7EC)++0x07 hide.quad 0x00 "C9OFIFO,CCB 9 Output Data FIFO" hgroup.long (0x89004+0x7FC)++0x03 hide.long 0x00 "D9JQCR_MS,DECO9 Job Queue Control Register" hgroup.long (0x89004+0x800)++0x03 hide.long 0x00 "D9JQCR_LS,DECO9 Job Queue Control Register" hgroup.quad (0x89004+0x804)++0x07 hide.quad 0x00 "D9DAR,DECO9 Descriptor Address Register" hgroup.long (0x89004+0x80C)++0x03 hide.long 0x00 "D9OPSTA_MS,DECO9 Operation Status MS Register" hgroup.long (0x89004+0x810)++0x03 hide.long 0x00 "D9OPSTA_LS,DECO9 Operation Status Register" hgroup.long (0x89004+0x814)++0x03 hide.long 0x00 "D9CKSUMR,DECO9 Checksum Register" hgroup.long (0x89004+0x81C)++0x03 hide.long 0x00 "D9COICIDSR,DECO9 Control And Output ICID Status Register" hgroup.long (0x89004+0x820)++0x03 hide.long 0x00 "D9SIICIDSR,DECO9 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<10.)==(0x10001<<10.)) group.long 0x8A004++0x03 "CCB 10" line.long 0x00 "C10C1MR,CCB 10 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x8A004++0x03 line.long 0x00 "C10C1MR_PK,CCB 10 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x8A004++0x03 line.long 0x00 "C10C1MR_RNG,CCB 10 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x8A004+0x08)++0x03 line.long 0x00 "C10C1KSR,CCB 10 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x8A004+0x0C)++0x07 line.quad 0x00 "C10C1DSR,CCB 10 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x8A004+0x18)++0x03 line.long 0x00 "C10C1ICVSR,CCB 10 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x8A004+0x30)++0x03 line.long 0x00 "C10CCTRL,CCB 10 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x8A004+0x3C)++0x03 line.long 0x00 "C10ICTL,CCB 10 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x8A004+0x40)++0x03 line.long 0x00 "C10CWR,CCB 10 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x8A004+0x44)++0x07 line.long 0x00 "C10CSTA_MS,CCB 10 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C10CSTA_LS,CCB 10 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x8A004+0x58)++0x03 line.long 0x00 "C10C1AADSZR,CCB 10 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8A004+0x60)++0x03 line.long 0x00 "C10C1IVSZR,CCB 10 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8A004+0x80)++0x03 line.long 0x00 "C10PKASZR,PKHA A Size 10 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x8A004+0x88)++0x03 line.long 0x00 "C10PKBSZR,PKHA B Size 10 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x8A004+0x90)++0x03 line.long 0x00 "C10PKNSZR,PKHA N Size 10 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x8A004+0x98)++0x03 line.long 0x00 "C10PKESZR,PKHA E Size 10 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x8A004+0xFC)++0x3F line.long 0x00 "C10C1CTXR0,CCB 10 Class 1 Context Register Word 0" line.long 0x04 "C10C1CTXR1,CCB 10 Class 1 Context Register Word 1" line.long 0x08 "C10C1CTXR2,CCB 10 Class 1 Context Register Word 2" line.long 0x0C "C10C1CTXR3,CCB 10 Class 1 Context Register Word 3" line.long 0x10 "C10C1CTXR4,CCB 10 Class 1 Context Register Word 4" line.long 0x14 "C10C1CTXR5,CCB 10 Class 1 Context Register Word 5" line.long 0x18 "C10C1CTXR6,CCB 10 Class 1 Context Register Word 6" line.long 0x1C "C10C1CTXR7,CCB 10 Class 1 Context Register Word 7" line.long 0x20 "C10C1CTXR8,CCB 10 Class 1 Context Register Word 8" line.long 0x24 "C10C1CTXR9,CCB 10 Class 1 Context Register Word 9" line.long 0x28 "C10C1CTXR10,CCB 10 Class 1 Context Register Word 10" line.long 0x2C "C10C1CTXR11,CCB 10 Class 1 Context Register Word 11" line.long 0x30 "C10C1CTXR12,CCB 10 Class 1 Context Register Word 12" line.long 0x34 "C10C1CTXR13,CCB 10 Class 1 Context Register Word 13" line.long 0x38 "C10C1CTXR14,CCB 10 Class 1 Context Register Word 14" line.long 0x3C "C10C1CTXR15,CCB 10 Class 1 Context Register Word 15" group.long (0x8A004+0x1FC)++0x1F line.long 0x00 "C10C1KR0,CCB 10 Class 1 Key Register Word 0" line.long 0x04 "C10C1KR1,CCB 10 Class 1 Key Register Word 1" line.long 0x08 "C10C1KR2,CCB 10 Class 1 Key Register Word 2" line.long 0x0C "C10C1KR3,CCB 10 Class 1 Key Register Word 3" line.long 0x10 "C10C1KR4,CCB 10 Class 1 Key Register Word 4" line.long 0x14 "C10C1KR5,CCB 10 Class 1 Key Register Word 5" line.long 0x18 "C10C1KR6,CCB 10 Class 1 Key Register Word 6" line.long 0x1C "C10C1KR7,CCB 10 Class 1 Key Register Word 7" group.long (0x8A004+0x400)++0x03 line.long 0x00 "C10C2MR,CCB 10 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x8A004+0x408)++0x03 line.long 0x00 "C10C2KSR,CCB 10 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x8A004+0x40C)++0x07 line.quad 0x00 "C10C2DSR,CCB 10 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x8A004+0x418)++0x03 line.long 0x00 "C10C2ICVSZR,CCB 10 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8A004+0x454)++0x03 line.long 0x00 "C10C2AADSZR,CCB 10 Class 2 AAD Size Register" group.long (0x8A004+0x4FC)++0x47 line.long 0x00 "C10C2CTXR0,CCB 10 Class 2 Context Register Word 0" line.long 0x04 "C10C2CTXR1,CCB 10 Class 2 Context Register Word 1" line.long 0x08 "C10C2CTXR2,CCB 10 Class 2 Context Register Word 2" line.long 0x0C "C10C2CTXR3,CCB 10 Class 2 Context Register Word 3" line.long 0x10 "C10C2CTXR4,CCB 10 Class 2 Context Register Word 4" line.long 0x14 "C10C2CTXR5,CCB 10 Class 2 Context Register Word 5" line.long 0x18 "C10C2CTXR6,CCB 10 Class 2 Context Register Word 6" line.long 0x1C "C10C2CTXR7,CCB 10 Class 2 Context Register Word 7" line.long 0x20 "C10C2CTXR8,CCB 10 Class 2 Context Register Word 8" line.long 0x24 "C10C2CTXR9,CCB 10 Class 2 Context Register Word 9" line.long 0x28 "C10C2CTXR10,CCB 10 Class 2 Context Register Word 10" line.long 0x2C "C10C2CTXR11,CCB 10 Class 2 Context Register Word 11" line.long 0x30 "C10C2CTXR12,CCB 10 Class 2 Context Register Word 12" line.long 0x34 "C10C2CTXR13,CCB 10 Class 2 Context Register Word 13" line.long 0x38 "C10C2CTXR14,CCB 10 Class 2 Context Register Word 14" line.long 0x3C "C10C2CTXR15,CCB 10 Class 2 Context Register Word 15" line.long 0x40 "C10C2CTXR16,CCB 10 Class 2 Context Register Word 16" line.long 0x44 "C10C2CTXR17,CCB 10 Class 2 Context Register Word 17" group.long (0x8A004+0x5FC)++0x7F line.long 0x00 "C10C2KEYR0,CCB 10 Class 2 Key Register Word 0" line.long 0x04 "C10C2KEYR1,CCB 10 Class 2 Key Register Word 1" line.long 0x08 "C10C2KEYR2,CCB 10 Class 2 Key Register Word 2" line.long 0x0C "C10C2KEYR3,CCB 10 Class 2 Key Register Word 3" line.long 0x10 "C10C2KEYR4,CCB 10 Class 2 Key Register Word 4" line.long 0x14 "C10C2KEYR5,CCB 10 Class 2 Key Register Word 5" line.long 0x18 "C10C2KEYR6,CCB 10 Class 2 Key Register Word 6" line.long 0x1C "C10C2KEYR7,CCB 10 Class 2 Key Register Word 7" line.long 0x20 "C10C2KEYR8,CCB 10 Class 2 Key Register Word 8" line.long 0x24 "C10C2KEYR9,CCB 10 Class 2 Key Register Word 9" line.long 0x28 "C10C2KEYR10,CCB 10 Class 2 Key Register Word 10" line.long 0x2C "C10C2KEYR11,CCB 10 Class 2 Key Register Word 11" line.long 0x30 "C10C2KEYR12,CCB 10 Class 2 Key Register Word 12" line.long 0x34 "C10C2KEYR13,CCB 10 Class 2 Key Register Word 13" line.long 0x38 "C10C2KEYR14,CCB 10 Class 2 Key Register Word 14" line.long 0x3C "C10C2KEYR15,CCB 10 Class 2 Key Register Word 15" line.long 0x40 "C10C2KEYR16,CCB 10 Class 2 Key Register Word 16" line.long 0x44 "C10C2KEYR17,CCB 10 Class 2 Key Register Word 17" line.long 0x48 "C10C2KEYR18,CCB 10 Class 2 Key Register Word 18" line.long 0x4C "C10C2KEYR19,CCB 10 Class 2 Key Register Word 19" line.long 0x50 "C10C2KEYR20,CCB 10 Class 2 Key Register Word 20" line.long 0x54 "C10C2KEYR21,CCB 10 Class 2 Key Register Word 21" line.long 0x58 "C10C2KEYR22,CCB 10 Class 2 Key Register Word 22" line.long 0x5C "C10C2KEYR23,CCB 10 Class 2 Key Register Word 23" line.long 0x60 "C10C2KEYR24,CCB 10 Class 2 Key Register Word 24" line.long 0x64 "C10C2KEYR25,CCB 10 Class 2 Key Register Word 25" line.long 0x68 "C10C2KEYR26,CCB 10 Class 2 Key Register Word 26" line.long 0x6C "C10C2KEYR27,CCB 10 Class 2 Key Register Word 27" line.long 0x70 "C10C2KEYR28,CCB 10 Class 2 Key Register Word 28" line.long 0x74 "C10C2KEYR29,CCB 10 Class 2 Key Register Word 29" line.long 0x78 "C10C2KEYR30,CCB 10 Class 2 Key Register Word 30" line.long 0x7C "C10C2KEYR31,CCB 10 Class 2 Key Register Word 31" rgroup.long (0x8A004+0x7BC)++0x03 line.long 0x00 "C10FIFOSTA,CCB 10 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x8A004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x8A004+0x7CC)++0x03 line.long 0x00 "C10NFIFO,CCB 10 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x8A004+0x7CC)++0x03 line.long 0x00 "C10NFIFO,CCB 10 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x8A004+0x7DC)++0x03 line.long 0x00 "C10IFIFO,CCB 10 Input Data FIFO" rgroup.quad (0x8A004+0x7EC)++0x07 line.quad 0x00 "C10OFIFO,CCB 10 Output Data FIFO" group.long (0x8A004+0x7FC)++0x03 line.long 0x00 "D10JQCR_MS,DECO10 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x8A004+0x800)++0x03 line.long 0x00 "D10JQCR_LS,DECO10 Job Queue Control Register" rgroup.quad (0x8A004+0x804)++0x07 line.quad 0x00 "D10DAR,DECO10 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x8A004+0x80C)++0x07 line.long 0x00 "D10OPSTA_MS,DECO10 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D10OPSTA_LS,DECO10 Operation Status Register" group.long (0x8A004+0x814)++0x03 line.long 0x00 "D10CKSUMR,DECO10 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x8A004+0x81C)++0x07 line.long 0x00 "D10COICIDSR,DECO10 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D10SIICIDSR,DECO10 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x8A004++0x03 hide.long 0x00 "C10C1MR,CCB 10 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x8A004++0x03 hide.long 0x00 "C10C1MR_PK,CCB 10 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x8A004++0x03 hide.long 0x00 "C10C1MR_RNG,CCB 10 Class 1 Mode Register Format For RNG" hgroup.long (0x8A004+0x08)++0x03 hide.long 0x00 "C10C1KSR,CCB 10 Class 1 Key Size Register" hgroup.quad (0x8A004+0x0C)++0x07 hide.quad 0x00 "C10C1DSR,CCB 10 Class 1 Data Size Register" hgroup.long (0x8A004+0x18)++0x03 hide.long 0x00 "C10C1ICVSR,CCB 10 Class 1 ICV Size Register" hgroup.long (0x8A004+0x30)++0x03 hide.long 0x00 "C10CCTRL,CCB 10 CHA Control Register" hgroup.long (0x8A004+0x3C)++0x03 hide.long 0x00 "C10ICTL,CCB 10 Interrupt Control Register" hgroup.long (0x8A004+0x40)++0x03 hide.long 0x00 "C10CWR,CCB 10 Clear Written Register" hgroup.long (0x8A004+0x44)++0x03 hide.long 0x00 "C10CSTA_MS,CCB 10 Status And Error Register" hgroup.long (0x8A004+0x48)++0x03 hide.long 0x00 "C10CSTA_LS,CCB 10 Status And Error LS Register" hgroup.long (0x8A004+0x58)++0x03 hide.long 0x00 "C10C1AADSZR,CCB 10 Class 1 AAD Size Register" hgroup.long (0x8A004+0x60)++0x03 hide.long 0x00 "C10C1IVSZR,CCB 10 Class 1 IV Size Register" hgroup.long (0x8A004+0x80)++0x03 hide.long 0x00 "C10PKASZR,PKHA A Size 10 Register" hgroup.long (0x8A004+0x88)++0x03 hide.long 0x00 "C10PKBSZR,PKHA B Size 10 Register" hgroup.long (0x8A004+0x90)++0x03 hide.long 0x00 "C10PKNSZR,PKHA N Size 10 Register" hgroup.long (0x8A004+0x98)++0x03 hide.long 0x00 "C10PKESZR,PKHA E Size 10 Register" hgroup.long (0x8A004+0xFC)++0x03 hide.long 0x00 "C10C1CTXR0,CCB 10 Class 1 Context Register Word 0" hgroup.long (0x8A004+0xFC)++0x03 hide.long 0x00 "C10C1CTXR1,CCB 10 Class 1 Context Register Word 1" hgroup.long (0x8A004+0x100)++0x03 hide.long 0x00 "C10C1CTXR2,CCB 10 Class 1 Context Register Word 2" hgroup.long (0x8A004+0x104)++0x03 hide.long 0x00 "C10C1CTXR3,CCB 10 Class 1 Context Register Word 3" hgroup.long (0x8A004+0x108)++0x03 hide.long 0x00 "C10C1CTXR4,CCB 10 Class 1 Context Register Word 4" hgroup.long (0x8A004+0x10C)++0x03 hide.long 0x00 "C10C1CTXR5,CCB 10 Class 1 Context Register Word 5" hgroup.long (0x8A004+0x110)++0x03 hide.long 0x00 "C10C1CTXR6,CCB 10 Class 1 Context Register Word 6" hgroup.long (0x8A004+0x114)++0x03 hide.long 0x00 "C10C1CTXR7,CCB 10 Class 1 Context Register Word 7" hgroup.long (0x8A004+0x118)++0x03 hide.long 0x00 "C10C1CTXR8,CCB 10 Class 1 Context Register Word 8" hgroup.long (0x8A004+0x11C)++0x03 hide.long 0x00 "C10C1CTXR9,CCB 10 Class 1 Context Register Word 9" hgroup.long (0x8A004+0x120)++0x03 hide.long 0x00 "C10C1CTXR10,CCB 10 Class 1 Context Register Word 10" hgroup.long (0x8A004+0x124)++0x03 hide.long 0x00 "C10C1CTXR11,CCB 10 Class 1 Context Register Word 11" hgroup.long (0x8A004+0x128)++0x03 hide.long 0x00 "C10C1CTXR12,CCB 10 Class 1 Context Register Word 12" hgroup.long (0x8A004+0x12C)++0x03 hide.long 0x00 "C10C1CTXR13,CCB 10 Class 1 Context Register Word 13" hgroup.long (0x8A004+0x130)++0x03 hide.long 0x00 "C10C1CTXR14,CCB 10 Class 1 Context Register Word 14" hgroup.long (0x8A004+0x134)++0x03 hide.long 0x00 "C10C1CTXR15,CCB 10 Class 1 Context Register Word 15" hgroup.long (0x8A004+0x1FC)++0x03 hide.long 0x00 "C10C1KR0,CCB 10 Class 1 Key Register Word 0" hgroup.long (0x8A004+0x200)++0x03 hide.long 0x00 "C10C1KR1,CCB 10 Class 1 Key Register Word 1" hgroup.long (0x8A004+0x204)++0x03 hide.long 0x00 "C10C1KR2,CCB 10 Class 1 Key Register Word 2" hgroup.long (0x8A004+0x208)++0x03 hide.long 0x00 "C10C1KR3,CCB 10 Class 1 Key Register Word 3" hgroup.long (0x8A004+0x20C)++0x03 hide.long 0x00 "C10C1KR4,CCB 10 Class 1 Key Register Word 4" hgroup.long (0x8A004+0x210)++0x03 hide.long 0x00 "C10C1KR5,CCB 10 Class 1 Key Register Word 5" hgroup.long (0x8A004+0x214)++0x03 hide.long 0x00 "C10C1KR6,CCB 10 Class 1 Key Register Word 6" hgroup.long (0x8A004+0x218)++0x03 hide.long 0x00 "C10C1KR7,CCB 10 Class 1 Key Register Word 7" hgroup.long (0x8A004+0x400)++0x03 hide.long 0x00 "C10C2MR,CCB 10 Class 2 Mode Register" hgroup.long (0x8A004+0x408)++0x03 hide.long 0x00 "C10C2KSR,CCB 10 Class 2 Key Size Register" hgroup.quad (0x8A004+0x40C)++0x07 hide.quad 0x00 "C10C2DSR,CCB 10 Class 2 Data Size Register" hgroup.long (0x8A004+0x418)++0x03 hide.long 0x00 "C10C2ICVSZR,CCB 10 Class 2 ICV Size Register" hgroup.long (0x8A004+0x454)++0x03 hide.long 0x00 "C10C2AADSZR,CCB 10 Class 2 AAD Size Register" hgroup.long (0x8A004+0x4FC)++0x03 hide.long 0x00 "C10C2CTXR0,CCB 10 Class 2 Context Register Word 0" hgroup.long (0x8A004+0x500)++0x03 hide.long 0x00 "C10C2CTXR1,CCB 10 Class 2 Context Register Word 1" hgroup.long (0x8A004+0x504)++0x03 hide.long 0x00 "C10C2CTXR2,CCB 10 Class 2 Context Register Word 2" hgroup.long (0x8A004+0x508)++0x03 hide.long 0x00 "C10C2CTXR3,CCB 10 Class 2 Context Register Word 3" hgroup.long (0x8A004+0x50C)++0x03 hide.long 0x00 "C10C2CTXR4,CCB 10 Class 2 Context Register Word 4" hgroup.long (0x8A004+0x510)++0x03 hide.long 0x00 "C10C2CTXR5,CCB 10 Class 2 Context Register Word 5" hgroup.long (0x8A004+0x514)++0x03 hide.long 0x00 "C10C2CTXR6,CCB 10 Class 2 Context Register Word 6" hgroup.long (0x8A004+0x518)++0x03 hide.long 0x00 "C10C2CTXR7,CCB 10 Class 2 Context Register Word 7" hgroup.long (0x8A004+0x51C)++0x03 hide.long 0x00 "C10C2CTXR8,CCB 10 Class 2 Context Register Word 8" hgroup.long (0x8A004+0x520)++0x03 hide.long 0x00 "C10C2CTXR9,CCB 10 Class 2 Context Register Word 9" hgroup.long (0x8A004+0x524)++0x03 hide.long 0x00 "C10C2CTXR10,CCB 10 Class 2 Context Register Word 10" hgroup.long (0x8A004+0x528)++0x03 hide.long 0x00 "C10C2CTXR11,CCB 10 Class 2 Context Register Word 11" hgroup.long (0x8A004+0x52C)++0x03 hide.long 0x00 "C10C2CTXR12,CCB 10 Class 2 Context Register Word 12" hgroup.long (0x8A004+0x530)++0x03 hide.long 0x00 "C10C2CTXR13,CCB 10 Class 2 Context Register Word 13" hgroup.long (0x8A004+0x534)++0x03 hide.long 0x00 "C10C2CTXR14,CCB 10 Class 2 Context Register Word 14" hgroup.long (0x8A004+0x538)++0x03 hide.long 0x00 "C10C2CTXR15,CCB 10 Class 2 Context Register Word 15" hgroup.long (0x8A004+0x53C)++0x03 hide.long 0x00 "C10C2CTXR16,CCB 10 Class 2 Context Register Word 16" hgroup.long (0x8A004+0x540)++0x03 hide.long 0x00 "C10C2CTXR17,CCB 10 Class 2 Context Register Word 17" hgroup.long (0x8A004+0x5FC)++0x03 hide.long 0x00 "C10C2KEYR0,CCB 10 Class 2 Key Register Word 0" hgroup.long (0x8A004+0x600)++0x03 hide.long 0x00 "C10C2KEYR1,CCB 10 Class 2 Key Register Word 1" hgroup.long (0x8A004+0x604)++0x03 hide.long 0x00 "C10C2KEYR2,CCB 10 Class 2 Key Register Word 2" hgroup.long (0x8A004+0x608)++0x03 hide.long 0x00 "C10C2KEYR3,CCB 10 Class 2 Key Register Word 3" hgroup.long (0x8A004+0x60C)++0x03 hide.long 0x00 "C10C2KEYR4,CCB 10 Class 2 Key Register Word 4" hgroup.long (0x8A004+0x610)++0x03 hide.long 0x00 "C10C2KEYR5,CCB 10 Class 2 Key Register Word 5" hgroup.long (0x8A004+0x614)++0x03 hide.long 0x00 "C10C2KEYR6,CCB 10 Class 2 Key Register Word 6" hgroup.long (0x8A004+0x618)++0x03 hide.long 0x00 "C10C2KEYR7,CCB 10 Class 2 Key Register Word 7" hgroup.long (0x8A004+0x61C)++0x03 hide.long 0x00 "C10C2KEYR8,CCB 10 Class 2 Key Register Word 8" hgroup.long (0x8A004+0x620)++0x03 hide.long 0x00 "C10C2KEYR9,CCB 10 Class 2 Key Register Word 9" hgroup.long (0x8A004+0x624)++0x03 hide.long 0x00 "C10C2KEYR10,CCB 10 Class 2 Key Register Word 10" hgroup.long (0x8A004+0x628)++0x03 hide.long 0x00 "C10C2KEYR11,CCB 10 Class 2 Key Register Word 11" hgroup.long (0x8A004+0x62C)++0x03 hide.long 0x00 "C10C2KEYR12,CCB 10 Class 2 Key Register Word 12" hgroup.long (0x8A004+0x630)++0x03 hide.long 0x00 "C10C2KEYR13,CCB 10 Class 2 Key Register Word 13" hgroup.long (0x8A004+0x634)++0x03 hide.long 0x00 "C10C2KEYR14,CCB 10 Class 2 Key Register Word 14" hgroup.long (0x8A004+0x638)++0x03 hide.long 0x00 "C10C2KEYR15,CCB 10 Class 2 Key Register Word 15" hgroup.long (0x8A004+0x63C)++0x03 hide.long 0x00 "C10C2KEYR16,CCB 10 Class 2 Key Register Word 16" hgroup.long (0x8A004+0x640)++0x03 hide.long 0x00 "C10C2KEYR17,CCB 10 Class 2 Key Register Word 17" hgroup.long (0x8A004+0x644)++0x03 hide.long 0x00 "C10C2KEYR18,CCB 10 Class 2 Key Register Word 18" hgroup.long (0x8A004+0x648)++0x03 hide.long 0x00 "C10C2KEYR19,CCB 10 Class 2 Key Register Word 19" hgroup.long (0x8A004+0x64C)++0x03 hide.long 0x00 "C10C2KEYR20,CCB 10 Class 2 Key Register Word 20" hgroup.long (0x8A004+0x650)++0x03 hide.long 0x00 "C10C2KEYR21,CCB 10 Class 2 Key Register Word 21" hgroup.long (0x8A004+0x654)++0x03 hide.long 0x00 "C10C2KEYR22,CCB 10 Class 2 Key Register Word 22" hgroup.long (0x8A004+0x658)++0x03 hide.long 0x00 "C10C2KEYR23,CCB 10 Class 2 Key Register Word 23" hgroup.long (0x8A004+0x65C)++0x03 hide.long 0x00 "C10C2KEYR24,CCB 10 Class 2 Key Register Word 24" hgroup.long (0x8A004+0x660)++0x03 hide.long 0x00 "C10C2KEYR25,CCB 10 Class 2 Key Register Word 25" hgroup.long (0x8A004+0x664)++0x03 hide.long 0x00 "C10C2KEYR26,CCB 10 Class 2 Key Register Word 26" hgroup.long (0x8A004+0x668)++0x03 hide.long 0x00 "C10C2KEYR27,CCB 10 Class 2 Key Register Word 27" hgroup.long (0x8A004+0x66C)++0x03 hide.long 0x00 "C10C2KEYR28,CCB 10 Class 2 Key Register Word 28" hgroup.long (0x8A004+0x670)++0x03 hide.long 0x00 "C10C2KEYR29,CCB 10 Class 2 Key Register Word 29" hgroup.long (0x8A004+0x674)++0x03 hide.long 0x00 "C10C2KEYR30,CCB 10 Class 2 Key Register Word 30" hgroup.long (0x8A004+0x678)++0x03 hide.long 0x00 "C10C2KEYR31,CCB 10 Class 2 Key Register Word 31" hgroup.long (0x8A004+0x7BC)++0x03 hide.long 0x00 "C10FIFOSTA,CCB 10 FIFO Status Register" hgroup.long (0x8A004+0x7CC)++0x03 hide.long 0x00 "C10NFIFO,CCB 10 Information FIFO Register" hgroup.long (0x8A004+0x7DC)++0x03 hide.long 0x00 "C10IFIFO,CCB 10 Input Data FIFO" hgroup.quad (0x8A004+0x7EC)++0x07 hide.quad 0x00 "C10OFIFO,CCB 10 Output Data FIFO" hgroup.long (0x8A004+0x7FC)++0x03 hide.long 0x00 "D10JQCR_MS,DECO10 Job Queue Control Register" hgroup.long (0x8A004+0x800)++0x03 hide.long 0x00 "D10JQCR_LS,DECO10 Job Queue Control Register" hgroup.quad (0x8A004+0x804)++0x07 hide.quad 0x00 "D10DAR,DECO10 Descriptor Address Register" hgroup.long (0x8A004+0x80C)++0x03 hide.long 0x00 "D10OPSTA_MS,DECO10 Operation Status MS Register" hgroup.long (0x8A004+0x810)++0x03 hide.long 0x00 "D10OPSTA_LS,DECO10 Operation Status Register" hgroup.long (0x8A004+0x814)++0x03 hide.long 0x00 "D10CKSUMR,DECO10 Checksum Register" hgroup.long (0x8A004+0x81C)++0x03 hide.long 0x00 "D10COICIDSR,DECO10 Control And Output ICID Status Register" hgroup.long (0x8A004+0x820)++0x03 hide.long 0x00 "D10SIICIDSR,DECO10 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<11.)==(0x10001<<11.)) group.long 0x8B004++0x03 "CCB 11" line.long 0x00 "C11C1MR,CCB 11 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x8B004++0x03 line.long 0x00 "C11C1MR_PK,CCB 11 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x8B004++0x03 line.long 0x00 "C11C1MR_RNG,CCB 11 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x8B004+0x08)++0x03 line.long 0x00 "C11C1KSR,CCB 11 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x8B004+0x0C)++0x07 line.quad 0x00 "C11C1DSR,CCB 11 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x8B004+0x18)++0x03 line.long 0x00 "C11C1ICVSR,CCB 11 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x8B004+0x30)++0x03 line.long 0x00 "C11CCTRL,CCB 11 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x8B004+0x3C)++0x03 line.long 0x00 "C11ICTL,CCB 11 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x8B004+0x40)++0x03 line.long 0x00 "C11CWR,CCB 11 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x8B004+0x44)++0x07 line.long 0x00 "C11CSTA_MS,CCB 11 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C11CSTA_LS,CCB 11 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x8B004+0x58)++0x03 line.long 0x00 "C11C1AADSZR,CCB 11 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8B004+0x60)++0x03 line.long 0x00 "C11C1IVSZR,CCB 11 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8B004+0x80)++0x03 line.long 0x00 "C11PKASZR,PKHA A Size 11 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x8B004+0x88)++0x03 line.long 0x00 "C11PKBSZR,PKHA B Size 11 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x8B004+0x90)++0x03 line.long 0x00 "C11PKNSZR,PKHA N Size 11 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x8B004+0x98)++0x03 line.long 0x00 "C11PKESZR,PKHA E Size 11 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x8B004+0xFC)++0x3F line.long 0x00 "C11C1CTXR0,CCB 11 Class 1 Context Register Word 0" line.long 0x04 "C11C1CTXR1,CCB 11 Class 1 Context Register Word 1" line.long 0x08 "C11C1CTXR2,CCB 11 Class 1 Context Register Word 2" line.long 0x0C "C11C1CTXR3,CCB 11 Class 1 Context Register Word 3" line.long 0x10 "C11C1CTXR4,CCB 11 Class 1 Context Register Word 4" line.long 0x14 "C11C1CTXR5,CCB 11 Class 1 Context Register Word 5" line.long 0x18 "C11C1CTXR6,CCB 11 Class 1 Context Register Word 6" line.long 0x1C "C11C1CTXR7,CCB 11 Class 1 Context Register Word 7" line.long 0x20 "C11C1CTXR8,CCB 11 Class 1 Context Register Word 8" line.long 0x24 "C11C1CTXR9,CCB 11 Class 1 Context Register Word 9" line.long 0x28 "C11C1CTXR10,CCB 11 Class 1 Context Register Word 10" line.long 0x2C "C11C1CTXR11,CCB 11 Class 1 Context Register Word 11" line.long 0x30 "C11C1CTXR12,CCB 11 Class 1 Context Register Word 12" line.long 0x34 "C11C1CTXR13,CCB 11 Class 1 Context Register Word 13" line.long 0x38 "C11C1CTXR14,CCB 11 Class 1 Context Register Word 14" line.long 0x3C "C11C1CTXR15,CCB 11 Class 1 Context Register Word 15" group.long (0x8B004+0x1FC)++0x1F line.long 0x00 "C11C1KR0,CCB 11 Class 1 Key Register Word 0" line.long 0x04 "C11C1KR1,CCB 11 Class 1 Key Register Word 1" line.long 0x08 "C11C1KR2,CCB 11 Class 1 Key Register Word 2" line.long 0x0C "C11C1KR3,CCB 11 Class 1 Key Register Word 3" line.long 0x10 "C11C1KR4,CCB 11 Class 1 Key Register Word 4" line.long 0x14 "C11C1KR5,CCB 11 Class 1 Key Register Word 5" line.long 0x18 "C11C1KR6,CCB 11 Class 1 Key Register Word 6" line.long 0x1C "C11C1KR7,CCB 11 Class 1 Key Register Word 7" group.long (0x8B004+0x400)++0x03 line.long 0x00 "C11C2MR,CCB 11 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x8B004+0x408)++0x03 line.long 0x00 "C11C2KSR,CCB 11 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x8B004+0x40C)++0x07 line.quad 0x00 "C11C2DSR,CCB 11 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x8B004+0x418)++0x03 line.long 0x00 "C11C2ICVSZR,CCB 11 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8B004+0x454)++0x03 line.long 0x00 "C11C2AADSZR,CCB 11 Class 2 AAD Size Register" group.long (0x8B004+0x4FC)++0x47 line.long 0x00 "C11C2CTXR0,CCB 11 Class 2 Context Register Word 0" line.long 0x04 "C11C2CTXR1,CCB 11 Class 2 Context Register Word 1" line.long 0x08 "C11C2CTXR2,CCB 11 Class 2 Context Register Word 2" line.long 0x0C "C11C2CTXR3,CCB 11 Class 2 Context Register Word 3" line.long 0x10 "C11C2CTXR4,CCB 11 Class 2 Context Register Word 4" line.long 0x14 "C11C2CTXR5,CCB 11 Class 2 Context Register Word 5" line.long 0x18 "C11C2CTXR6,CCB 11 Class 2 Context Register Word 6" line.long 0x1C "C11C2CTXR7,CCB 11 Class 2 Context Register Word 7" line.long 0x20 "C11C2CTXR8,CCB 11 Class 2 Context Register Word 8" line.long 0x24 "C11C2CTXR9,CCB 11 Class 2 Context Register Word 9" line.long 0x28 "C11C2CTXR10,CCB 11 Class 2 Context Register Word 10" line.long 0x2C "C11C2CTXR11,CCB 11 Class 2 Context Register Word 11" line.long 0x30 "C11C2CTXR12,CCB 11 Class 2 Context Register Word 12" line.long 0x34 "C11C2CTXR13,CCB 11 Class 2 Context Register Word 13" line.long 0x38 "C11C2CTXR14,CCB 11 Class 2 Context Register Word 14" line.long 0x3C "C11C2CTXR15,CCB 11 Class 2 Context Register Word 15" line.long 0x40 "C11C2CTXR16,CCB 11 Class 2 Context Register Word 16" line.long 0x44 "C11C2CTXR17,CCB 11 Class 2 Context Register Word 17" group.long (0x8B004+0x5FC)++0x7F line.long 0x00 "C11C2KEYR0,CCB 11 Class 2 Key Register Word 0" line.long 0x04 "C11C2KEYR1,CCB 11 Class 2 Key Register Word 1" line.long 0x08 "C11C2KEYR2,CCB 11 Class 2 Key Register Word 2" line.long 0x0C "C11C2KEYR3,CCB 11 Class 2 Key Register Word 3" line.long 0x10 "C11C2KEYR4,CCB 11 Class 2 Key Register Word 4" line.long 0x14 "C11C2KEYR5,CCB 11 Class 2 Key Register Word 5" line.long 0x18 "C11C2KEYR6,CCB 11 Class 2 Key Register Word 6" line.long 0x1C "C11C2KEYR7,CCB 11 Class 2 Key Register Word 7" line.long 0x20 "C11C2KEYR8,CCB 11 Class 2 Key Register Word 8" line.long 0x24 "C11C2KEYR9,CCB 11 Class 2 Key Register Word 9" line.long 0x28 "C11C2KEYR10,CCB 11 Class 2 Key Register Word 10" line.long 0x2C "C11C2KEYR11,CCB 11 Class 2 Key Register Word 11" line.long 0x30 "C11C2KEYR12,CCB 11 Class 2 Key Register Word 12" line.long 0x34 "C11C2KEYR13,CCB 11 Class 2 Key Register Word 13" line.long 0x38 "C11C2KEYR14,CCB 11 Class 2 Key Register Word 14" line.long 0x3C "C11C2KEYR15,CCB 11 Class 2 Key Register Word 15" line.long 0x40 "C11C2KEYR16,CCB 11 Class 2 Key Register Word 16" line.long 0x44 "C11C2KEYR17,CCB 11 Class 2 Key Register Word 17" line.long 0x48 "C11C2KEYR18,CCB 11 Class 2 Key Register Word 18" line.long 0x4C "C11C2KEYR19,CCB 11 Class 2 Key Register Word 19" line.long 0x50 "C11C2KEYR20,CCB 11 Class 2 Key Register Word 20" line.long 0x54 "C11C2KEYR21,CCB 11 Class 2 Key Register Word 21" line.long 0x58 "C11C2KEYR22,CCB 11 Class 2 Key Register Word 22" line.long 0x5C "C11C2KEYR23,CCB 11 Class 2 Key Register Word 23" line.long 0x60 "C11C2KEYR24,CCB 11 Class 2 Key Register Word 24" line.long 0x64 "C11C2KEYR25,CCB 11 Class 2 Key Register Word 25" line.long 0x68 "C11C2KEYR26,CCB 11 Class 2 Key Register Word 26" line.long 0x6C "C11C2KEYR27,CCB 11 Class 2 Key Register Word 27" line.long 0x70 "C11C2KEYR28,CCB 11 Class 2 Key Register Word 28" line.long 0x74 "C11C2KEYR29,CCB 11 Class 2 Key Register Word 29" line.long 0x78 "C11C2KEYR30,CCB 11 Class 2 Key Register Word 30" line.long 0x7C "C11C2KEYR31,CCB 11 Class 2 Key Register Word 31" rgroup.long (0x8B004+0x7BC)++0x03 line.long 0x00 "C11FIFOSTA,CCB 11 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x8B004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x8B004+0x7CC)++0x03 line.long 0x00 "C11NFIFO,CCB 11 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x8B004+0x7CC)++0x03 line.long 0x00 "C11NFIFO,CCB 11 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x8B004+0x7DC)++0x03 line.long 0x00 "C11IFIFO,CCB 11 Input Data FIFO" rgroup.quad (0x8B004+0x7EC)++0x07 line.quad 0x00 "C11OFIFO,CCB 11 Output Data FIFO" group.long (0x8B004+0x7FC)++0x03 line.long 0x00 "D11JQCR_MS,DECO11 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x8B004+0x800)++0x03 line.long 0x00 "D11JQCR_LS,DECO11 Job Queue Control Register" rgroup.quad (0x8B004+0x804)++0x07 line.quad 0x00 "D11DAR,DECO11 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x8B004+0x80C)++0x07 line.long 0x00 "D11OPSTA_MS,DECO11 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D11OPSTA_LS,DECO11 Operation Status Register" group.long (0x8B004+0x814)++0x03 line.long 0x00 "D11CKSUMR,DECO11 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x8B004+0x81C)++0x07 line.long 0x00 "D11COICIDSR,DECO11 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D11SIICIDSR,DECO11 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x8B004++0x03 hide.long 0x00 "C11C1MR,CCB 11 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x8B004++0x03 hide.long 0x00 "C11C1MR_PK,CCB 11 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x8B004++0x03 hide.long 0x00 "C11C1MR_RNG,CCB 11 Class 1 Mode Register Format For RNG" hgroup.long (0x8B004+0x08)++0x03 hide.long 0x00 "C11C1KSR,CCB 11 Class 1 Key Size Register" hgroup.quad (0x8B004+0x0C)++0x07 hide.quad 0x00 "C11C1DSR,CCB 11 Class 1 Data Size Register" hgroup.long (0x8B004+0x18)++0x03 hide.long 0x00 "C11C1ICVSR,CCB 11 Class 1 ICV Size Register" hgroup.long (0x8B004+0x30)++0x03 hide.long 0x00 "C11CCTRL,CCB 11 CHA Control Register" hgroup.long (0x8B004+0x3C)++0x03 hide.long 0x00 "C11ICTL,CCB 11 Interrupt Control Register" hgroup.long (0x8B004+0x40)++0x03 hide.long 0x00 "C11CWR,CCB 11 Clear Written Register" hgroup.long (0x8B004+0x44)++0x03 hide.long 0x00 "C11CSTA_MS,CCB 11 Status And Error Register" hgroup.long (0x8B004+0x48)++0x03 hide.long 0x00 "C11CSTA_LS,CCB 11 Status And Error LS Register" hgroup.long (0x8B004+0x58)++0x03 hide.long 0x00 "C11C1AADSZR,CCB 11 Class 1 AAD Size Register" hgroup.long (0x8B004+0x60)++0x03 hide.long 0x00 "C11C1IVSZR,CCB 11 Class 1 IV Size Register" hgroup.long (0x8B004+0x80)++0x03 hide.long 0x00 "C11PKASZR,PKHA A Size 11 Register" hgroup.long (0x8B004+0x88)++0x03 hide.long 0x00 "C11PKBSZR,PKHA B Size 11 Register" hgroup.long (0x8B004+0x90)++0x03 hide.long 0x00 "C11PKNSZR,PKHA N Size 11 Register" hgroup.long (0x8B004+0x98)++0x03 hide.long 0x00 "C11PKESZR,PKHA E Size 11 Register" hgroup.long (0x8B004+0xFC)++0x03 hide.long 0x00 "C11C1CTXR0,CCB 11 Class 1 Context Register Word 0" hgroup.long (0x8B004+0xFC)++0x03 hide.long 0x00 "C11C1CTXR1,CCB 11 Class 1 Context Register Word 1" hgroup.long (0x8B004+0x100)++0x03 hide.long 0x00 "C11C1CTXR2,CCB 11 Class 1 Context Register Word 2" hgroup.long (0x8B004+0x104)++0x03 hide.long 0x00 "C11C1CTXR3,CCB 11 Class 1 Context Register Word 3" hgroup.long (0x8B004+0x108)++0x03 hide.long 0x00 "C11C1CTXR4,CCB 11 Class 1 Context Register Word 4" hgroup.long (0x8B004+0x10C)++0x03 hide.long 0x00 "C11C1CTXR5,CCB 11 Class 1 Context Register Word 5" hgroup.long (0x8B004+0x110)++0x03 hide.long 0x00 "C11C1CTXR6,CCB 11 Class 1 Context Register Word 6" hgroup.long (0x8B004+0x114)++0x03 hide.long 0x00 "C11C1CTXR7,CCB 11 Class 1 Context Register Word 7" hgroup.long (0x8B004+0x118)++0x03 hide.long 0x00 "C11C1CTXR8,CCB 11 Class 1 Context Register Word 8" hgroup.long (0x8B004+0x11C)++0x03 hide.long 0x00 "C11C1CTXR9,CCB 11 Class 1 Context Register Word 9" hgroup.long (0x8B004+0x120)++0x03 hide.long 0x00 "C11C1CTXR10,CCB 11 Class 1 Context Register Word 10" hgroup.long (0x8B004+0x124)++0x03 hide.long 0x00 "C11C1CTXR11,CCB 11 Class 1 Context Register Word 11" hgroup.long (0x8B004+0x128)++0x03 hide.long 0x00 "C11C1CTXR12,CCB 11 Class 1 Context Register Word 12" hgroup.long (0x8B004+0x12C)++0x03 hide.long 0x00 "C11C1CTXR13,CCB 11 Class 1 Context Register Word 13" hgroup.long (0x8B004+0x130)++0x03 hide.long 0x00 "C11C1CTXR14,CCB 11 Class 1 Context Register Word 14" hgroup.long (0x8B004+0x134)++0x03 hide.long 0x00 "C11C1CTXR15,CCB 11 Class 1 Context Register Word 15" hgroup.long (0x8B004+0x1FC)++0x03 hide.long 0x00 "C11C1KR0,CCB 11 Class 1 Key Register Word 0" hgroup.long (0x8B004+0x200)++0x03 hide.long 0x00 "C11C1KR1,CCB 11 Class 1 Key Register Word 1" hgroup.long (0x8B004+0x204)++0x03 hide.long 0x00 "C11C1KR2,CCB 11 Class 1 Key Register Word 2" hgroup.long (0x8B004+0x208)++0x03 hide.long 0x00 "C11C1KR3,CCB 11 Class 1 Key Register Word 3" hgroup.long (0x8B004+0x20C)++0x03 hide.long 0x00 "C11C1KR4,CCB 11 Class 1 Key Register Word 4" hgroup.long (0x8B004+0x210)++0x03 hide.long 0x00 "C11C1KR5,CCB 11 Class 1 Key Register Word 5" hgroup.long (0x8B004+0x214)++0x03 hide.long 0x00 "C11C1KR6,CCB 11 Class 1 Key Register Word 6" hgroup.long (0x8B004+0x218)++0x03 hide.long 0x00 "C11C1KR7,CCB 11 Class 1 Key Register Word 7" hgroup.long (0x8B004+0x400)++0x03 hide.long 0x00 "C11C2MR,CCB 11 Class 2 Mode Register" hgroup.long (0x8B004+0x408)++0x03 hide.long 0x00 "C11C2KSR,CCB 11 Class 2 Key Size Register" hgroup.quad (0x8B004+0x40C)++0x07 hide.quad 0x00 "C11C2DSR,CCB 11 Class 2 Data Size Register" hgroup.long (0x8B004+0x418)++0x03 hide.long 0x00 "C11C2ICVSZR,CCB 11 Class 2 ICV Size Register" hgroup.long (0x8B004+0x454)++0x03 hide.long 0x00 "C11C2AADSZR,CCB 11 Class 2 AAD Size Register" hgroup.long (0x8B004+0x4FC)++0x03 hide.long 0x00 "C11C2CTXR0,CCB 11 Class 2 Context Register Word 0" hgroup.long (0x8B004+0x500)++0x03 hide.long 0x00 "C11C2CTXR1,CCB 11 Class 2 Context Register Word 1" hgroup.long (0x8B004+0x504)++0x03 hide.long 0x00 "C11C2CTXR2,CCB 11 Class 2 Context Register Word 2" hgroup.long (0x8B004+0x508)++0x03 hide.long 0x00 "C11C2CTXR3,CCB 11 Class 2 Context Register Word 3" hgroup.long (0x8B004+0x50C)++0x03 hide.long 0x00 "C11C2CTXR4,CCB 11 Class 2 Context Register Word 4" hgroup.long (0x8B004+0x510)++0x03 hide.long 0x00 "C11C2CTXR5,CCB 11 Class 2 Context Register Word 5" hgroup.long (0x8B004+0x514)++0x03 hide.long 0x00 "C11C2CTXR6,CCB 11 Class 2 Context Register Word 6" hgroup.long (0x8B004+0x518)++0x03 hide.long 0x00 "C11C2CTXR7,CCB 11 Class 2 Context Register Word 7" hgroup.long (0x8B004+0x51C)++0x03 hide.long 0x00 "C11C2CTXR8,CCB 11 Class 2 Context Register Word 8" hgroup.long (0x8B004+0x520)++0x03 hide.long 0x00 "C11C2CTXR9,CCB 11 Class 2 Context Register Word 9" hgroup.long (0x8B004+0x524)++0x03 hide.long 0x00 "C11C2CTXR10,CCB 11 Class 2 Context Register Word 10" hgroup.long (0x8B004+0x528)++0x03 hide.long 0x00 "C11C2CTXR11,CCB 11 Class 2 Context Register Word 11" hgroup.long (0x8B004+0x52C)++0x03 hide.long 0x00 "C11C2CTXR12,CCB 11 Class 2 Context Register Word 12" hgroup.long (0x8B004+0x530)++0x03 hide.long 0x00 "C11C2CTXR13,CCB 11 Class 2 Context Register Word 13" hgroup.long (0x8B004+0x534)++0x03 hide.long 0x00 "C11C2CTXR14,CCB 11 Class 2 Context Register Word 14" hgroup.long (0x8B004+0x538)++0x03 hide.long 0x00 "C11C2CTXR15,CCB 11 Class 2 Context Register Word 15" hgroup.long (0x8B004+0x53C)++0x03 hide.long 0x00 "C11C2CTXR16,CCB 11 Class 2 Context Register Word 16" hgroup.long (0x8B004+0x540)++0x03 hide.long 0x00 "C11C2CTXR17,CCB 11 Class 2 Context Register Word 17" hgroup.long (0x8B004+0x5FC)++0x03 hide.long 0x00 "C11C2KEYR0,CCB 11 Class 2 Key Register Word 0" hgroup.long (0x8B004+0x600)++0x03 hide.long 0x00 "C11C2KEYR1,CCB 11 Class 2 Key Register Word 1" hgroup.long (0x8B004+0x604)++0x03 hide.long 0x00 "C11C2KEYR2,CCB 11 Class 2 Key Register Word 2" hgroup.long (0x8B004+0x608)++0x03 hide.long 0x00 "C11C2KEYR3,CCB 11 Class 2 Key Register Word 3" hgroup.long (0x8B004+0x60C)++0x03 hide.long 0x00 "C11C2KEYR4,CCB 11 Class 2 Key Register Word 4" hgroup.long (0x8B004+0x610)++0x03 hide.long 0x00 "C11C2KEYR5,CCB 11 Class 2 Key Register Word 5" hgroup.long (0x8B004+0x614)++0x03 hide.long 0x00 "C11C2KEYR6,CCB 11 Class 2 Key Register Word 6" hgroup.long (0x8B004+0x618)++0x03 hide.long 0x00 "C11C2KEYR7,CCB 11 Class 2 Key Register Word 7" hgroup.long (0x8B004+0x61C)++0x03 hide.long 0x00 "C11C2KEYR8,CCB 11 Class 2 Key Register Word 8" hgroup.long (0x8B004+0x620)++0x03 hide.long 0x00 "C11C2KEYR9,CCB 11 Class 2 Key Register Word 9" hgroup.long (0x8B004+0x624)++0x03 hide.long 0x00 "C11C2KEYR10,CCB 11 Class 2 Key Register Word 10" hgroup.long (0x8B004+0x628)++0x03 hide.long 0x00 "C11C2KEYR11,CCB 11 Class 2 Key Register Word 11" hgroup.long (0x8B004+0x62C)++0x03 hide.long 0x00 "C11C2KEYR12,CCB 11 Class 2 Key Register Word 12" hgroup.long (0x8B004+0x630)++0x03 hide.long 0x00 "C11C2KEYR13,CCB 11 Class 2 Key Register Word 13" hgroup.long (0x8B004+0x634)++0x03 hide.long 0x00 "C11C2KEYR14,CCB 11 Class 2 Key Register Word 14" hgroup.long (0x8B004+0x638)++0x03 hide.long 0x00 "C11C2KEYR15,CCB 11 Class 2 Key Register Word 15" hgroup.long (0x8B004+0x63C)++0x03 hide.long 0x00 "C11C2KEYR16,CCB 11 Class 2 Key Register Word 16" hgroup.long (0x8B004+0x640)++0x03 hide.long 0x00 "C11C2KEYR17,CCB 11 Class 2 Key Register Word 17" hgroup.long (0x8B004+0x644)++0x03 hide.long 0x00 "C11C2KEYR18,CCB 11 Class 2 Key Register Word 18" hgroup.long (0x8B004+0x648)++0x03 hide.long 0x00 "C11C2KEYR19,CCB 11 Class 2 Key Register Word 19" hgroup.long (0x8B004+0x64C)++0x03 hide.long 0x00 "C11C2KEYR20,CCB 11 Class 2 Key Register Word 20" hgroup.long (0x8B004+0x650)++0x03 hide.long 0x00 "C11C2KEYR21,CCB 11 Class 2 Key Register Word 21" hgroup.long (0x8B004+0x654)++0x03 hide.long 0x00 "C11C2KEYR22,CCB 11 Class 2 Key Register Word 22" hgroup.long (0x8B004+0x658)++0x03 hide.long 0x00 "C11C2KEYR23,CCB 11 Class 2 Key Register Word 23" hgroup.long (0x8B004+0x65C)++0x03 hide.long 0x00 "C11C2KEYR24,CCB 11 Class 2 Key Register Word 24" hgroup.long (0x8B004+0x660)++0x03 hide.long 0x00 "C11C2KEYR25,CCB 11 Class 2 Key Register Word 25" hgroup.long (0x8B004+0x664)++0x03 hide.long 0x00 "C11C2KEYR26,CCB 11 Class 2 Key Register Word 26" hgroup.long (0x8B004+0x668)++0x03 hide.long 0x00 "C11C2KEYR27,CCB 11 Class 2 Key Register Word 27" hgroup.long (0x8B004+0x66C)++0x03 hide.long 0x00 "C11C2KEYR28,CCB 11 Class 2 Key Register Word 28" hgroup.long (0x8B004+0x670)++0x03 hide.long 0x00 "C11C2KEYR29,CCB 11 Class 2 Key Register Word 29" hgroup.long (0x8B004+0x674)++0x03 hide.long 0x00 "C11C2KEYR30,CCB 11 Class 2 Key Register Word 30" hgroup.long (0x8B004+0x678)++0x03 hide.long 0x00 "C11C2KEYR31,CCB 11 Class 2 Key Register Word 31" hgroup.long (0x8B004+0x7BC)++0x03 hide.long 0x00 "C11FIFOSTA,CCB 11 FIFO Status Register" hgroup.long (0x8B004+0x7CC)++0x03 hide.long 0x00 "C11NFIFO,CCB 11 Information FIFO Register" hgroup.long (0x8B004+0x7DC)++0x03 hide.long 0x00 "C11IFIFO,CCB 11 Input Data FIFO" hgroup.quad (0x8B004+0x7EC)++0x07 hide.quad 0x00 "C11OFIFO,CCB 11 Output Data FIFO" hgroup.long (0x8B004+0x7FC)++0x03 hide.long 0x00 "D11JQCR_MS,DECO11 Job Queue Control Register" hgroup.long (0x8B004+0x800)++0x03 hide.long 0x00 "D11JQCR_LS,DECO11 Job Queue Control Register" hgroup.quad (0x8B004+0x804)++0x07 hide.quad 0x00 "D11DAR,DECO11 Descriptor Address Register" hgroup.long (0x8B004+0x80C)++0x03 hide.long 0x00 "D11OPSTA_MS,DECO11 Operation Status MS Register" hgroup.long (0x8B004+0x810)++0x03 hide.long 0x00 "D11OPSTA_LS,DECO11 Operation Status Register" hgroup.long (0x8B004+0x814)++0x03 hide.long 0x00 "D11CKSUMR,DECO11 Checksum Register" hgroup.long (0x8B004+0x81C)++0x03 hide.long 0x00 "D11COICIDSR,DECO11 Control And Output ICID Status Register" hgroup.long (0x8B004+0x820)++0x03 hide.long 0x00 "D11SIICIDSR,DECO11 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<12.)==(0x10001<<12.)) group.long 0x8C004++0x03 "CCB 12" line.long 0x00 "C12C1MR,CCB 12 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x8C004++0x03 line.long 0x00 "C12C1MR_PK,CCB 12 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x8C004++0x03 line.long 0x00 "C12C1MR_RNG,CCB 12 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x8C004+0x08)++0x03 line.long 0x00 "C12C1KSR,CCB 12 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x8C004+0x0C)++0x07 line.quad 0x00 "C12C1DSR,CCB 12 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x8C004+0x18)++0x03 line.long 0x00 "C12C1ICVSR,CCB 12 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x8C004+0x30)++0x03 line.long 0x00 "C12CCTRL,CCB 12 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x8C004+0x3C)++0x03 line.long 0x00 "C12ICTL,CCB 12 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x8C004+0x40)++0x03 line.long 0x00 "C12CWR,CCB 12 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x8C004+0x44)++0x07 line.long 0x00 "C12CSTA_MS,CCB 12 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C12CSTA_LS,CCB 12 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x8C004+0x58)++0x03 line.long 0x00 "C12C1AADSZR,CCB 12 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8C004+0x60)++0x03 line.long 0x00 "C12C1IVSZR,CCB 12 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8C004+0x80)++0x03 line.long 0x00 "C12PKASZR,PKHA A Size 12 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x8C004+0x88)++0x03 line.long 0x00 "C12PKBSZR,PKHA B Size 12 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x8C004+0x90)++0x03 line.long 0x00 "C12PKNSZR,PKHA N Size 12 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x8C004+0x98)++0x03 line.long 0x00 "C12PKESZR,PKHA E Size 12 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x8C004+0xFC)++0x3F line.long 0x00 "C12C1CTXR0,CCB 12 Class 1 Context Register Word 0" line.long 0x04 "C12C1CTXR1,CCB 12 Class 1 Context Register Word 1" line.long 0x08 "C12C1CTXR2,CCB 12 Class 1 Context Register Word 2" line.long 0x0C "C12C1CTXR3,CCB 12 Class 1 Context Register Word 3" line.long 0x10 "C12C1CTXR4,CCB 12 Class 1 Context Register Word 4" line.long 0x14 "C12C1CTXR5,CCB 12 Class 1 Context Register Word 5" line.long 0x18 "C12C1CTXR6,CCB 12 Class 1 Context Register Word 6" line.long 0x1C "C12C1CTXR7,CCB 12 Class 1 Context Register Word 7" line.long 0x20 "C12C1CTXR8,CCB 12 Class 1 Context Register Word 8" line.long 0x24 "C12C1CTXR9,CCB 12 Class 1 Context Register Word 9" line.long 0x28 "C12C1CTXR10,CCB 12 Class 1 Context Register Word 10" line.long 0x2C "C12C1CTXR11,CCB 12 Class 1 Context Register Word 11" line.long 0x30 "C12C1CTXR12,CCB 12 Class 1 Context Register Word 12" line.long 0x34 "C12C1CTXR13,CCB 12 Class 1 Context Register Word 13" line.long 0x38 "C12C1CTXR14,CCB 12 Class 1 Context Register Word 14" line.long 0x3C "C12C1CTXR15,CCB 12 Class 1 Context Register Word 15" group.long (0x8C004+0x1FC)++0x1F line.long 0x00 "C12C1KR0,CCB 12 Class 1 Key Register Word 0" line.long 0x04 "C12C1KR1,CCB 12 Class 1 Key Register Word 1" line.long 0x08 "C12C1KR2,CCB 12 Class 1 Key Register Word 2" line.long 0x0C "C12C1KR3,CCB 12 Class 1 Key Register Word 3" line.long 0x10 "C12C1KR4,CCB 12 Class 1 Key Register Word 4" line.long 0x14 "C12C1KR5,CCB 12 Class 1 Key Register Word 5" line.long 0x18 "C12C1KR6,CCB 12 Class 1 Key Register Word 6" line.long 0x1C "C12C1KR7,CCB 12 Class 1 Key Register Word 7" group.long (0x8C004+0x400)++0x03 line.long 0x00 "C12C2MR,CCB 12 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x8C004+0x408)++0x03 line.long 0x00 "C12C2KSR,CCB 12 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x8C004+0x40C)++0x07 line.quad 0x00 "C12C2DSR,CCB 12 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x8C004+0x418)++0x03 line.long 0x00 "C12C2ICVSZR,CCB 12 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8C004+0x454)++0x03 line.long 0x00 "C12C2AADSZR,CCB 12 Class 2 AAD Size Register" group.long (0x8C004+0x4FC)++0x47 line.long 0x00 "C12C2CTXR0,CCB 12 Class 2 Context Register Word 0" line.long 0x04 "C12C2CTXR1,CCB 12 Class 2 Context Register Word 1" line.long 0x08 "C12C2CTXR2,CCB 12 Class 2 Context Register Word 2" line.long 0x0C "C12C2CTXR3,CCB 12 Class 2 Context Register Word 3" line.long 0x10 "C12C2CTXR4,CCB 12 Class 2 Context Register Word 4" line.long 0x14 "C12C2CTXR5,CCB 12 Class 2 Context Register Word 5" line.long 0x18 "C12C2CTXR6,CCB 12 Class 2 Context Register Word 6" line.long 0x1C "C12C2CTXR7,CCB 12 Class 2 Context Register Word 7" line.long 0x20 "C12C2CTXR8,CCB 12 Class 2 Context Register Word 8" line.long 0x24 "C12C2CTXR9,CCB 12 Class 2 Context Register Word 9" line.long 0x28 "C12C2CTXR10,CCB 12 Class 2 Context Register Word 10" line.long 0x2C "C12C2CTXR11,CCB 12 Class 2 Context Register Word 11" line.long 0x30 "C12C2CTXR12,CCB 12 Class 2 Context Register Word 12" line.long 0x34 "C12C2CTXR13,CCB 12 Class 2 Context Register Word 13" line.long 0x38 "C12C2CTXR14,CCB 12 Class 2 Context Register Word 14" line.long 0x3C "C12C2CTXR15,CCB 12 Class 2 Context Register Word 15" line.long 0x40 "C12C2CTXR16,CCB 12 Class 2 Context Register Word 16" line.long 0x44 "C12C2CTXR17,CCB 12 Class 2 Context Register Word 17" group.long (0x8C004+0x5FC)++0x7F line.long 0x00 "C12C2KEYR0,CCB 12 Class 2 Key Register Word 0" line.long 0x04 "C12C2KEYR1,CCB 12 Class 2 Key Register Word 1" line.long 0x08 "C12C2KEYR2,CCB 12 Class 2 Key Register Word 2" line.long 0x0C "C12C2KEYR3,CCB 12 Class 2 Key Register Word 3" line.long 0x10 "C12C2KEYR4,CCB 12 Class 2 Key Register Word 4" line.long 0x14 "C12C2KEYR5,CCB 12 Class 2 Key Register Word 5" line.long 0x18 "C12C2KEYR6,CCB 12 Class 2 Key Register Word 6" line.long 0x1C "C12C2KEYR7,CCB 12 Class 2 Key Register Word 7" line.long 0x20 "C12C2KEYR8,CCB 12 Class 2 Key Register Word 8" line.long 0x24 "C12C2KEYR9,CCB 12 Class 2 Key Register Word 9" line.long 0x28 "C12C2KEYR10,CCB 12 Class 2 Key Register Word 10" line.long 0x2C "C12C2KEYR11,CCB 12 Class 2 Key Register Word 11" line.long 0x30 "C12C2KEYR12,CCB 12 Class 2 Key Register Word 12" line.long 0x34 "C12C2KEYR13,CCB 12 Class 2 Key Register Word 13" line.long 0x38 "C12C2KEYR14,CCB 12 Class 2 Key Register Word 14" line.long 0x3C "C12C2KEYR15,CCB 12 Class 2 Key Register Word 15" line.long 0x40 "C12C2KEYR16,CCB 12 Class 2 Key Register Word 16" line.long 0x44 "C12C2KEYR17,CCB 12 Class 2 Key Register Word 17" line.long 0x48 "C12C2KEYR18,CCB 12 Class 2 Key Register Word 18" line.long 0x4C "C12C2KEYR19,CCB 12 Class 2 Key Register Word 19" line.long 0x50 "C12C2KEYR20,CCB 12 Class 2 Key Register Word 20" line.long 0x54 "C12C2KEYR21,CCB 12 Class 2 Key Register Word 21" line.long 0x58 "C12C2KEYR22,CCB 12 Class 2 Key Register Word 22" line.long 0x5C "C12C2KEYR23,CCB 12 Class 2 Key Register Word 23" line.long 0x60 "C12C2KEYR24,CCB 12 Class 2 Key Register Word 24" line.long 0x64 "C12C2KEYR25,CCB 12 Class 2 Key Register Word 25" line.long 0x68 "C12C2KEYR26,CCB 12 Class 2 Key Register Word 26" line.long 0x6C "C12C2KEYR27,CCB 12 Class 2 Key Register Word 27" line.long 0x70 "C12C2KEYR28,CCB 12 Class 2 Key Register Word 28" line.long 0x74 "C12C2KEYR29,CCB 12 Class 2 Key Register Word 29" line.long 0x78 "C12C2KEYR30,CCB 12 Class 2 Key Register Word 30" line.long 0x7C "C12C2KEYR31,CCB 12 Class 2 Key Register Word 31" rgroup.long (0x8C004+0x7BC)++0x03 line.long 0x00 "C12FIFOSTA,CCB 12 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x8C004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x8C004+0x7CC)++0x03 line.long 0x00 "C12NFIFO,CCB 12 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x8C004+0x7CC)++0x03 line.long 0x00 "C12NFIFO,CCB 12 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x8C004+0x7DC)++0x03 line.long 0x00 "C12IFIFO,CCB 12 Input Data FIFO" rgroup.quad (0x8C004+0x7EC)++0x07 line.quad 0x00 "C12OFIFO,CCB 12 Output Data FIFO" group.long (0x8C004+0x7FC)++0x03 line.long 0x00 "D12JQCR_MS,DECO12 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x8C004+0x800)++0x03 line.long 0x00 "D12JQCR_LS,DECO12 Job Queue Control Register" rgroup.quad (0x8C004+0x804)++0x07 line.quad 0x00 "D12DAR,DECO12 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x8C004+0x80C)++0x07 line.long 0x00 "D12OPSTA_MS,DECO12 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D12OPSTA_LS,DECO12 Operation Status Register" group.long (0x8C004+0x814)++0x03 line.long 0x00 "D12CKSUMR,DECO12 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x8C004+0x81C)++0x07 line.long 0x00 "D12COICIDSR,DECO12 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D12SIICIDSR,DECO12 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x8C004++0x03 hide.long 0x00 "C12C1MR,CCB 12 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x8C004++0x03 hide.long 0x00 "C12C1MR_PK,CCB 12 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x8C004++0x03 hide.long 0x00 "C12C1MR_RNG,CCB 12 Class 1 Mode Register Format For RNG" hgroup.long (0x8C004+0x08)++0x03 hide.long 0x00 "C12C1KSR,CCB 12 Class 1 Key Size Register" hgroup.quad (0x8C004+0x0C)++0x07 hide.quad 0x00 "C12C1DSR,CCB 12 Class 1 Data Size Register" hgroup.long (0x8C004+0x18)++0x03 hide.long 0x00 "C12C1ICVSR,CCB 12 Class 1 ICV Size Register" hgroup.long (0x8C004+0x30)++0x03 hide.long 0x00 "C12CCTRL,CCB 12 CHA Control Register" hgroup.long (0x8C004+0x3C)++0x03 hide.long 0x00 "C12ICTL,CCB 12 Interrupt Control Register" hgroup.long (0x8C004+0x40)++0x03 hide.long 0x00 "C12CWR,CCB 12 Clear Written Register" hgroup.long (0x8C004+0x44)++0x03 hide.long 0x00 "C12CSTA_MS,CCB 12 Status And Error Register" hgroup.long (0x8C004+0x48)++0x03 hide.long 0x00 "C12CSTA_LS,CCB 12 Status And Error LS Register" hgroup.long (0x8C004+0x58)++0x03 hide.long 0x00 "C12C1AADSZR,CCB 12 Class 1 AAD Size Register" hgroup.long (0x8C004+0x60)++0x03 hide.long 0x00 "C12C1IVSZR,CCB 12 Class 1 IV Size Register" hgroup.long (0x8C004+0x80)++0x03 hide.long 0x00 "C12PKASZR,PKHA A Size 12 Register" hgroup.long (0x8C004+0x88)++0x03 hide.long 0x00 "C12PKBSZR,PKHA B Size 12 Register" hgroup.long (0x8C004+0x90)++0x03 hide.long 0x00 "C12PKNSZR,PKHA N Size 12 Register" hgroup.long (0x8C004+0x98)++0x03 hide.long 0x00 "C12PKESZR,PKHA E Size 12 Register" hgroup.long (0x8C004+0xFC)++0x03 hide.long 0x00 "C12C1CTXR0,CCB 12 Class 1 Context Register Word 0" hgroup.long (0x8C004+0xFC)++0x03 hide.long 0x00 "C12C1CTXR1,CCB 12 Class 1 Context Register Word 1" hgroup.long (0x8C004+0x100)++0x03 hide.long 0x00 "C12C1CTXR2,CCB 12 Class 1 Context Register Word 2" hgroup.long (0x8C004+0x104)++0x03 hide.long 0x00 "C12C1CTXR3,CCB 12 Class 1 Context Register Word 3" hgroup.long (0x8C004+0x108)++0x03 hide.long 0x00 "C12C1CTXR4,CCB 12 Class 1 Context Register Word 4" hgroup.long (0x8C004+0x10C)++0x03 hide.long 0x00 "C12C1CTXR5,CCB 12 Class 1 Context Register Word 5" hgroup.long (0x8C004+0x110)++0x03 hide.long 0x00 "C12C1CTXR6,CCB 12 Class 1 Context Register Word 6" hgroup.long (0x8C004+0x114)++0x03 hide.long 0x00 "C12C1CTXR7,CCB 12 Class 1 Context Register Word 7" hgroup.long (0x8C004+0x118)++0x03 hide.long 0x00 "C12C1CTXR8,CCB 12 Class 1 Context Register Word 8" hgroup.long (0x8C004+0x11C)++0x03 hide.long 0x00 "C12C1CTXR9,CCB 12 Class 1 Context Register Word 9" hgroup.long (0x8C004+0x120)++0x03 hide.long 0x00 "C12C1CTXR10,CCB 12 Class 1 Context Register Word 10" hgroup.long (0x8C004+0x124)++0x03 hide.long 0x00 "C12C1CTXR11,CCB 12 Class 1 Context Register Word 11" hgroup.long (0x8C004+0x128)++0x03 hide.long 0x00 "C12C1CTXR12,CCB 12 Class 1 Context Register Word 12" hgroup.long (0x8C004+0x12C)++0x03 hide.long 0x00 "C12C1CTXR13,CCB 12 Class 1 Context Register Word 13" hgroup.long (0x8C004+0x130)++0x03 hide.long 0x00 "C12C1CTXR14,CCB 12 Class 1 Context Register Word 14" hgroup.long (0x8C004+0x134)++0x03 hide.long 0x00 "C12C1CTXR15,CCB 12 Class 1 Context Register Word 15" hgroup.long (0x8C004+0x1FC)++0x03 hide.long 0x00 "C12C1KR0,CCB 12 Class 1 Key Register Word 0" hgroup.long (0x8C004+0x200)++0x03 hide.long 0x00 "C12C1KR1,CCB 12 Class 1 Key Register Word 1" hgroup.long (0x8C004+0x204)++0x03 hide.long 0x00 "C12C1KR2,CCB 12 Class 1 Key Register Word 2" hgroup.long (0x8C004+0x208)++0x03 hide.long 0x00 "C12C1KR3,CCB 12 Class 1 Key Register Word 3" hgroup.long (0x8C004+0x20C)++0x03 hide.long 0x00 "C12C1KR4,CCB 12 Class 1 Key Register Word 4" hgroup.long (0x8C004+0x210)++0x03 hide.long 0x00 "C12C1KR5,CCB 12 Class 1 Key Register Word 5" hgroup.long (0x8C004+0x214)++0x03 hide.long 0x00 "C12C1KR6,CCB 12 Class 1 Key Register Word 6" hgroup.long (0x8C004+0x218)++0x03 hide.long 0x00 "C12C1KR7,CCB 12 Class 1 Key Register Word 7" hgroup.long (0x8C004+0x400)++0x03 hide.long 0x00 "C12C2MR,CCB 12 Class 2 Mode Register" hgroup.long (0x8C004+0x408)++0x03 hide.long 0x00 "C12C2KSR,CCB 12 Class 2 Key Size Register" hgroup.quad (0x8C004+0x40C)++0x07 hide.quad 0x00 "C12C2DSR,CCB 12 Class 2 Data Size Register" hgroup.long (0x8C004+0x418)++0x03 hide.long 0x00 "C12C2ICVSZR,CCB 12 Class 2 ICV Size Register" hgroup.long (0x8C004+0x454)++0x03 hide.long 0x00 "C12C2AADSZR,CCB 12 Class 2 AAD Size Register" hgroup.long (0x8C004+0x4FC)++0x03 hide.long 0x00 "C12C2CTXR0,CCB 12 Class 2 Context Register Word 0" hgroup.long (0x8C004+0x500)++0x03 hide.long 0x00 "C12C2CTXR1,CCB 12 Class 2 Context Register Word 1" hgroup.long (0x8C004+0x504)++0x03 hide.long 0x00 "C12C2CTXR2,CCB 12 Class 2 Context Register Word 2" hgroup.long (0x8C004+0x508)++0x03 hide.long 0x00 "C12C2CTXR3,CCB 12 Class 2 Context Register Word 3" hgroup.long (0x8C004+0x50C)++0x03 hide.long 0x00 "C12C2CTXR4,CCB 12 Class 2 Context Register Word 4" hgroup.long (0x8C004+0x510)++0x03 hide.long 0x00 "C12C2CTXR5,CCB 12 Class 2 Context Register Word 5" hgroup.long (0x8C004+0x514)++0x03 hide.long 0x00 "C12C2CTXR6,CCB 12 Class 2 Context Register Word 6" hgroup.long (0x8C004+0x518)++0x03 hide.long 0x00 "C12C2CTXR7,CCB 12 Class 2 Context Register Word 7" hgroup.long (0x8C004+0x51C)++0x03 hide.long 0x00 "C12C2CTXR8,CCB 12 Class 2 Context Register Word 8" hgroup.long (0x8C004+0x520)++0x03 hide.long 0x00 "C12C2CTXR9,CCB 12 Class 2 Context Register Word 9" hgroup.long (0x8C004+0x524)++0x03 hide.long 0x00 "C12C2CTXR10,CCB 12 Class 2 Context Register Word 10" hgroup.long (0x8C004+0x528)++0x03 hide.long 0x00 "C12C2CTXR11,CCB 12 Class 2 Context Register Word 11" hgroup.long (0x8C004+0x52C)++0x03 hide.long 0x00 "C12C2CTXR12,CCB 12 Class 2 Context Register Word 12" hgroup.long (0x8C004+0x530)++0x03 hide.long 0x00 "C12C2CTXR13,CCB 12 Class 2 Context Register Word 13" hgroup.long (0x8C004+0x534)++0x03 hide.long 0x00 "C12C2CTXR14,CCB 12 Class 2 Context Register Word 14" hgroup.long (0x8C004+0x538)++0x03 hide.long 0x00 "C12C2CTXR15,CCB 12 Class 2 Context Register Word 15" hgroup.long (0x8C004+0x53C)++0x03 hide.long 0x00 "C12C2CTXR16,CCB 12 Class 2 Context Register Word 16" hgroup.long (0x8C004+0x540)++0x03 hide.long 0x00 "C12C2CTXR17,CCB 12 Class 2 Context Register Word 17" hgroup.long (0x8C004+0x5FC)++0x03 hide.long 0x00 "C12C2KEYR0,CCB 12 Class 2 Key Register Word 0" hgroup.long (0x8C004+0x600)++0x03 hide.long 0x00 "C12C2KEYR1,CCB 12 Class 2 Key Register Word 1" hgroup.long (0x8C004+0x604)++0x03 hide.long 0x00 "C12C2KEYR2,CCB 12 Class 2 Key Register Word 2" hgroup.long (0x8C004+0x608)++0x03 hide.long 0x00 "C12C2KEYR3,CCB 12 Class 2 Key Register Word 3" hgroup.long (0x8C004+0x60C)++0x03 hide.long 0x00 "C12C2KEYR4,CCB 12 Class 2 Key Register Word 4" hgroup.long (0x8C004+0x610)++0x03 hide.long 0x00 "C12C2KEYR5,CCB 12 Class 2 Key Register Word 5" hgroup.long (0x8C004+0x614)++0x03 hide.long 0x00 "C12C2KEYR6,CCB 12 Class 2 Key Register Word 6" hgroup.long (0x8C004+0x618)++0x03 hide.long 0x00 "C12C2KEYR7,CCB 12 Class 2 Key Register Word 7" hgroup.long (0x8C004+0x61C)++0x03 hide.long 0x00 "C12C2KEYR8,CCB 12 Class 2 Key Register Word 8" hgroup.long (0x8C004+0x620)++0x03 hide.long 0x00 "C12C2KEYR9,CCB 12 Class 2 Key Register Word 9" hgroup.long (0x8C004+0x624)++0x03 hide.long 0x00 "C12C2KEYR10,CCB 12 Class 2 Key Register Word 10" hgroup.long (0x8C004+0x628)++0x03 hide.long 0x00 "C12C2KEYR11,CCB 12 Class 2 Key Register Word 11" hgroup.long (0x8C004+0x62C)++0x03 hide.long 0x00 "C12C2KEYR12,CCB 12 Class 2 Key Register Word 12" hgroup.long (0x8C004+0x630)++0x03 hide.long 0x00 "C12C2KEYR13,CCB 12 Class 2 Key Register Word 13" hgroup.long (0x8C004+0x634)++0x03 hide.long 0x00 "C12C2KEYR14,CCB 12 Class 2 Key Register Word 14" hgroup.long (0x8C004+0x638)++0x03 hide.long 0x00 "C12C2KEYR15,CCB 12 Class 2 Key Register Word 15" hgroup.long (0x8C004+0x63C)++0x03 hide.long 0x00 "C12C2KEYR16,CCB 12 Class 2 Key Register Word 16" hgroup.long (0x8C004+0x640)++0x03 hide.long 0x00 "C12C2KEYR17,CCB 12 Class 2 Key Register Word 17" hgroup.long (0x8C004+0x644)++0x03 hide.long 0x00 "C12C2KEYR18,CCB 12 Class 2 Key Register Word 18" hgroup.long (0x8C004+0x648)++0x03 hide.long 0x00 "C12C2KEYR19,CCB 12 Class 2 Key Register Word 19" hgroup.long (0x8C004+0x64C)++0x03 hide.long 0x00 "C12C2KEYR20,CCB 12 Class 2 Key Register Word 20" hgroup.long (0x8C004+0x650)++0x03 hide.long 0x00 "C12C2KEYR21,CCB 12 Class 2 Key Register Word 21" hgroup.long (0x8C004+0x654)++0x03 hide.long 0x00 "C12C2KEYR22,CCB 12 Class 2 Key Register Word 22" hgroup.long (0x8C004+0x658)++0x03 hide.long 0x00 "C12C2KEYR23,CCB 12 Class 2 Key Register Word 23" hgroup.long (0x8C004+0x65C)++0x03 hide.long 0x00 "C12C2KEYR24,CCB 12 Class 2 Key Register Word 24" hgroup.long (0x8C004+0x660)++0x03 hide.long 0x00 "C12C2KEYR25,CCB 12 Class 2 Key Register Word 25" hgroup.long (0x8C004+0x664)++0x03 hide.long 0x00 "C12C2KEYR26,CCB 12 Class 2 Key Register Word 26" hgroup.long (0x8C004+0x668)++0x03 hide.long 0x00 "C12C2KEYR27,CCB 12 Class 2 Key Register Word 27" hgroup.long (0x8C004+0x66C)++0x03 hide.long 0x00 "C12C2KEYR28,CCB 12 Class 2 Key Register Word 28" hgroup.long (0x8C004+0x670)++0x03 hide.long 0x00 "C12C2KEYR29,CCB 12 Class 2 Key Register Word 29" hgroup.long (0x8C004+0x674)++0x03 hide.long 0x00 "C12C2KEYR30,CCB 12 Class 2 Key Register Word 30" hgroup.long (0x8C004+0x678)++0x03 hide.long 0x00 "C12C2KEYR31,CCB 12 Class 2 Key Register Word 31" hgroup.long (0x8C004+0x7BC)++0x03 hide.long 0x00 "C12FIFOSTA,CCB 12 FIFO Status Register" hgroup.long (0x8C004+0x7CC)++0x03 hide.long 0x00 "C12NFIFO,CCB 12 Information FIFO Register" hgroup.long (0x8C004+0x7DC)++0x03 hide.long 0x00 "C12IFIFO,CCB 12 Input Data FIFO" hgroup.quad (0x8C004+0x7EC)++0x07 hide.quad 0x00 "C12OFIFO,CCB 12 Output Data FIFO" hgroup.long (0x8C004+0x7FC)++0x03 hide.long 0x00 "D12JQCR_MS,DECO12 Job Queue Control Register" hgroup.long (0x8C004+0x800)++0x03 hide.long 0x00 "D12JQCR_LS,DECO12 Job Queue Control Register" hgroup.quad (0x8C004+0x804)++0x07 hide.quad 0x00 "D12DAR,DECO12 Descriptor Address Register" hgroup.long (0x8C004+0x80C)++0x03 hide.long 0x00 "D12OPSTA_MS,DECO12 Operation Status MS Register" hgroup.long (0x8C004+0x810)++0x03 hide.long 0x00 "D12OPSTA_LS,DECO12 Operation Status Register" hgroup.long (0x8C004+0x814)++0x03 hide.long 0x00 "D12CKSUMR,DECO12 Checksum Register" hgroup.long (0x8C004+0x81C)++0x03 hide.long 0x00 "D12COICIDSR,DECO12 Control And Output ICID Status Register" hgroup.long (0x8C004+0x820)++0x03 hide.long 0x00 "D12SIICIDSR,DECO12 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<13.)==(0x10001<<13.)) group.long 0x8D004++0x03 "CCB 13" line.long 0x00 "C13C1MR,CCB 13 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x8D004++0x03 line.long 0x00 "C13C1MR_PK,CCB 13 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x8D004++0x03 line.long 0x00 "C13C1MR_RNG,CCB 13 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x8D004+0x08)++0x03 line.long 0x00 "C13C1KSR,CCB 13 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x8D004+0x0C)++0x07 line.quad 0x00 "C13C1DSR,CCB 13 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x8D004+0x18)++0x03 line.long 0x00 "C13C1ICVSR,CCB 13 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x8D004+0x30)++0x03 line.long 0x00 "C13CCTRL,CCB 13 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x8D004+0x3C)++0x03 line.long 0x00 "C13ICTL,CCB 13 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x8D004+0x40)++0x03 line.long 0x00 "C13CWR,CCB 13 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x8D004+0x44)++0x07 line.long 0x00 "C13CSTA_MS,CCB 13 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C13CSTA_LS,CCB 13 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x8D004+0x58)++0x03 line.long 0x00 "C13C1AADSZR,CCB 13 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8D004+0x60)++0x03 line.long 0x00 "C13C1IVSZR,CCB 13 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8D004+0x80)++0x03 line.long 0x00 "C13PKASZR,PKHA A Size 13 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x8D004+0x88)++0x03 line.long 0x00 "C13PKBSZR,PKHA B Size 13 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x8D004+0x90)++0x03 line.long 0x00 "C13PKNSZR,PKHA N Size 13 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x8D004+0x98)++0x03 line.long 0x00 "C13PKESZR,PKHA E Size 13 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x8D004+0xFC)++0x3F line.long 0x00 "C13C1CTXR0,CCB 13 Class 1 Context Register Word 0" line.long 0x04 "C13C1CTXR1,CCB 13 Class 1 Context Register Word 1" line.long 0x08 "C13C1CTXR2,CCB 13 Class 1 Context Register Word 2" line.long 0x0C "C13C1CTXR3,CCB 13 Class 1 Context Register Word 3" line.long 0x10 "C13C1CTXR4,CCB 13 Class 1 Context Register Word 4" line.long 0x14 "C13C1CTXR5,CCB 13 Class 1 Context Register Word 5" line.long 0x18 "C13C1CTXR6,CCB 13 Class 1 Context Register Word 6" line.long 0x1C "C13C1CTXR7,CCB 13 Class 1 Context Register Word 7" line.long 0x20 "C13C1CTXR8,CCB 13 Class 1 Context Register Word 8" line.long 0x24 "C13C1CTXR9,CCB 13 Class 1 Context Register Word 9" line.long 0x28 "C13C1CTXR10,CCB 13 Class 1 Context Register Word 10" line.long 0x2C "C13C1CTXR11,CCB 13 Class 1 Context Register Word 11" line.long 0x30 "C13C1CTXR12,CCB 13 Class 1 Context Register Word 12" line.long 0x34 "C13C1CTXR13,CCB 13 Class 1 Context Register Word 13" line.long 0x38 "C13C1CTXR14,CCB 13 Class 1 Context Register Word 14" line.long 0x3C "C13C1CTXR15,CCB 13 Class 1 Context Register Word 15" group.long (0x8D004+0x1FC)++0x1F line.long 0x00 "C13C1KR0,CCB 13 Class 1 Key Register Word 0" line.long 0x04 "C13C1KR1,CCB 13 Class 1 Key Register Word 1" line.long 0x08 "C13C1KR2,CCB 13 Class 1 Key Register Word 2" line.long 0x0C "C13C1KR3,CCB 13 Class 1 Key Register Word 3" line.long 0x10 "C13C1KR4,CCB 13 Class 1 Key Register Word 4" line.long 0x14 "C13C1KR5,CCB 13 Class 1 Key Register Word 5" line.long 0x18 "C13C1KR6,CCB 13 Class 1 Key Register Word 6" line.long 0x1C "C13C1KR7,CCB 13 Class 1 Key Register Word 7" group.long (0x8D004+0x400)++0x03 line.long 0x00 "C13C2MR,CCB 13 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x8D004+0x408)++0x03 line.long 0x00 "C13C2KSR,CCB 13 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x8D004+0x40C)++0x07 line.quad 0x00 "C13C2DSR,CCB 13 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x8D004+0x418)++0x03 line.long 0x00 "C13C2ICVSZR,CCB 13 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8D004+0x454)++0x03 line.long 0x00 "C13C2AADSZR,CCB 13 Class 2 AAD Size Register" group.long (0x8D004+0x4FC)++0x47 line.long 0x00 "C13C2CTXR0,CCB 13 Class 2 Context Register Word 0" line.long 0x04 "C13C2CTXR1,CCB 13 Class 2 Context Register Word 1" line.long 0x08 "C13C2CTXR2,CCB 13 Class 2 Context Register Word 2" line.long 0x0C "C13C2CTXR3,CCB 13 Class 2 Context Register Word 3" line.long 0x10 "C13C2CTXR4,CCB 13 Class 2 Context Register Word 4" line.long 0x14 "C13C2CTXR5,CCB 13 Class 2 Context Register Word 5" line.long 0x18 "C13C2CTXR6,CCB 13 Class 2 Context Register Word 6" line.long 0x1C "C13C2CTXR7,CCB 13 Class 2 Context Register Word 7" line.long 0x20 "C13C2CTXR8,CCB 13 Class 2 Context Register Word 8" line.long 0x24 "C13C2CTXR9,CCB 13 Class 2 Context Register Word 9" line.long 0x28 "C13C2CTXR10,CCB 13 Class 2 Context Register Word 10" line.long 0x2C "C13C2CTXR11,CCB 13 Class 2 Context Register Word 11" line.long 0x30 "C13C2CTXR12,CCB 13 Class 2 Context Register Word 12" line.long 0x34 "C13C2CTXR13,CCB 13 Class 2 Context Register Word 13" line.long 0x38 "C13C2CTXR14,CCB 13 Class 2 Context Register Word 14" line.long 0x3C "C13C2CTXR15,CCB 13 Class 2 Context Register Word 15" line.long 0x40 "C13C2CTXR16,CCB 13 Class 2 Context Register Word 16" line.long 0x44 "C13C2CTXR17,CCB 13 Class 2 Context Register Word 17" group.long (0x8D004+0x5FC)++0x7F line.long 0x00 "C13C2KEYR0,CCB 13 Class 2 Key Register Word 0" line.long 0x04 "C13C2KEYR1,CCB 13 Class 2 Key Register Word 1" line.long 0x08 "C13C2KEYR2,CCB 13 Class 2 Key Register Word 2" line.long 0x0C "C13C2KEYR3,CCB 13 Class 2 Key Register Word 3" line.long 0x10 "C13C2KEYR4,CCB 13 Class 2 Key Register Word 4" line.long 0x14 "C13C2KEYR5,CCB 13 Class 2 Key Register Word 5" line.long 0x18 "C13C2KEYR6,CCB 13 Class 2 Key Register Word 6" line.long 0x1C "C13C2KEYR7,CCB 13 Class 2 Key Register Word 7" line.long 0x20 "C13C2KEYR8,CCB 13 Class 2 Key Register Word 8" line.long 0x24 "C13C2KEYR9,CCB 13 Class 2 Key Register Word 9" line.long 0x28 "C13C2KEYR10,CCB 13 Class 2 Key Register Word 10" line.long 0x2C "C13C2KEYR11,CCB 13 Class 2 Key Register Word 11" line.long 0x30 "C13C2KEYR12,CCB 13 Class 2 Key Register Word 12" line.long 0x34 "C13C2KEYR13,CCB 13 Class 2 Key Register Word 13" line.long 0x38 "C13C2KEYR14,CCB 13 Class 2 Key Register Word 14" line.long 0x3C "C13C2KEYR15,CCB 13 Class 2 Key Register Word 15" line.long 0x40 "C13C2KEYR16,CCB 13 Class 2 Key Register Word 16" line.long 0x44 "C13C2KEYR17,CCB 13 Class 2 Key Register Word 17" line.long 0x48 "C13C2KEYR18,CCB 13 Class 2 Key Register Word 18" line.long 0x4C "C13C2KEYR19,CCB 13 Class 2 Key Register Word 19" line.long 0x50 "C13C2KEYR20,CCB 13 Class 2 Key Register Word 20" line.long 0x54 "C13C2KEYR21,CCB 13 Class 2 Key Register Word 21" line.long 0x58 "C13C2KEYR22,CCB 13 Class 2 Key Register Word 22" line.long 0x5C "C13C2KEYR23,CCB 13 Class 2 Key Register Word 23" line.long 0x60 "C13C2KEYR24,CCB 13 Class 2 Key Register Word 24" line.long 0x64 "C13C2KEYR25,CCB 13 Class 2 Key Register Word 25" line.long 0x68 "C13C2KEYR26,CCB 13 Class 2 Key Register Word 26" line.long 0x6C "C13C2KEYR27,CCB 13 Class 2 Key Register Word 27" line.long 0x70 "C13C2KEYR28,CCB 13 Class 2 Key Register Word 28" line.long 0x74 "C13C2KEYR29,CCB 13 Class 2 Key Register Word 29" line.long 0x78 "C13C2KEYR30,CCB 13 Class 2 Key Register Word 30" line.long 0x7C "C13C2KEYR31,CCB 13 Class 2 Key Register Word 31" rgroup.long (0x8D004+0x7BC)++0x03 line.long 0x00 "C13FIFOSTA,CCB 13 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x8D004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x8D004+0x7CC)++0x03 line.long 0x00 "C13NFIFO,CCB 13 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x8D004+0x7CC)++0x03 line.long 0x00 "C13NFIFO,CCB 13 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x8D004+0x7DC)++0x03 line.long 0x00 "C13IFIFO,CCB 13 Input Data FIFO" rgroup.quad (0x8D004+0x7EC)++0x07 line.quad 0x00 "C13OFIFO,CCB 13 Output Data FIFO" group.long (0x8D004+0x7FC)++0x03 line.long 0x00 "D13JQCR_MS,DECO13 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x8D004+0x800)++0x03 line.long 0x00 "D13JQCR_LS,DECO13 Job Queue Control Register" rgroup.quad (0x8D004+0x804)++0x07 line.quad 0x00 "D13DAR,DECO13 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x8D004+0x80C)++0x07 line.long 0x00 "D13OPSTA_MS,DECO13 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D13OPSTA_LS,DECO13 Operation Status Register" group.long (0x8D004+0x814)++0x03 line.long 0x00 "D13CKSUMR,DECO13 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x8D004+0x81C)++0x07 line.long 0x00 "D13COICIDSR,DECO13 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D13SIICIDSR,DECO13 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x8D004++0x03 hide.long 0x00 "C13C1MR,CCB 13 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x8D004++0x03 hide.long 0x00 "C13C1MR_PK,CCB 13 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x8D004++0x03 hide.long 0x00 "C13C1MR_RNG,CCB 13 Class 1 Mode Register Format For RNG" hgroup.long (0x8D004+0x08)++0x03 hide.long 0x00 "C13C1KSR,CCB 13 Class 1 Key Size Register" hgroup.quad (0x8D004+0x0C)++0x07 hide.quad 0x00 "C13C1DSR,CCB 13 Class 1 Data Size Register" hgroup.long (0x8D004+0x18)++0x03 hide.long 0x00 "C13C1ICVSR,CCB 13 Class 1 ICV Size Register" hgroup.long (0x8D004+0x30)++0x03 hide.long 0x00 "C13CCTRL,CCB 13 CHA Control Register" hgroup.long (0x8D004+0x3C)++0x03 hide.long 0x00 "C13ICTL,CCB 13 Interrupt Control Register" hgroup.long (0x8D004+0x40)++0x03 hide.long 0x00 "C13CWR,CCB 13 Clear Written Register" hgroup.long (0x8D004+0x44)++0x03 hide.long 0x00 "C13CSTA_MS,CCB 13 Status And Error Register" hgroup.long (0x8D004+0x48)++0x03 hide.long 0x00 "C13CSTA_LS,CCB 13 Status And Error LS Register" hgroup.long (0x8D004+0x58)++0x03 hide.long 0x00 "C13C1AADSZR,CCB 13 Class 1 AAD Size Register" hgroup.long (0x8D004+0x60)++0x03 hide.long 0x00 "C13C1IVSZR,CCB 13 Class 1 IV Size Register" hgroup.long (0x8D004+0x80)++0x03 hide.long 0x00 "C13PKASZR,PKHA A Size 13 Register" hgroup.long (0x8D004+0x88)++0x03 hide.long 0x00 "C13PKBSZR,PKHA B Size 13 Register" hgroup.long (0x8D004+0x90)++0x03 hide.long 0x00 "C13PKNSZR,PKHA N Size 13 Register" hgroup.long (0x8D004+0x98)++0x03 hide.long 0x00 "C13PKESZR,PKHA E Size 13 Register" hgroup.long (0x8D004+0xFC)++0x03 hide.long 0x00 "C13C1CTXR0,CCB 13 Class 1 Context Register Word 0" hgroup.long (0x8D004+0xFC)++0x03 hide.long 0x00 "C13C1CTXR1,CCB 13 Class 1 Context Register Word 1" hgroup.long (0x8D004+0x100)++0x03 hide.long 0x00 "C13C1CTXR2,CCB 13 Class 1 Context Register Word 2" hgroup.long (0x8D004+0x104)++0x03 hide.long 0x00 "C13C1CTXR3,CCB 13 Class 1 Context Register Word 3" hgroup.long (0x8D004+0x108)++0x03 hide.long 0x00 "C13C1CTXR4,CCB 13 Class 1 Context Register Word 4" hgroup.long (0x8D004+0x10C)++0x03 hide.long 0x00 "C13C1CTXR5,CCB 13 Class 1 Context Register Word 5" hgroup.long (0x8D004+0x110)++0x03 hide.long 0x00 "C13C1CTXR6,CCB 13 Class 1 Context Register Word 6" hgroup.long (0x8D004+0x114)++0x03 hide.long 0x00 "C13C1CTXR7,CCB 13 Class 1 Context Register Word 7" hgroup.long (0x8D004+0x118)++0x03 hide.long 0x00 "C13C1CTXR8,CCB 13 Class 1 Context Register Word 8" hgroup.long (0x8D004+0x11C)++0x03 hide.long 0x00 "C13C1CTXR9,CCB 13 Class 1 Context Register Word 9" hgroup.long (0x8D004+0x120)++0x03 hide.long 0x00 "C13C1CTXR10,CCB 13 Class 1 Context Register Word 10" hgroup.long (0x8D004+0x124)++0x03 hide.long 0x00 "C13C1CTXR11,CCB 13 Class 1 Context Register Word 11" hgroup.long (0x8D004+0x128)++0x03 hide.long 0x00 "C13C1CTXR12,CCB 13 Class 1 Context Register Word 12" hgroup.long (0x8D004+0x12C)++0x03 hide.long 0x00 "C13C1CTXR13,CCB 13 Class 1 Context Register Word 13" hgroup.long (0x8D004+0x130)++0x03 hide.long 0x00 "C13C1CTXR14,CCB 13 Class 1 Context Register Word 14" hgroup.long (0x8D004+0x134)++0x03 hide.long 0x00 "C13C1CTXR15,CCB 13 Class 1 Context Register Word 15" hgroup.long (0x8D004+0x1FC)++0x03 hide.long 0x00 "C13C1KR0,CCB 13 Class 1 Key Register Word 0" hgroup.long (0x8D004+0x200)++0x03 hide.long 0x00 "C13C1KR1,CCB 13 Class 1 Key Register Word 1" hgroup.long (0x8D004+0x204)++0x03 hide.long 0x00 "C13C1KR2,CCB 13 Class 1 Key Register Word 2" hgroup.long (0x8D004+0x208)++0x03 hide.long 0x00 "C13C1KR3,CCB 13 Class 1 Key Register Word 3" hgroup.long (0x8D004+0x20C)++0x03 hide.long 0x00 "C13C1KR4,CCB 13 Class 1 Key Register Word 4" hgroup.long (0x8D004+0x210)++0x03 hide.long 0x00 "C13C1KR5,CCB 13 Class 1 Key Register Word 5" hgroup.long (0x8D004+0x214)++0x03 hide.long 0x00 "C13C1KR6,CCB 13 Class 1 Key Register Word 6" hgroup.long (0x8D004+0x218)++0x03 hide.long 0x00 "C13C1KR7,CCB 13 Class 1 Key Register Word 7" hgroup.long (0x8D004+0x400)++0x03 hide.long 0x00 "C13C2MR,CCB 13 Class 2 Mode Register" hgroup.long (0x8D004+0x408)++0x03 hide.long 0x00 "C13C2KSR,CCB 13 Class 2 Key Size Register" hgroup.quad (0x8D004+0x40C)++0x07 hide.quad 0x00 "C13C2DSR,CCB 13 Class 2 Data Size Register" hgroup.long (0x8D004+0x418)++0x03 hide.long 0x00 "C13C2ICVSZR,CCB 13 Class 2 ICV Size Register" hgroup.long (0x8D004+0x454)++0x03 hide.long 0x00 "C13C2AADSZR,CCB 13 Class 2 AAD Size Register" hgroup.long (0x8D004+0x4FC)++0x03 hide.long 0x00 "C13C2CTXR0,CCB 13 Class 2 Context Register Word 0" hgroup.long (0x8D004+0x500)++0x03 hide.long 0x00 "C13C2CTXR1,CCB 13 Class 2 Context Register Word 1" hgroup.long (0x8D004+0x504)++0x03 hide.long 0x00 "C13C2CTXR2,CCB 13 Class 2 Context Register Word 2" hgroup.long (0x8D004+0x508)++0x03 hide.long 0x00 "C13C2CTXR3,CCB 13 Class 2 Context Register Word 3" hgroup.long (0x8D004+0x50C)++0x03 hide.long 0x00 "C13C2CTXR4,CCB 13 Class 2 Context Register Word 4" hgroup.long (0x8D004+0x510)++0x03 hide.long 0x00 "C13C2CTXR5,CCB 13 Class 2 Context Register Word 5" hgroup.long (0x8D004+0x514)++0x03 hide.long 0x00 "C13C2CTXR6,CCB 13 Class 2 Context Register Word 6" hgroup.long (0x8D004+0x518)++0x03 hide.long 0x00 "C13C2CTXR7,CCB 13 Class 2 Context Register Word 7" hgroup.long (0x8D004+0x51C)++0x03 hide.long 0x00 "C13C2CTXR8,CCB 13 Class 2 Context Register Word 8" hgroup.long (0x8D004+0x520)++0x03 hide.long 0x00 "C13C2CTXR9,CCB 13 Class 2 Context Register Word 9" hgroup.long (0x8D004+0x524)++0x03 hide.long 0x00 "C13C2CTXR10,CCB 13 Class 2 Context Register Word 10" hgroup.long (0x8D004+0x528)++0x03 hide.long 0x00 "C13C2CTXR11,CCB 13 Class 2 Context Register Word 11" hgroup.long (0x8D004+0x52C)++0x03 hide.long 0x00 "C13C2CTXR12,CCB 13 Class 2 Context Register Word 12" hgroup.long (0x8D004+0x530)++0x03 hide.long 0x00 "C13C2CTXR13,CCB 13 Class 2 Context Register Word 13" hgroup.long (0x8D004+0x534)++0x03 hide.long 0x00 "C13C2CTXR14,CCB 13 Class 2 Context Register Word 14" hgroup.long (0x8D004+0x538)++0x03 hide.long 0x00 "C13C2CTXR15,CCB 13 Class 2 Context Register Word 15" hgroup.long (0x8D004+0x53C)++0x03 hide.long 0x00 "C13C2CTXR16,CCB 13 Class 2 Context Register Word 16" hgroup.long (0x8D004+0x540)++0x03 hide.long 0x00 "C13C2CTXR17,CCB 13 Class 2 Context Register Word 17" hgroup.long (0x8D004+0x5FC)++0x03 hide.long 0x00 "C13C2KEYR0,CCB 13 Class 2 Key Register Word 0" hgroup.long (0x8D004+0x600)++0x03 hide.long 0x00 "C13C2KEYR1,CCB 13 Class 2 Key Register Word 1" hgroup.long (0x8D004+0x604)++0x03 hide.long 0x00 "C13C2KEYR2,CCB 13 Class 2 Key Register Word 2" hgroup.long (0x8D004+0x608)++0x03 hide.long 0x00 "C13C2KEYR3,CCB 13 Class 2 Key Register Word 3" hgroup.long (0x8D004+0x60C)++0x03 hide.long 0x00 "C13C2KEYR4,CCB 13 Class 2 Key Register Word 4" hgroup.long (0x8D004+0x610)++0x03 hide.long 0x00 "C13C2KEYR5,CCB 13 Class 2 Key Register Word 5" hgroup.long (0x8D004+0x614)++0x03 hide.long 0x00 "C13C2KEYR6,CCB 13 Class 2 Key Register Word 6" hgroup.long (0x8D004+0x618)++0x03 hide.long 0x00 "C13C2KEYR7,CCB 13 Class 2 Key Register Word 7" hgroup.long (0x8D004+0x61C)++0x03 hide.long 0x00 "C13C2KEYR8,CCB 13 Class 2 Key Register Word 8" hgroup.long (0x8D004+0x620)++0x03 hide.long 0x00 "C13C2KEYR9,CCB 13 Class 2 Key Register Word 9" hgroup.long (0x8D004+0x624)++0x03 hide.long 0x00 "C13C2KEYR10,CCB 13 Class 2 Key Register Word 10" hgroup.long (0x8D004+0x628)++0x03 hide.long 0x00 "C13C2KEYR11,CCB 13 Class 2 Key Register Word 11" hgroup.long (0x8D004+0x62C)++0x03 hide.long 0x00 "C13C2KEYR12,CCB 13 Class 2 Key Register Word 12" hgroup.long (0x8D004+0x630)++0x03 hide.long 0x00 "C13C2KEYR13,CCB 13 Class 2 Key Register Word 13" hgroup.long (0x8D004+0x634)++0x03 hide.long 0x00 "C13C2KEYR14,CCB 13 Class 2 Key Register Word 14" hgroup.long (0x8D004+0x638)++0x03 hide.long 0x00 "C13C2KEYR15,CCB 13 Class 2 Key Register Word 15" hgroup.long (0x8D004+0x63C)++0x03 hide.long 0x00 "C13C2KEYR16,CCB 13 Class 2 Key Register Word 16" hgroup.long (0x8D004+0x640)++0x03 hide.long 0x00 "C13C2KEYR17,CCB 13 Class 2 Key Register Word 17" hgroup.long (0x8D004+0x644)++0x03 hide.long 0x00 "C13C2KEYR18,CCB 13 Class 2 Key Register Word 18" hgroup.long (0x8D004+0x648)++0x03 hide.long 0x00 "C13C2KEYR19,CCB 13 Class 2 Key Register Word 19" hgroup.long (0x8D004+0x64C)++0x03 hide.long 0x00 "C13C2KEYR20,CCB 13 Class 2 Key Register Word 20" hgroup.long (0x8D004+0x650)++0x03 hide.long 0x00 "C13C2KEYR21,CCB 13 Class 2 Key Register Word 21" hgroup.long (0x8D004+0x654)++0x03 hide.long 0x00 "C13C2KEYR22,CCB 13 Class 2 Key Register Word 22" hgroup.long (0x8D004+0x658)++0x03 hide.long 0x00 "C13C2KEYR23,CCB 13 Class 2 Key Register Word 23" hgroup.long (0x8D004+0x65C)++0x03 hide.long 0x00 "C13C2KEYR24,CCB 13 Class 2 Key Register Word 24" hgroup.long (0x8D004+0x660)++0x03 hide.long 0x00 "C13C2KEYR25,CCB 13 Class 2 Key Register Word 25" hgroup.long (0x8D004+0x664)++0x03 hide.long 0x00 "C13C2KEYR26,CCB 13 Class 2 Key Register Word 26" hgroup.long (0x8D004+0x668)++0x03 hide.long 0x00 "C13C2KEYR27,CCB 13 Class 2 Key Register Word 27" hgroup.long (0x8D004+0x66C)++0x03 hide.long 0x00 "C13C2KEYR28,CCB 13 Class 2 Key Register Word 28" hgroup.long (0x8D004+0x670)++0x03 hide.long 0x00 "C13C2KEYR29,CCB 13 Class 2 Key Register Word 29" hgroup.long (0x8D004+0x674)++0x03 hide.long 0x00 "C13C2KEYR30,CCB 13 Class 2 Key Register Word 30" hgroup.long (0x8D004+0x678)++0x03 hide.long 0x00 "C13C2KEYR31,CCB 13 Class 2 Key Register Word 31" hgroup.long (0x8D004+0x7BC)++0x03 hide.long 0x00 "C13FIFOSTA,CCB 13 FIFO Status Register" hgroup.long (0x8D004+0x7CC)++0x03 hide.long 0x00 "C13NFIFO,CCB 13 Information FIFO Register" hgroup.long (0x8D004+0x7DC)++0x03 hide.long 0x00 "C13IFIFO,CCB 13 Input Data FIFO" hgroup.quad (0x8D004+0x7EC)++0x07 hide.quad 0x00 "C13OFIFO,CCB 13 Output Data FIFO" hgroup.long (0x8D004+0x7FC)++0x03 hide.long 0x00 "D13JQCR_MS,DECO13 Job Queue Control Register" hgroup.long (0x8D004+0x800)++0x03 hide.long 0x00 "D13JQCR_LS,DECO13 Job Queue Control Register" hgroup.quad (0x8D004+0x804)++0x07 hide.quad 0x00 "D13DAR,DECO13 Descriptor Address Register" hgroup.long (0x8D004+0x80C)++0x03 hide.long 0x00 "D13OPSTA_MS,DECO13 Operation Status MS Register" hgroup.long (0x8D004+0x810)++0x03 hide.long 0x00 "D13OPSTA_LS,DECO13 Operation Status Register" hgroup.long (0x8D004+0x814)++0x03 hide.long 0x00 "D13CKSUMR,DECO13 Checksum Register" hgroup.long (0x8D004+0x81C)++0x03 hide.long 0x00 "D13COICIDSR,DECO13 Control And Output ICID Status Register" hgroup.long (0x8D004+0x820)++0x03 hide.long 0x00 "D13SIICIDSR,DECO13 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<14.)==(0x10001<<14.)) group.long 0x8E004++0x03 "CCB 14" line.long 0x00 "C14C1MR,CCB 14 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x8E004++0x03 line.long 0x00 "C14C1MR_PK,CCB 14 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x8E004++0x03 line.long 0x00 "C14C1MR_RNG,CCB 14 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x8E004+0x08)++0x03 line.long 0x00 "C14C1KSR,CCB 14 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x8E004+0x0C)++0x07 line.quad 0x00 "C14C1DSR,CCB 14 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x8E004+0x18)++0x03 line.long 0x00 "C14C1ICVSR,CCB 14 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x8E004+0x30)++0x03 line.long 0x00 "C14CCTRL,CCB 14 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x8E004+0x3C)++0x03 line.long 0x00 "C14ICTL,CCB 14 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x8E004+0x40)++0x03 line.long 0x00 "C14CWR,CCB 14 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x8E004+0x44)++0x07 line.long 0x00 "C14CSTA_MS,CCB 14 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C14CSTA_LS,CCB 14 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x8E004+0x58)++0x03 line.long 0x00 "C14C1AADSZR,CCB 14 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8E004+0x60)++0x03 line.long 0x00 "C14C1IVSZR,CCB 14 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8E004+0x80)++0x03 line.long 0x00 "C14PKASZR,PKHA A Size 14 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x8E004+0x88)++0x03 line.long 0x00 "C14PKBSZR,PKHA B Size 14 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x8E004+0x90)++0x03 line.long 0x00 "C14PKNSZR,PKHA N Size 14 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x8E004+0x98)++0x03 line.long 0x00 "C14PKESZR,PKHA E Size 14 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x8E004+0xFC)++0x3F line.long 0x00 "C14C1CTXR0,CCB 14 Class 1 Context Register Word 0" line.long 0x04 "C14C1CTXR1,CCB 14 Class 1 Context Register Word 1" line.long 0x08 "C14C1CTXR2,CCB 14 Class 1 Context Register Word 2" line.long 0x0C "C14C1CTXR3,CCB 14 Class 1 Context Register Word 3" line.long 0x10 "C14C1CTXR4,CCB 14 Class 1 Context Register Word 4" line.long 0x14 "C14C1CTXR5,CCB 14 Class 1 Context Register Word 5" line.long 0x18 "C14C1CTXR6,CCB 14 Class 1 Context Register Word 6" line.long 0x1C "C14C1CTXR7,CCB 14 Class 1 Context Register Word 7" line.long 0x20 "C14C1CTXR8,CCB 14 Class 1 Context Register Word 8" line.long 0x24 "C14C1CTXR9,CCB 14 Class 1 Context Register Word 9" line.long 0x28 "C14C1CTXR10,CCB 14 Class 1 Context Register Word 10" line.long 0x2C "C14C1CTXR11,CCB 14 Class 1 Context Register Word 11" line.long 0x30 "C14C1CTXR12,CCB 14 Class 1 Context Register Word 12" line.long 0x34 "C14C1CTXR13,CCB 14 Class 1 Context Register Word 13" line.long 0x38 "C14C1CTXR14,CCB 14 Class 1 Context Register Word 14" line.long 0x3C "C14C1CTXR15,CCB 14 Class 1 Context Register Word 15" group.long (0x8E004+0x1FC)++0x1F line.long 0x00 "C14C1KR0,CCB 14 Class 1 Key Register Word 0" line.long 0x04 "C14C1KR1,CCB 14 Class 1 Key Register Word 1" line.long 0x08 "C14C1KR2,CCB 14 Class 1 Key Register Word 2" line.long 0x0C "C14C1KR3,CCB 14 Class 1 Key Register Word 3" line.long 0x10 "C14C1KR4,CCB 14 Class 1 Key Register Word 4" line.long 0x14 "C14C1KR5,CCB 14 Class 1 Key Register Word 5" line.long 0x18 "C14C1KR6,CCB 14 Class 1 Key Register Word 6" line.long 0x1C "C14C1KR7,CCB 14 Class 1 Key Register Word 7" group.long (0x8E004+0x400)++0x03 line.long 0x00 "C14C2MR,CCB 14 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x8E004+0x408)++0x03 line.long 0x00 "C14C2KSR,CCB 14 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x8E004+0x40C)++0x07 line.quad 0x00 "C14C2DSR,CCB 14 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x8E004+0x418)++0x03 line.long 0x00 "C14C2ICVSZR,CCB 14 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8E004+0x454)++0x03 line.long 0x00 "C14C2AADSZR,CCB 14 Class 2 AAD Size Register" group.long (0x8E004+0x4FC)++0x47 line.long 0x00 "C14C2CTXR0,CCB 14 Class 2 Context Register Word 0" line.long 0x04 "C14C2CTXR1,CCB 14 Class 2 Context Register Word 1" line.long 0x08 "C14C2CTXR2,CCB 14 Class 2 Context Register Word 2" line.long 0x0C "C14C2CTXR3,CCB 14 Class 2 Context Register Word 3" line.long 0x10 "C14C2CTXR4,CCB 14 Class 2 Context Register Word 4" line.long 0x14 "C14C2CTXR5,CCB 14 Class 2 Context Register Word 5" line.long 0x18 "C14C2CTXR6,CCB 14 Class 2 Context Register Word 6" line.long 0x1C "C14C2CTXR7,CCB 14 Class 2 Context Register Word 7" line.long 0x20 "C14C2CTXR8,CCB 14 Class 2 Context Register Word 8" line.long 0x24 "C14C2CTXR9,CCB 14 Class 2 Context Register Word 9" line.long 0x28 "C14C2CTXR10,CCB 14 Class 2 Context Register Word 10" line.long 0x2C "C14C2CTXR11,CCB 14 Class 2 Context Register Word 11" line.long 0x30 "C14C2CTXR12,CCB 14 Class 2 Context Register Word 12" line.long 0x34 "C14C2CTXR13,CCB 14 Class 2 Context Register Word 13" line.long 0x38 "C14C2CTXR14,CCB 14 Class 2 Context Register Word 14" line.long 0x3C "C14C2CTXR15,CCB 14 Class 2 Context Register Word 15" line.long 0x40 "C14C2CTXR16,CCB 14 Class 2 Context Register Word 16" line.long 0x44 "C14C2CTXR17,CCB 14 Class 2 Context Register Word 17" group.long (0x8E004+0x5FC)++0x7F line.long 0x00 "C14C2KEYR0,CCB 14 Class 2 Key Register Word 0" line.long 0x04 "C14C2KEYR1,CCB 14 Class 2 Key Register Word 1" line.long 0x08 "C14C2KEYR2,CCB 14 Class 2 Key Register Word 2" line.long 0x0C "C14C2KEYR3,CCB 14 Class 2 Key Register Word 3" line.long 0x10 "C14C2KEYR4,CCB 14 Class 2 Key Register Word 4" line.long 0x14 "C14C2KEYR5,CCB 14 Class 2 Key Register Word 5" line.long 0x18 "C14C2KEYR6,CCB 14 Class 2 Key Register Word 6" line.long 0x1C "C14C2KEYR7,CCB 14 Class 2 Key Register Word 7" line.long 0x20 "C14C2KEYR8,CCB 14 Class 2 Key Register Word 8" line.long 0x24 "C14C2KEYR9,CCB 14 Class 2 Key Register Word 9" line.long 0x28 "C14C2KEYR10,CCB 14 Class 2 Key Register Word 10" line.long 0x2C "C14C2KEYR11,CCB 14 Class 2 Key Register Word 11" line.long 0x30 "C14C2KEYR12,CCB 14 Class 2 Key Register Word 12" line.long 0x34 "C14C2KEYR13,CCB 14 Class 2 Key Register Word 13" line.long 0x38 "C14C2KEYR14,CCB 14 Class 2 Key Register Word 14" line.long 0x3C "C14C2KEYR15,CCB 14 Class 2 Key Register Word 15" line.long 0x40 "C14C2KEYR16,CCB 14 Class 2 Key Register Word 16" line.long 0x44 "C14C2KEYR17,CCB 14 Class 2 Key Register Word 17" line.long 0x48 "C14C2KEYR18,CCB 14 Class 2 Key Register Word 18" line.long 0x4C "C14C2KEYR19,CCB 14 Class 2 Key Register Word 19" line.long 0x50 "C14C2KEYR20,CCB 14 Class 2 Key Register Word 20" line.long 0x54 "C14C2KEYR21,CCB 14 Class 2 Key Register Word 21" line.long 0x58 "C14C2KEYR22,CCB 14 Class 2 Key Register Word 22" line.long 0x5C "C14C2KEYR23,CCB 14 Class 2 Key Register Word 23" line.long 0x60 "C14C2KEYR24,CCB 14 Class 2 Key Register Word 24" line.long 0x64 "C14C2KEYR25,CCB 14 Class 2 Key Register Word 25" line.long 0x68 "C14C2KEYR26,CCB 14 Class 2 Key Register Word 26" line.long 0x6C "C14C2KEYR27,CCB 14 Class 2 Key Register Word 27" line.long 0x70 "C14C2KEYR28,CCB 14 Class 2 Key Register Word 28" line.long 0x74 "C14C2KEYR29,CCB 14 Class 2 Key Register Word 29" line.long 0x78 "C14C2KEYR30,CCB 14 Class 2 Key Register Word 30" line.long 0x7C "C14C2KEYR31,CCB 14 Class 2 Key Register Word 31" rgroup.long (0x8E004+0x7BC)++0x03 line.long 0x00 "C14FIFOSTA,CCB 14 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x8E004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x8E004+0x7CC)++0x03 line.long 0x00 "C14NFIFO,CCB 14 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x8E004+0x7CC)++0x03 line.long 0x00 "C14NFIFO,CCB 14 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x8E004+0x7DC)++0x03 line.long 0x00 "C14IFIFO,CCB 14 Input Data FIFO" rgroup.quad (0x8E004+0x7EC)++0x07 line.quad 0x00 "C14OFIFO,CCB 14 Output Data FIFO" group.long (0x8E004+0x7FC)++0x03 line.long 0x00 "D14JQCR_MS,DECO14 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x8E004+0x800)++0x03 line.long 0x00 "D14JQCR_LS,DECO14 Job Queue Control Register" rgroup.quad (0x8E004+0x804)++0x07 line.quad 0x00 "D14DAR,DECO14 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x8E004+0x80C)++0x07 line.long 0x00 "D14OPSTA_MS,DECO14 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D14OPSTA_LS,DECO14 Operation Status Register" group.long (0x8E004+0x814)++0x03 line.long 0x00 "D14CKSUMR,DECO14 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x8E004+0x81C)++0x07 line.long 0x00 "D14COICIDSR,DECO14 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D14SIICIDSR,DECO14 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x8E004++0x03 hide.long 0x00 "C14C1MR,CCB 14 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x8E004++0x03 hide.long 0x00 "C14C1MR_PK,CCB 14 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x8E004++0x03 hide.long 0x00 "C14C1MR_RNG,CCB 14 Class 1 Mode Register Format For RNG" hgroup.long (0x8E004+0x08)++0x03 hide.long 0x00 "C14C1KSR,CCB 14 Class 1 Key Size Register" hgroup.quad (0x8E004+0x0C)++0x07 hide.quad 0x00 "C14C1DSR,CCB 14 Class 1 Data Size Register" hgroup.long (0x8E004+0x18)++0x03 hide.long 0x00 "C14C1ICVSR,CCB 14 Class 1 ICV Size Register" hgroup.long (0x8E004+0x30)++0x03 hide.long 0x00 "C14CCTRL,CCB 14 CHA Control Register" hgroup.long (0x8E004+0x3C)++0x03 hide.long 0x00 "C14ICTL,CCB 14 Interrupt Control Register" hgroup.long (0x8E004+0x40)++0x03 hide.long 0x00 "C14CWR,CCB 14 Clear Written Register" hgroup.long (0x8E004+0x44)++0x03 hide.long 0x00 "C14CSTA_MS,CCB 14 Status And Error Register" hgroup.long (0x8E004+0x48)++0x03 hide.long 0x00 "C14CSTA_LS,CCB 14 Status And Error LS Register" hgroup.long (0x8E004+0x58)++0x03 hide.long 0x00 "C14C1AADSZR,CCB 14 Class 1 AAD Size Register" hgroup.long (0x8E004+0x60)++0x03 hide.long 0x00 "C14C1IVSZR,CCB 14 Class 1 IV Size Register" hgroup.long (0x8E004+0x80)++0x03 hide.long 0x00 "C14PKASZR,PKHA A Size 14 Register" hgroup.long (0x8E004+0x88)++0x03 hide.long 0x00 "C14PKBSZR,PKHA B Size 14 Register" hgroup.long (0x8E004+0x90)++0x03 hide.long 0x00 "C14PKNSZR,PKHA N Size 14 Register" hgroup.long (0x8E004+0x98)++0x03 hide.long 0x00 "C14PKESZR,PKHA E Size 14 Register" hgroup.long (0x8E004+0xFC)++0x03 hide.long 0x00 "C14C1CTXR0,CCB 14 Class 1 Context Register Word 0" hgroup.long (0x8E004+0xFC)++0x03 hide.long 0x00 "C14C1CTXR1,CCB 14 Class 1 Context Register Word 1" hgroup.long (0x8E004+0x100)++0x03 hide.long 0x00 "C14C1CTXR2,CCB 14 Class 1 Context Register Word 2" hgroup.long (0x8E004+0x104)++0x03 hide.long 0x00 "C14C1CTXR3,CCB 14 Class 1 Context Register Word 3" hgroup.long (0x8E004+0x108)++0x03 hide.long 0x00 "C14C1CTXR4,CCB 14 Class 1 Context Register Word 4" hgroup.long (0x8E004+0x10C)++0x03 hide.long 0x00 "C14C1CTXR5,CCB 14 Class 1 Context Register Word 5" hgroup.long (0x8E004+0x110)++0x03 hide.long 0x00 "C14C1CTXR6,CCB 14 Class 1 Context Register Word 6" hgroup.long (0x8E004+0x114)++0x03 hide.long 0x00 "C14C1CTXR7,CCB 14 Class 1 Context Register Word 7" hgroup.long (0x8E004+0x118)++0x03 hide.long 0x00 "C14C1CTXR8,CCB 14 Class 1 Context Register Word 8" hgroup.long (0x8E004+0x11C)++0x03 hide.long 0x00 "C14C1CTXR9,CCB 14 Class 1 Context Register Word 9" hgroup.long (0x8E004+0x120)++0x03 hide.long 0x00 "C14C1CTXR10,CCB 14 Class 1 Context Register Word 10" hgroup.long (0x8E004+0x124)++0x03 hide.long 0x00 "C14C1CTXR11,CCB 14 Class 1 Context Register Word 11" hgroup.long (0x8E004+0x128)++0x03 hide.long 0x00 "C14C1CTXR12,CCB 14 Class 1 Context Register Word 12" hgroup.long (0x8E004+0x12C)++0x03 hide.long 0x00 "C14C1CTXR13,CCB 14 Class 1 Context Register Word 13" hgroup.long (0x8E004+0x130)++0x03 hide.long 0x00 "C14C1CTXR14,CCB 14 Class 1 Context Register Word 14" hgroup.long (0x8E004+0x134)++0x03 hide.long 0x00 "C14C1CTXR15,CCB 14 Class 1 Context Register Word 15" hgroup.long (0x8E004+0x1FC)++0x03 hide.long 0x00 "C14C1KR0,CCB 14 Class 1 Key Register Word 0" hgroup.long (0x8E004+0x200)++0x03 hide.long 0x00 "C14C1KR1,CCB 14 Class 1 Key Register Word 1" hgroup.long (0x8E004+0x204)++0x03 hide.long 0x00 "C14C1KR2,CCB 14 Class 1 Key Register Word 2" hgroup.long (0x8E004+0x208)++0x03 hide.long 0x00 "C14C1KR3,CCB 14 Class 1 Key Register Word 3" hgroup.long (0x8E004+0x20C)++0x03 hide.long 0x00 "C14C1KR4,CCB 14 Class 1 Key Register Word 4" hgroup.long (0x8E004+0x210)++0x03 hide.long 0x00 "C14C1KR5,CCB 14 Class 1 Key Register Word 5" hgroup.long (0x8E004+0x214)++0x03 hide.long 0x00 "C14C1KR6,CCB 14 Class 1 Key Register Word 6" hgroup.long (0x8E004+0x218)++0x03 hide.long 0x00 "C14C1KR7,CCB 14 Class 1 Key Register Word 7" hgroup.long (0x8E004+0x400)++0x03 hide.long 0x00 "C14C2MR,CCB 14 Class 2 Mode Register" hgroup.long (0x8E004+0x408)++0x03 hide.long 0x00 "C14C2KSR,CCB 14 Class 2 Key Size Register" hgroup.quad (0x8E004+0x40C)++0x07 hide.quad 0x00 "C14C2DSR,CCB 14 Class 2 Data Size Register" hgroup.long (0x8E004+0x418)++0x03 hide.long 0x00 "C14C2ICVSZR,CCB 14 Class 2 ICV Size Register" hgroup.long (0x8E004+0x454)++0x03 hide.long 0x00 "C14C2AADSZR,CCB 14 Class 2 AAD Size Register" hgroup.long (0x8E004+0x4FC)++0x03 hide.long 0x00 "C14C2CTXR0,CCB 14 Class 2 Context Register Word 0" hgroup.long (0x8E004+0x500)++0x03 hide.long 0x00 "C14C2CTXR1,CCB 14 Class 2 Context Register Word 1" hgroup.long (0x8E004+0x504)++0x03 hide.long 0x00 "C14C2CTXR2,CCB 14 Class 2 Context Register Word 2" hgroup.long (0x8E004+0x508)++0x03 hide.long 0x00 "C14C2CTXR3,CCB 14 Class 2 Context Register Word 3" hgroup.long (0x8E004+0x50C)++0x03 hide.long 0x00 "C14C2CTXR4,CCB 14 Class 2 Context Register Word 4" hgroup.long (0x8E004+0x510)++0x03 hide.long 0x00 "C14C2CTXR5,CCB 14 Class 2 Context Register Word 5" hgroup.long (0x8E004+0x514)++0x03 hide.long 0x00 "C14C2CTXR6,CCB 14 Class 2 Context Register Word 6" hgroup.long (0x8E004+0x518)++0x03 hide.long 0x00 "C14C2CTXR7,CCB 14 Class 2 Context Register Word 7" hgroup.long (0x8E004+0x51C)++0x03 hide.long 0x00 "C14C2CTXR8,CCB 14 Class 2 Context Register Word 8" hgroup.long (0x8E004+0x520)++0x03 hide.long 0x00 "C14C2CTXR9,CCB 14 Class 2 Context Register Word 9" hgroup.long (0x8E004+0x524)++0x03 hide.long 0x00 "C14C2CTXR10,CCB 14 Class 2 Context Register Word 10" hgroup.long (0x8E004+0x528)++0x03 hide.long 0x00 "C14C2CTXR11,CCB 14 Class 2 Context Register Word 11" hgroup.long (0x8E004+0x52C)++0x03 hide.long 0x00 "C14C2CTXR12,CCB 14 Class 2 Context Register Word 12" hgroup.long (0x8E004+0x530)++0x03 hide.long 0x00 "C14C2CTXR13,CCB 14 Class 2 Context Register Word 13" hgroup.long (0x8E004+0x534)++0x03 hide.long 0x00 "C14C2CTXR14,CCB 14 Class 2 Context Register Word 14" hgroup.long (0x8E004+0x538)++0x03 hide.long 0x00 "C14C2CTXR15,CCB 14 Class 2 Context Register Word 15" hgroup.long (0x8E004+0x53C)++0x03 hide.long 0x00 "C14C2CTXR16,CCB 14 Class 2 Context Register Word 16" hgroup.long (0x8E004+0x540)++0x03 hide.long 0x00 "C14C2CTXR17,CCB 14 Class 2 Context Register Word 17" hgroup.long (0x8E004+0x5FC)++0x03 hide.long 0x00 "C14C2KEYR0,CCB 14 Class 2 Key Register Word 0" hgroup.long (0x8E004+0x600)++0x03 hide.long 0x00 "C14C2KEYR1,CCB 14 Class 2 Key Register Word 1" hgroup.long (0x8E004+0x604)++0x03 hide.long 0x00 "C14C2KEYR2,CCB 14 Class 2 Key Register Word 2" hgroup.long (0x8E004+0x608)++0x03 hide.long 0x00 "C14C2KEYR3,CCB 14 Class 2 Key Register Word 3" hgroup.long (0x8E004+0x60C)++0x03 hide.long 0x00 "C14C2KEYR4,CCB 14 Class 2 Key Register Word 4" hgroup.long (0x8E004+0x610)++0x03 hide.long 0x00 "C14C2KEYR5,CCB 14 Class 2 Key Register Word 5" hgroup.long (0x8E004+0x614)++0x03 hide.long 0x00 "C14C2KEYR6,CCB 14 Class 2 Key Register Word 6" hgroup.long (0x8E004+0x618)++0x03 hide.long 0x00 "C14C2KEYR7,CCB 14 Class 2 Key Register Word 7" hgroup.long (0x8E004+0x61C)++0x03 hide.long 0x00 "C14C2KEYR8,CCB 14 Class 2 Key Register Word 8" hgroup.long (0x8E004+0x620)++0x03 hide.long 0x00 "C14C2KEYR9,CCB 14 Class 2 Key Register Word 9" hgroup.long (0x8E004+0x624)++0x03 hide.long 0x00 "C14C2KEYR10,CCB 14 Class 2 Key Register Word 10" hgroup.long (0x8E004+0x628)++0x03 hide.long 0x00 "C14C2KEYR11,CCB 14 Class 2 Key Register Word 11" hgroup.long (0x8E004+0x62C)++0x03 hide.long 0x00 "C14C2KEYR12,CCB 14 Class 2 Key Register Word 12" hgroup.long (0x8E004+0x630)++0x03 hide.long 0x00 "C14C2KEYR13,CCB 14 Class 2 Key Register Word 13" hgroup.long (0x8E004+0x634)++0x03 hide.long 0x00 "C14C2KEYR14,CCB 14 Class 2 Key Register Word 14" hgroup.long (0x8E004+0x638)++0x03 hide.long 0x00 "C14C2KEYR15,CCB 14 Class 2 Key Register Word 15" hgroup.long (0x8E004+0x63C)++0x03 hide.long 0x00 "C14C2KEYR16,CCB 14 Class 2 Key Register Word 16" hgroup.long (0x8E004+0x640)++0x03 hide.long 0x00 "C14C2KEYR17,CCB 14 Class 2 Key Register Word 17" hgroup.long (0x8E004+0x644)++0x03 hide.long 0x00 "C14C2KEYR18,CCB 14 Class 2 Key Register Word 18" hgroup.long (0x8E004+0x648)++0x03 hide.long 0x00 "C14C2KEYR19,CCB 14 Class 2 Key Register Word 19" hgroup.long (0x8E004+0x64C)++0x03 hide.long 0x00 "C14C2KEYR20,CCB 14 Class 2 Key Register Word 20" hgroup.long (0x8E004+0x650)++0x03 hide.long 0x00 "C14C2KEYR21,CCB 14 Class 2 Key Register Word 21" hgroup.long (0x8E004+0x654)++0x03 hide.long 0x00 "C14C2KEYR22,CCB 14 Class 2 Key Register Word 22" hgroup.long (0x8E004+0x658)++0x03 hide.long 0x00 "C14C2KEYR23,CCB 14 Class 2 Key Register Word 23" hgroup.long (0x8E004+0x65C)++0x03 hide.long 0x00 "C14C2KEYR24,CCB 14 Class 2 Key Register Word 24" hgroup.long (0x8E004+0x660)++0x03 hide.long 0x00 "C14C2KEYR25,CCB 14 Class 2 Key Register Word 25" hgroup.long (0x8E004+0x664)++0x03 hide.long 0x00 "C14C2KEYR26,CCB 14 Class 2 Key Register Word 26" hgroup.long (0x8E004+0x668)++0x03 hide.long 0x00 "C14C2KEYR27,CCB 14 Class 2 Key Register Word 27" hgroup.long (0x8E004+0x66C)++0x03 hide.long 0x00 "C14C2KEYR28,CCB 14 Class 2 Key Register Word 28" hgroup.long (0x8E004+0x670)++0x03 hide.long 0x00 "C14C2KEYR29,CCB 14 Class 2 Key Register Word 29" hgroup.long (0x8E004+0x674)++0x03 hide.long 0x00 "C14C2KEYR30,CCB 14 Class 2 Key Register Word 30" hgroup.long (0x8E004+0x678)++0x03 hide.long 0x00 "C14C2KEYR31,CCB 14 Class 2 Key Register Word 31" hgroup.long (0x8E004+0x7BC)++0x03 hide.long 0x00 "C14FIFOSTA,CCB 14 FIFO Status Register" hgroup.long (0x8E004+0x7CC)++0x03 hide.long 0x00 "C14NFIFO,CCB 14 Information FIFO Register" hgroup.long (0x8E004+0x7DC)++0x03 hide.long 0x00 "C14IFIFO,CCB 14 Input Data FIFO" hgroup.quad (0x8E004+0x7EC)++0x07 hide.quad 0x00 "C14OFIFO,CCB 14 Output Data FIFO" hgroup.long (0x8E004+0x7FC)++0x03 hide.long 0x00 "D14JQCR_MS,DECO14 Job Queue Control Register" hgroup.long (0x8E004+0x800)++0x03 hide.long 0x00 "D14JQCR_LS,DECO14 Job Queue Control Register" hgroup.quad (0x8E004+0x804)++0x07 hide.quad 0x00 "D14DAR,DECO14 Descriptor Address Register" hgroup.long (0x8E004+0x80C)++0x03 hide.long 0x00 "D14OPSTA_MS,DECO14 Operation Status MS Register" hgroup.long (0x8E004+0x810)++0x03 hide.long 0x00 "D14OPSTA_LS,DECO14 Operation Status Register" hgroup.long (0x8E004+0x814)++0x03 hide.long 0x00 "D14CKSUMR,DECO14 Checksum Register" hgroup.long (0x8E004+0x81C)++0x03 hide.long 0x00 "D14COICIDSR,DECO14 Control And Output ICID Status Register" hgroup.long (0x8E004+0x820)++0x03 hide.long 0x00 "D14SIICIDSR,DECO14 SDID And ICID Status Register" endif if ((per.l(ad:0x08000000+0x9C)&0x10001<<15.)==(0x10001<<15.)) group.long 0x8F004++0x03 "CCB 15" line.long 0x00 "C15C1MR,CCB 15 Class 1 Mode Register Format For Non-Public Key Algorithms" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 13. " C2K ,Class 2 key" "0,1" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" newline bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" bitfld.long 0x00 1. " ICV_TEST ,ICV checking/test AESA fault detection" "Not compared/injected,Compared/Injected" bitfld.long 0x00 0. " ENC ,Encrypt/Decrypt" "Decrypt,Encrypt" group.long 0x8F004++0x03 line.long 0x00 "C15C1MR_PK,CCB 15 Class 1 Mode Register Format For Public Key Algorithms" bitfld.long 0x00 16.--19. " PKHA_MODE_MS ,PKHA_MODE most significant bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " PKHA_MODE_LS ,PKHA_MODE least significant bits" group.long 0x8F004++0x03 line.long 0x00 "C15C1MR_RNG,CCB 15 Class 1 Mode Register Format For RNG" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" bitfld.long 0x00 12. " SK ,Secure key" "FIFO STORE,[JDKE/TDKE/DS]KR" bitfld.long 0x00 11. " AI ,Additional input included" "Not included,Included" newline bitfld.long 0x00 10. " PS ,Personalization string included" "Not included,Included" bitfld.long 0x00 9. " OBP ,Odd byte parity" "No parity,Parity" bitfld.long 0x00 8. " NZB ,Non zero bytes" "Include,Exclude" newline bitfld.long 0x00 4.--5. " SH ,State handle" "0,1,?..." bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Generate,Instantiate,Reseed,Uninstantiate" bitfld.long 0x00 1. " PR ,Prediction resistance" "Not used,Used" newline bitfld.long 0x00 0. " TST ,Test mode request" "Not requested,Requested" group.long (0x8F004+0x08)++0x03 line.long 0x00 "C15C1KSR,CCB 15 Class 1 Key Size Register" hexmask.long.byte 0x00 0.--6. 1. " C1KS ,Class 1 key size" rgroup.quad (0x8F004+0x0C)++0x07 line.quad 0x00 "C15C1DSR,CCB 15 Class 1 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Data size number of bits" "1,2,3,4,5,6,7,8" bitfld.quad 0x00 32. " C1CY ,Data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C1DS ,Data size" group.long (0x8F004+0x18)++0x03 line.long 0x00 "C15C1ICVSR,CCB 15 Class 1 ICV Size Register" bitfld.long 0x00 0.--4. " C1ICVS ,ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long (0x8F004+0x30)++0x03 line.long 0x00 "C15CCTRL,CCB 15 CHA Control Register" bitfld.long 0x00 27. " UB ,PKHA B memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 26. " UA ,PKHA A memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 24. " UN ,PKHA N memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 23. " UB3 ,PKHA B3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 22. " UB2 ,PKHA B2 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 21. " UB1 ,PKHA B1 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 20. " UB0 ,PKHA B0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 19. " UA3 ,PKHA A3 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 18. " UA2 ,PKHA A2 memory unload" "Not unloaded,Unloaded" newline bitfld.long 0x00 17. " UA1 ,PKHA A1 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 16. " UA0 ,PKHA A0 memory unload" "Not unloaded,Unloaded" bitfld.long 0x00 15. " PTHA ,Poly 1305 accelerator CHA reset" "No reset,Reset" newline bitfld.long 0x00 14. " CCHA ,CHA CHA 20 accelerator reset" "No reset,Reset" bitfld.long 0x00 13. " AES_C2 ,AES class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 12. " ZUCA ,ZUCA reset" "No reset,Reset" newline bitfld.long 0x00 11. " ZUCE ,ZUCE reset" "No reset,Reset" bitfld.long 0x00 10. " SNF9 ,SNOW F9 reset" "No reset,Reset" bitfld.long 0x00 9. " RNG ,Random number generator reset" "No reset,Reset" newline bitfld.long 0x00 8. " CRC ,CRC accelerator reset" "No reset,Reset" bitfld.long 0x00 7. " MD ,Message digest hardware accelerator reset" "No reset,Reset" bitfld.long 0x00 6. " PK ,Public key hardware accelerator reset" "No reset,Reset" newline bitfld.long 0x00 5. " SNF8 ,SNOW F8 reset" "No reset,Reset" bitfld.long 0x00 2. " DES ,DES accelerator reset" "No reset,Reset" bitfld.long 0x00 1. " AES ,AES accelerator reset" "No reset,Reset" newline bitfld.long 0x00 0. " CCB ,CCB reset" "No reset,Reset" rgroup.long (0x8F004+0x3C)++0x03 line.long 0x00 "C15ICTL,CCB 15 Interrupt Control Register" bitfld.long 0x00 31. " PTEI ,PTHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 30. " CCEI ,CCHA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 29. " ASEI ,AES sec error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 28. " ZAEI ,ZUCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 27. " ZEEI ,ZUCE error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 26. " S9EI ,SNW9 error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 25. " RNEI ,RNG error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 24. " CEI ,CRCA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 23. " MEI ,MDHA (hashing) error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 22. " PEI ,PKHA (public key) error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 21. " S8EI ,SNW8 error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 18. " DEI ,DESA error interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 17. " AEI ,AESA error interrupt" "No interrupt,Interrupt" bitfld.long 0x00 15. " PTDI ,PTHA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 14. " CCDI ,CCHA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 13. " ASDI ,AES sec done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 12. " ZADI ,ZUCA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 11. " ZEDI ,ZUCE done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 10. " S9DI ,SNOW-F9 done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 9. " RNDI ,RNG done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 8. " CDI ,CRCA done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 7. " MDI ,MDHA (hashing) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " PDI ,PKHA (public key) done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 5. " S8DI ,SNOW-F8 done interrupt" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DDI ,DESA done interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " ADI ,AESA done interrupt" "No interrupt,Interrupt" wgroup.long (0x8F004+0x40)++0x03 line.long 0x00 "C15CWR,CCB 15 Clear Written Register" bitfld.long 0x00 31. " CIF ,Input FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 30. " COF ,Output FIFO clear" "Not cleared,Cleared" bitfld.long 0x00 29. " C1RST ,Class 1 CHA reset" "No reset,Reset" newline bitfld.long 0x00 28. " C2RST ,Class 2 CHA reset" "No reset,Reset" bitfld.long 0x00 27. " C1D ,Class 1 done interrupt clear" "Not cleared,Cleared" bitfld.long 0x00 26. " C2D ,Class 2 done interrupt clear" "Not cleared,Cleared" newline bitfld.long 0x00 25. " CDS ,Descriptor sharing signal clear" "Not cleared,Cleared" bitfld.long 0x00 22. " C2K ,Class 2 key register clear" "Not cleared,Cleared" bitfld.long 0x00 21. " C2C ,Class 2 context register clear" "Not cleared,Cleared" newline bitfld.long 0x00 18. " C2DS ,Class 2 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 16. " C2M ,Class 2 mode register clear" "Not cleared,Cleared" bitfld.long 0x00 15. " CPKE ,PKHA E size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 14. " CPKN ,PKHA N size register clear" "Not cleared,Cleared" bitfld.long 0x00 13. " CPKB ,PKHA B size register clear" "Not cleared,Cleared" bitfld.long 0x00 12. " CPKA ,PKHA A size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 6. " C1K ,Class 1 key register clear" "Not cleared,Cleared" bitfld.long 0x00 5. " C1C ,Class 1 context register clear" "Not cleared,Cleared" bitfld.long 0x00 3. " C1ICV ,Class 1 ICV size register clear" "Not cleared,Cleared" newline bitfld.long 0x00 2. " C1DS ,Class 1 data size register clear" "Not cleared,Cleared" bitfld.long 0x00 0. " C1M ,Class 1 mode register clear" "Not cleared,Cleared" rgroup.long (0x8F004+0x44)++0x07 line.long 0x00 "C15CSTA_MS,CCB 15 Status And Error Register" bitfld.long 0x00 28.--31. " CL2 ,Class 2 algorithms" ",,,,MD5/SHA-x,,,,,CRC,SNOW f9,,ZUC authentication,,Poly1305,?..." bitfld.long 0x00 16.--19. " ERRID2 ,Error ID 2" ",Mode error,Data size error,Key size error,,,Data arrived out of seq.,,,,ICV check failed,Internal hardware failure,,,Invalid CHA combination,Invalid CHA selected" bitfld.long 0x00 12.--15. " CL1 ,Class 1 algorithms" ",AES,DES,,,RNG,SNOW,,Public key,,,ZUC encryption,,ChaCha20,?..." newline bitfld.long 0x00 0.--3. " ERRID1 ,Error ID 1" ",Mode error,Data size error,Key size,PKHA A memory size,PKHA B memory size,Data arrived out of seq.,PKHA divide by zero,PKHA modules even,DES key parity,ICV check failed,Internal hardware,CCM AAD size error,Class 1 CHA not reset,Invalid CHA combination,Invalid CHA selected" line.long 0x04 "C15CSTA_LS,CCB 15 Status And Error LS Register" bitfld.long 0x04 30. " PIZ ,Public key operation is zero" "Not zero,Zero" bitfld.long 0x04 29. " GCD ,GCD is one" "Not one,One" bitfld.long 0x04 28. " PRM ,Public key is prime" "Not prime,Prime" newline bitfld.long 0x04 21. " SEI ,Class 2 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 20. " PEI ,Class 1 error interrupt" "No interrupt,Interrupt" bitfld.long 0x04 17. " SDI ,Class 2 done interrupt" "Not done,Done" newline bitfld.long 0x04 16. " PDI ,Class 1 done interrupt" "Not done,Done" bitfld.long 0x04 14. " PTB ,PTHA block busy" "Not busy,Busy" bitfld.long 0x04 13. " CCB ,CCHA block busy" "Not busy,Busy" newline bitfld.long 0x04 12. " ZAB ,ZUCA block busy" "Not busy,Busy" bitfld.long 0x04 11. " ZEB ,ZUCE block busy" "Not busy,Busy" bitfld.long 0x04 10. " S9B ,SNOW f9 busy" "Not busy,Busy" newline bitfld.long 0x04 9. " RNB ,RNG block busy" "Not busy,Busy" bitfld.long 0x04 8. " CB ,CRC block busy" "Not busy,Busy" bitfld.long 0x04 7. " MB ,MDHA busy" "Not busy,Busy" newline bitfld.long 0x04 6. " PB ,PKHA busy" "Not busy,Busy" bitfld.long 0x04 5. " S8B ,SNOW f8 busy" "Not busy,Busy" bitfld.long 0x04 2. " DB ,DESA busy" "Not busy,Busy" newline bitfld.long 0x04 1. " AB ,AESA busy" "Not busy,Busy" group.long (0x8F004+0x58)++0x03 line.long 0x00 "C15C1AADSZR,CCB 15 Class 1 AAD Size Register" bitfld.long 0x00 0.--3. " AASZ ,AAD size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8F004+0x60)++0x03 line.long 0x00 "C15C1IVSZR,CCB 15 Class 1 IV Size Register" bitfld.long 0x00 0.--3. " IVSZ ,IV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8F004+0x80)++0x03 line.long 0x00 "C15PKASZR,PKHA A Size 15 Register" hexmask.long.word 0x00 0.--9. 1. " PKASZ ,PKHA A memory key size" group.long (0x8F004+0x88)++0x03 line.long 0x00 "C15PKBSZR,PKHA B Size 15 Register" hexmask.long.word 0x00 0.--9. 1. " PKBSZ ,PKHA B memory key size" group.long (0x8F004+0x90)++0x03 line.long 0x00 "C15PKNSZR,PKHA N Size 15 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA N memory key size" group.long (0x8F004+0x98)++0x03 line.long 0x00 "C15PKESZR,PKHA E Size 15 Register" hexmask.long.word 0x00 0.--9. 1. " PKNSZ ,PKHA E memory key size" group.long (0x8F004+0xFC)++0x3F line.long 0x00 "C15C1CTXR0,CCB 15 Class 1 Context Register Word 0" line.long 0x04 "C15C1CTXR1,CCB 15 Class 1 Context Register Word 1" line.long 0x08 "C15C1CTXR2,CCB 15 Class 1 Context Register Word 2" line.long 0x0C "C15C1CTXR3,CCB 15 Class 1 Context Register Word 3" line.long 0x10 "C15C1CTXR4,CCB 15 Class 1 Context Register Word 4" line.long 0x14 "C15C1CTXR5,CCB 15 Class 1 Context Register Word 5" line.long 0x18 "C15C1CTXR6,CCB 15 Class 1 Context Register Word 6" line.long 0x1C "C15C1CTXR7,CCB 15 Class 1 Context Register Word 7" line.long 0x20 "C15C1CTXR8,CCB 15 Class 1 Context Register Word 8" line.long 0x24 "C15C1CTXR9,CCB 15 Class 1 Context Register Word 9" line.long 0x28 "C15C1CTXR10,CCB 15 Class 1 Context Register Word 10" line.long 0x2C "C15C1CTXR11,CCB 15 Class 1 Context Register Word 11" line.long 0x30 "C15C1CTXR12,CCB 15 Class 1 Context Register Word 12" line.long 0x34 "C15C1CTXR13,CCB 15 Class 1 Context Register Word 13" line.long 0x38 "C15C1CTXR14,CCB 15 Class 1 Context Register Word 14" line.long 0x3C "C15C1CTXR15,CCB 15 Class 1 Context Register Word 15" group.long (0x8F004+0x1FC)++0x1F line.long 0x00 "C15C1KR0,CCB 15 Class 1 Key Register Word 0" line.long 0x04 "C15C1KR1,CCB 15 Class 1 Key Register Word 1" line.long 0x08 "C15C1KR2,CCB 15 Class 1 Key Register Word 2" line.long 0x0C "C15C1KR3,CCB 15 Class 1 Key Register Word 3" line.long 0x10 "C15C1KR4,CCB 15 Class 1 Key Register Word 4" line.long 0x14 "C15C1KR5,CCB 15 Class 1 Key Register Word 5" line.long 0x18 "C15C1KR6,CCB 15 Class 1 Key Register Word 6" line.long 0x1C "C15C1KR7,CCB 15 Class 1 Key Register Word 7" group.long (0x8F004+0x400)++0x03 line.long 0x00 "C15C2MR,CCB 15 Class 2 Mode Register" hexmask.long.byte 0x00 16.--23. 1. " ALG ,Algorithm" hexmask.long.word 0x00 4.--12. 1. " AAI ,Additional algorithm information" bitfld.long 0x00 2.--3. " AS ,Algorithm state" "Update,Initialize,Finalize,Initialize/Finalize" newline bitfld.long 0x00 1. " ICV ,ICV checking" "Not compared,Compared" bitfld.long 0x00 0. " AP ,Authenticate/Protect" "Authenticate,Protect" group.long (0x8F004+0x408)++0x03 line.long 0x00 "C15C2KSR,CCB 15 Class 2 Key Size Register" hexmask.long.byte 0x00 0.--7. 1. " C2KS ,Class 2 key size" rgroup.quad (0x8F004+0x40C)++0x07 line.quad 0x00 "C15C2DSR,CCB 15 Class 2 Data Size Register" bitfld.quad 0x00 61.--63. " NUMBITS ,Class 2 data size number of bits" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 32. " C2CY ,Class 2 data size carry" "No carry,Carry" hexmask.quad.long 0x00 0.--31. 1. " C2DS ,Class 2 data size" group.long (0x8F004+0x418)++0x03 line.long 0x00 "C15C2ICVSZR,CCB 15 Class 2 ICV Size Register" bitfld.long 0x00 0.--3. " ICVSZ ,Class 2 ICV size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long (0x8F004+0x454)++0x03 line.long 0x00 "C15C2AADSZR,CCB 15 Class 2 AAD Size Register" group.long (0x8F004+0x4FC)++0x47 line.long 0x00 "C15C2CTXR0,CCB 15 Class 2 Context Register Word 0" line.long 0x04 "C15C2CTXR1,CCB 15 Class 2 Context Register Word 1" line.long 0x08 "C15C2CTXR2,CCB 15 Class 2 Context Register Word 2" line.long 0x0C "C15C2CTXR3,CCB 15 Class 2 Context Register Word 3" line.long 0x10 "C15C2CTXR4,CCB 15 Class 2 Context Register Word 4" line.long 0x14 "C15C2CTXR5,CCB 15 Class 2 Context Register Word 5" line.long 0x18 "C15C2CTXR6,CCB 15 Class 2 Context Register Word 6" line.long 0x1C "C15C2CTXR7,CCB 15 Class 2 Context Register Word 7" line.long 0x20 "C15C2CTXR8,CCB 15 Class 2 Context Register Word 8" line.long 0x24 "C15C2CTXR9,CCB 15 Class 2 Context Register Word 9" line.long 0x28 "C15C2CTXR10,CCB 15 Class 2 Context Register Word 10" line.long 0x2C "C15C2CTXR11,CCB 15 Class 2 Context Register Word 11" line.long 0x30 "C15C2CTXR12,CCB 15 Class 2 Context Register Word 12" line.long 0x34 "C15C2CTXR13,CCB 15 Class 2 Context Register Word 13" line.long 0x38 "C15C2CTXR14,CCB 15 Class 2 Context Register Word 14" line.long 0x3C "C15C2CTXR15,CCB 15 Class 2 Context Register Word 15" line.long 0x40 "C15C2CTXR16,CCB 15 Class 2 Context Register Word 16" line.long 0x44 "C15C2CTXR17,CCB 15 Class 2 Context Register Word 17" group.long (0x8F004+0x5FC)++0x7F line.long 0x00 "C15C2KEYR0,CCB 15 Class 2 Key Register Word 0" line.long 0x04 "C15C2KEYR1,CCB 15 Class 2 Key Register Word 1" line.long 0x08 "C15C2KEYR2,CCB 15 Class 2 Key Register Word 2" line.long 0x0C "C15C2KEYR3,CCB 15 Class 2 Key Register Word 3" line.long 0x10 "C15C2KEYR4,CCB 15 Class 2 Key Register Word 4" line.long 0x14 "C15C2KEYR5,CCB 15 Class 2 Key Register Word 5" line.long 0x18 "C15C2KEYR6,CCB 15 Class 2 Key Register Word 6" line.long 0x1C "C15C2KEYR7,CCB 15 Class 2 Key Register Word 7" line.long 0x20 "C15C2KEYR8,CCB 15 Class 2 Key Register Word 8" line.long 0x24 "C15C2KEYR9,CCB 15 Class 2 Key Register Word 9" line.long 0x28 "C15C2KEYR10,CCB 15 Class 2 Key Register Word 10" line.long 0x2C "C15C2KEYR11,CCB 15 Class 2 Key Register Word 11" line.long 0x30 "C15C2KEYR12,CCB 15 Class 2 Key Register Word 12" line.long 0x34 "C15C2KEYR13,CCB 15 Class 2 Key Register Word 13" line.long 0x38 "C15C2KEYR14,CCB 15 Class 2 Key Register Word 14" line.long 0x3C "C15C2KEYR15,CCB 15 Class 2 Key Register Word 15" line.long 0x40 "C15C2KEYR16,CCB 15 Class 2 Key Register Word 16" line.long 0x44 "C15C2KEYR17,CCB 15 Class 2 Key Register Word 17" line.long 0x48 "C15C2KEYR18,CCB 15 Class 2 Key Register Word 18" line.long 0x4C "C15C2KEYR19,CCB 15 Class 2 Key Register Word 19" line.long 0x50 "C15C2KEYR20,CCB 15 Class 2 Key Register Word 20" line.long 0x54 "C15C2KEYR21,CCB 15 Class 2 Key Register Word 21" line.long 0x58 "C15C2KEYR22,CCB 15 Class 2 Key Register Word 22" line.long 0x5C "C15C2KEYR23,CCB 15 Class 2 Key Register Word 23" line.long 0x60 "C15C2KEYR24,CCB 15 Class 2 Key Register Word 24" line.long 0x64 "C15C2KEYR25,CCB 15 Class 2 Key Register Word 25" line.long 0x68 "C15C2KEYR26,CCB 15 Class 2 Key Register Word 26" line.long 0x6C "C15C2KEYR27,CCB 15 Class 2 Key Register Word 27" line.long 0x70 "C15C2KEYR28,CCB 15 Class 2 Key Register Word 28" line.long 0x74 "C15C2KEYR29,CCB 15 Class 2 Key Register Word 29" line.long 0x78 "C15C2KEYR30,CCB 15 Class 2 Key Register Word 30" line.long 0x7C "C15C2KEYR31,CCB 15 Class 2 Key Register Word 31" rgroup.long (0x8F004+0x7BC)++0x03 line.long 0x00 "C15FIFOSTA,CCB 15 FIFO Status Register" hexmask.long.byte 0x00 24.--31. 1. " C1IQHEAD ,Class 1 alignment block queue current head" hexmask.long.byte 0x00 16.--23. 1. " C2IQHEAD ,Class 2 alignment block queue current head" hexmask.long.byte 0x00 8.--15. 1. " DMAOQHEAD ,DMA queue current head" newline hexmask.long.byte 0x00 0.--7. 1. " C1IQHEAD ,DECO alignment block queue current head" if ((per.l(ad:0x08000000+0x8F004+0x7CC)&0x3000000)==(0x2000000)) wgroup.long (0x8F004+0x7CC)++0x03 line.long 0x00 "C15NFIFO,CCB 15 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " PR ,Prediction resistance" "Not supplied,Supplied" bitfld.long 0x00 10. " PS ,Pad snoop" "Padding block,OFIFO" hexmask.long.byte 0x00 0.--6. 1. " PL ,Pad length" else wgroup.long (0x8F004+0x7CC)++0x03 line.long 0x00 "C15NFIFO,CCB 15 Information FIFO Register" bitfld.long 0x00 30.--31. " DEST ,Destination" "DECO,Class 1,Class 2,Class 1 & 2" bitfld.long 0x00 29. " LC2 ,Last class 2" "Not last,Last" bitfld.long 0x00 28. " LC1 ,Last class 1" "Not last,Last" newline bitfld.long 0x00 27. " FC2 ,Flush class 2" "Not flushed,Flushed" bitfld.long 0x00 26. " FC1 ,Flush class 1" "Not flushed,Flushed" bitfld.long 0x00 24.--25. " STYPE ,Source type" "Input data FIFO,Output data FIFO,Padding block,Out snooping" newline bitfld.long 0x00 20.--23. " DTYPE ,Data type" "PKHA A0/,PKHA A1/AAD,PKHA A2/IV,PKHA A3/SAD,PKHA B0/,PKHA B1/,PKHA B2/,PKHA B3/,PKHA N/,PKHA E/,/ICV,/AAD,PKHA A/,PKHA B/,/DECO,/Message data" bitfld.long 0x00 19. " BND ,Boundary padding" "Not added,Added" bitfld.long 0x00 16.--18. " PTYPE ,Pad type" "All zero,Random,Incremented,Random,All zero,Random,N bytes,Random" newline bitfld.long 0x00 15. " OC ,OFIFO continuation" "Not continued,Continued" bitfld.long 0x00 14. " AST ,Additional source types" "Padding block,OFIFO" hexmask.long.word 0x00 0.--11. 1. " DL ,Data length" endif wgroup.long (0x8F004+0x7DC)++0x03 line.long 0x00 "C15IFIFO,CCB 15 Input Data FIFO" rgroup.quad (0x8F004+0x7EC)++0x07 line.quad 0x00 "C15OFIFO,CCB 15 Output Data FIFO" group.long (0x8F004+0x7FC)++0x03 line.long 0x00 "D15JQCR_MS,DECO15 Job Queue Control Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " SOB ,Shared descriptor/input frame burst" "Not loaded,Loaded" rbitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline rbitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long (0x8F004+0x800)++0x03 line.long 0x00 "D15JQCR_LS,DECO15 Job Queue Control Register" rgroup.quad (0x8F004+0x804)++0x07 line.quad 0x00 "D15DAR,DECO15 Descriptor Address Register" hexmask.quad 0x00 0.--48. 0x01 " DPTR ,Descriptor pointer" rgroup.long (0x8F004+0x80C)++0x07 line.long 0x00 "D15OPSTA_MS,DECO15 Operation Status MS Register" bitfld.long 0x00 28.--31. " STATUS_TYPE ,Status type" "No error,DMA error,CCB error,Jump halt user,DECO error,,,Jump halt cond.,?..." bitfld.long 0x00 27. " NLJ ,Non-local jump" "Not caused,Caused" hexmask.long.byte 0x00 8.--14. 1. " COMMAND_INDEX ,Command index" newline hexmask.long.byte 0x00 0.--7. 1. " STATUS ,PKHA/Error status" line.long 0x04 "D15OPSTA_LS,DECO15 Operation Status Register" group.long (0x8F004+0x814)++0x03 line.long 0x00 "D15CKSUMR,DECO15 Checksum Register" hexmask.long.word 0x00 0.--15. 1. " CKSUM ,Checksum" rgroup.long (0x8F004+0x81C)++0x07 line.long 0x00 "D15COICIDSR,DECO15 Control And Output ICID Status Register" bitfld.long 0x00 31. " CPL ,Control privilege level" "Not asserted,Asserted" hexmask.long.byte 0x00 16.--22. 1. " CICID ,Control ICID" bitfld.long 0x00 15. " OPL ,Output privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,Output ICID" line.long 0x04 "D15SIICIDSR,DECO15 SDID And ICID Status Register" bitfld.long 0x04 31. " TZ ,TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,Security domain identifier" bitfld.long 0x04 15. " IPL ,Input privilege level" "Not asserted,Asserted" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,Input ICID" else hgroup.long 0x8F004++0x03 hide.long 0x00 "C15C1MR,CCB 15 Class 1 Mode Register Format For Non-Public Key Algorithms" hgroup.long 0x8F004++0x03 hide.long 0x00 "C15C1MR_PK,CCB 15 Class 1 Mode Register Format For Public Key Algorithms" hgroup.long 0x8F004++0x03 hide.long 0x00 "C15C1MR_RNG,CCB 15 Class 1 Mode Register Format For RNG" hgroup.long (0x8F004+0x08)++0x03 hide.long 0x00 "C15C1KSR,CCB 15 Class 1 Key Size Register" hgroup.quad (0x8F004+0x0C)++0x07 hide.quad 0x00 "C15C1DSR,CCB 15 Class 1 Data Size Register" hgroup.long (0x8F004+0x18)++0x03 hide.long 0x00 "C15C1ICVSR,CCB 15 Class 1 ICV Size Register" hgroup.long (0x8F004+0x30)++0x03 hide.long 0x00 "C15CCTRL,CCB 15 CHA Control Register" hgroup.long (0x8F004+0x3C)++0x03 hide.long 0x00 "C15ICTL,CCB 15 Interrupt Control Register" hgroup.long (0x8F004+0x40)++0x03 hide.long 0x00 "C15CWR,CCB 15 Clear Written Register" hgroup.long (0x8F004+0x44)++0x03 hide.long 0x00 "C15CSTA_MS,CCB 15 Status And Error Register" hgroup.long (0x8F004+0x48)++0x03 hide.long 0x00 "C15CSTA_LS,CCB 15 Status And Error LS Register" hgroup.long (0x8F004+0x58)++0x03 hide.long 0x00 "C15C1AADSZR,CCB 15 Class 1 AAD Size Register" hgroup.long (0x8F004+0x60)++0x03 hide.long 0x00 "C15C1IVSZR,CCB 15 Class 1 IV Size Register" hgroup.long (0x8F004+0x80)++0x03 hide.long 0x00 "C15PKASZR,PKHA A Size 15 Register" hgroup.long (0x8F004+0x88)++0x03 hide.long 0x00 "C15PKBSZR,PKHA B Size 15 Register" hgroup.long (0x8F004+0x90)++0x03 hide.long 0x00 "C15PKNSZR,PKHA N Size 15 Register" hgroup.long (0x8F004+0x98)++0x03 hide.long 0x00 "C15PKESZR,PKHA E Size 15 Register" hgroup.long (0x8F004+0xFC)++0x03 hide.long 0x00 "C15C1CTXR0,CCB 15 Class 1 Context Register Word 0" hgroup.long (0x8F004+0xFC)++0x03 hide.long 0x00 "C15C1CTXR1,CCB 15 Class 1 Context Register Word 1" hgroup.long (0x8F004+0x100)++0x03 hide.long 0x00 "C15C1CTXR2,CCB 15 Class 1 Context Register Word 2" hgroup.long (0x8F004+0x104)++0x03 hide.long 0x00 "C15C1CTXR3,CCB 15 Class 1 Context Register Word 3" hgroup.long (0x8F004+0x108)++0x03 hide.long 0x00 "C15C1CTXR4,CCB 15 Class 1 Context Register Word 4" hgroup.long (0x8F004+0x10C)++0x03 hide.long 0x00 "C15C1CTXR5,CCB 15 Class 1 Context Register Word 5" hgroup.long (0x8F004+0x110)++0x03 hide.long 0x00 "C15C1CTXR6,CCB 15 Class 1 Context Register Word 6" hgroup.long (0x8F004+0x114)++0x03 hide.long 0x00 "C15C1CTXR7,CCB 15 Class 1 Context Register Word 7" hgroup.long (0x8F004+0x118)++0x03 hide.long 0x00 "C15C1CTXR8,CCB 15 Class 1 Context Register Word 8" hgroup.long (0x8F004+0x11C)++0x03 hide.long 0x00 "C15C1CTXR9,CCB 15 Class 1 Context Register Word 9" hgroup.long (0x8F004+0x120)++0x03 hide.long 0x00 "C15C1CTXR10,CCB 15 Class 1 Context Register Word 10" hgroup.long (0x8F004+0x124)++0x03 hide.long 0x00 "C15C1CTXR11,CCB 15 Class 1 Context Register Word 11" hgroup.long (0x8F004+0x128)++0x03 hide.long 0x00 "C15C1CTXR12,CCB 15 Class 1 Context Register Word 12" hgroup.long (0x8F004+0x12C)++0x03 hide.long 0x00 "C15C1CTXR13,CCB 15 Class 1 Context Register Word 13" hgroup.long (0x8F004+0x130)++0x03 hide.long 0x00 "C15C1CTXR14,CCB 15 Class 1 Context Register Word 14" hgroup.long (0x8F004+0x134)++0x03 hide.long 0x00 "C15C1CTXR15,CCB 15 Class 1 Context Register Word 15" hgroup.long (0x8F004+0x1FC)++0x03 hide.long 0x00 "C15C1KR0,CCB 15 Class 1 Key Register Word 0" hgroup.long (0x8F004+0x200)++0x03 hide.long 0x00 "C15C1KR1,CCB 15 Class 1 Key Register Word 1" hgroup.long (0x8F004+0x204)++0x03 hide.long 0x00 "C15C1KR2,CCB 15 Class 1 Key Register Word 2" hgroup.long (0x8F004+0x208)++0x03 hide.long 0x00 "C15C1KR3,CCB 15 Class 1 Key Register Word 3" hgroup.long (0x8F004+0x20C)++0x03 hide.long 0x00 "C15C1KR4,CCB 15 Class 1 Key Register Word 4" hgroup.long (0x8F004+0x210)++0x03 hide.long 0x00 "C15C1KR5,CCB 15 Class 1 Key Register Word 5" hgroup.long (0x8F004+0x214)++0x03 hide.long 0x00 "C15C1KR6,CCB 15 Class 1 Key Register Word 6" hgroup.long (0x8F004+0x218)++0x03 hide.long 0x00 "C15C1KR7,CCB 15 Class 1 Key Register Word 7" hgroup.long (0x8F004+0x400)++0x03 hide.long 0x00 "C15C2MR,CCB 15 Class 2 Mode Register" hgroup.long (0x8F004+0x408)++0x03 hide.long 0x00 "C15C2KSR,CCB 15 Class 2 Key Size Register" hgroup.quad (0x8F004+0x40C)++0x07 hide.quad 0x00 "C15C2DSR,CCB 15 Class 2 Data Size Register" hgroup.long (0x8F004+0x418)++0x03 hide.long 0x00 "C15C2ICVSZR,CCB 15 Class 2 ICV Size Register" hgroup.long (0x8F004+0x454)++0x03 hide.long 0x00 "C15C2AADSZR,CCB 15 Class 2 AAD Size Register" hgroup.long (0x8F004+0x4FC)++0x03 hide.long 0x00 "C15C2CTXR0,CCB 15 Class 2 Context Register Word 0" hgroup.long (0x8F004+0x500)++0x03 hide.long 0x00 "C15C2CTXR1,CCB 15 Class 2 Context Register Word 1" hgroup.long (0x8F004+0x504)++0x03 hide.long 0x00 "C15C2CTXR2,CCB 15 Class 2 Context Register Word 2" hgroup.long (0x8F004+0x508)++0x03 hide.long 0x00 "C15C2CTXR3,CCB 15 Class 2 Context Register Word 3" hgroup.long (0x8F004+0x50C)++0x03 hide.long 0x00 "C15C2CTXR4,CCB 15 Class 2 Context Register Word 4" hgroup.long (0x8F004+0x510)++0x03 hide.long 0x00 "C15C2CTXR5,CCB 15 Class 2 Context Register Word 5" hgroup.long (0x8F004+0x514)++0x03 hide.long 0x00 "C15C2CTXR6,CCB 15 Class 2 Context Register Word 6" hgroup.long (0x8F004+0x518)++0x03 hide.long 0x00 "C15C2CTXR7,CCB 15 Class 2 Context Register Word 7" hgroup.long (0x8F004+0x51C)++0x03 hide.long 0x00 "C15C2CTXR8,CCB 15 Class 2 Context Register Word 8" hgroup.long (0x8F004+0x520)++0x03 hide.long 0x00 "C15C2CTXR9,CCB 15 Class 2 Context Register Word 9" hgroup.long (0x8F004+0x524)++0x03 hide.long 0x00 "C15C2CTXR10,CCB 15 Class 2 Context Register Word 10" hgroup.long (0x8F004+0x528)++0x03 hide.long 0x00 "C15C2CTXR11,CCB 15 Class 2 Context Register Word 11" hgroup.long (0x8F004+0x52C)++0x03 hide.long 0x00 "C15C2CTXR12,CCB 15 Class 2 Context Register Word 12" hgroup.long (0x8F004+0x530)++0x03 hide.long 0x00 "C15C2CTXR13,CCB 15 Class 2 Context Register Word 13" hgroup.long (0x8F004+0x534)++0x03 hide.long 0x00 "C15C2CTXR14,CCB 15 Class 2 Context Register Word 14" hgroup.long (0x8F004+0x538)++0x03 hide.long 0x00 "C15C2CTXR15,CCB 15 Class 2 Context Register Word 15" hgroup.long (0x8F004+0x53C)++0x03 hide.long 0x00 "C15C2CTXR16,CCB 15 Class 2 Context Register Word 16" hgroup.long (0x8F004+0x540)++0x03 hide.long 0x00 "C15C2CTXR17,CCB 15 Class 2 Context Register Word 17" hgroup.long (0x8F004+0x5FC)++0x03 hide.long 0x00 "C15C2KEYR0,CCB 15 Class 2 Key Register Word 0" hgroup.long (0x8F004+0x600)++0x03 hide.long 0x00 "C15C2KEYR1,CCB 15 Class 2 Key Register Word 1" hgroup.long (0x8F004+0x604)++0x03 hide.long 0x00 "C15C2KEYR2,CCB 15 Class 2 Key Register Word 2" hgroup.long (0x8F004+0x608)++0x03 hide.long 0x00 "C15C2KEYR3,CCB 15 Class 2 Key Register Word 3" hgroup.long (0x8F004+0x60C)++0x03 hide.long 0x00 "C15C2KEYR4,CCB 15 Class 2 Key Register Word 4" hgroup.long (0x8F004+0x610)++0x03 hide.long 0x00 "C15C2KEYR5,CCB 15 Class 2 Key Register Word 5" hgroup.long (0x8F004+0x614)++0x03 hide.long 0x00 "C15C2KEYR6,CCB 15 Class 2 Key Register Word 6" hgroup.long (0x8F004+0x618)++0x03 hide.long 0x00 "C15C2KEYR7,CCB 15 Class 2 Key Register Word 7" hgroup.long (0x8F004+0x61C)++0x03 hide.long 0x00 "C15C2KEYR8,CCB 15 Class 2 Key Register Word 8" hgroup.long (0x8F004+0x620)++0x03 hide.long 0x00 "C15C2KEYR9,CCB 15 Class 2 Key Register Word 9" hgroup.long (0x8F004+0x624)++0x03 hide.long 0x00 "C15C2KEYR10,CCB 15 Class 2 Key Register Word 10" hgroup.long (0x8F004+0x628)++0x03 hide.long 0x00 "C15C2KEYR11,CCB 15 Class 2 Key Register Word 11" hgroup.long (0x8F004+0x62C)++0x03 hide.long 0x00 "C15C2KEYR12,CCB 15 Class 2 Key Register Word 12" hgroup.long (0x8F004+0x630)++0x03 hide.long 0x00 "C15C2KEYR13,CCB 15 Class 2 Key Register Word 13" hgroup.long (0x8F004+0x634)++0x03 hide.long 0x00 "C15C2KEYR14,CCB 15 Class 2 Key Register Word 14" hgroup.long (0x8F004+0x638)++0x03 hide.long 0x00 "C15C2KEYR15,CCB 15 Class 2 Key Register Word 15" hgroup.long (0x8F004+0x63C)++0x03 hide.long 0x00 "C15C2KEYR16,CCB 15 Class 2 Key Register Word 16" hgroup.long (0x8F004+0x640)++0x03 hide.long 0x00 "C15C2KEYR17,CCB 15 Class 2 Key Register Word 17" hgroup.long (0x8F004+0x644)++0x03 hide.long 0x00 "C15C2KEYR18,CCB 15 Class 2 Key Register Word 18" hgroup.long (0x8F004+0x648)++0x03 hide.long 0x00 "C15C2KEYR19,CCB 15 Class 2 Key Register Word 19" hgroup.long (0x8F004+0x64C)++0x03 hide.long 0x00 "C15C2KEYR20,CCB 15 Class 2 Key Register Word 20" hgroup.long (0x8F004+0x650)++0x03 hide.long 0x00 "C15C2KEYR21,CCB 15 Class 2 Key Register Word 21" hgroup.long (0x8F004+0x654)++0x03 hide.long 0x00 "C15C2KEYR22,CCB 15 Class 2 Key Register Word 22" hgroup.long (0x8F004+0x658)++0x03 hide.long 0x00 "C15C2KEYR23,CCB 15 Class 2 Key Register Word 23" hgroup.long (0x8F004+0x65C)++0x03 hide.long 0x00 "C15C2KEYR24,CCB 15 Class 2 Key Register Word 24" hgroup.long (0x8F004+0x660)++0x03 hide.long 0x00 "C15C2KEYR25,CCB 15 Class 2 Key Register Word 25" hgroup.long (0x8F004+0x664)++0x03 hide.long 0x00 "C15C2KEYR26,CCB 15 Class 2 Key Register Word 26" hgroup.long (0x8F004+0x668)++0x03 hide.long 0x00 "C15C2KEYR27,CCB 15 Class 2 Key Register Word 27" hgroup.long (0x8F004+0x66C)++0x03 hide.long 0x00 "C15C2KEYR28,CCB 15 Class 2 Key Register Word 28" hgroup.long (0x8F004+0x670)++0x03 hide.long 0x00 "C15C2KEYR29,CCB 15 Class 2 Key Register Word 29" hgroup.long (0x8F004+0x674)++0x03 hide.long 0x00 "C15C2KEYR30,CCB 15 Class 2 Key Register Word 30" hgroup.long (0x8F004+0x678)++0x03 hide.long 0x00 "C15C2KEYR31,CCB 15 Class 2 Key Register Word 31" hgroup.long (0x8F004+0x7BC)++0x03 hide.long 0x00 "C15FIFOSTA,CCB 15 FIFO Status Register" hgroup.long (0x8F004+0x7CC)++0x03 hide.long 0x00 "C15NFIFO,CCB 15 Information FIFO Register" hgroup.long (0x8F004+0x7DC)++0x03 hide.long 0x00 "C15IFIFO,CCB 15 Input Data FIFO" hgroup.quad (0x8F004+0x7EC)++0x07 hide.quad 0x00 "C15OFIFO,CCB 15 Output Data FIFO" hgroup.long (0x8F004+0x7FC)++0x03 hide.long 0x00 "D15JQCR_MS,DECO15 Job Queue Control Register" hgroup.long (0x8F004+0x800)++0x03 hide.long 0x00 "D15JQCR_LS,DECO15 Job Queue Control Register" hgroup.quad (0x8F004+0x804)++0x07 hide.quad 0x00 "D15DAR,DECO15 Descriptor Address Register" hgroup.long (0x8F004+0x80C)++0x03 hide.long 0x00 "D15OPSTA_MS,DECO15 Operation Status MS Register" hgroup.long (0x8F004+0x810)++0x03 hide.long 0x00 "D15OPSTA_LS,DECO15 Operation Status Register" hgroup.long (0x8F004+0x814)++0x03 hide.long 0x00 "D15CKSUMR,DECO15 Checksum Register" hgroup.long (0x8F004+0x81C)++0x03 hide.long 0x00 "D15COICIDSR,DECO15 Control And Output ICID Status Register" hgroup.long (0x8F004+0x820)++0x03 hide.long 0x00 "D15SIICIDSR,DECO15 SDID And ICID Status Register" endif group.long 0x80840++0x03 line.long 0x00 "D0MTH0_MS,DECO0 Math Register 0_MS" group.long (0x80840+0x08)++0x03 line.long 0x00 "D0MTH1_MS,DECO0 Math Register 1_MS" group.long (0x80840+0x10)++0x03 line.long 0x00 "D0MTH2_MS,DECO0 Math Register 2_MS" group.long (0x80840+0x18)++0x03 line.long 0x00 "D0MTH3_MS,DECO0 Math Register 3_MS" group.long (0x80840+0x20)++0x03 line.long 0x00 "D0MTH4_MS,DECO0 Math Register 4_MS" group.long (0x80840+0x28)++0x03 line.long 0x00 "D0MTH5_MS,DECO0 Math Register 5_MS" group.long (0x80840+0x30)++0x03 line.long 0x00 "D0MTH6_MS,DECO0 Math Register 6_MS" group.long (0x80840+0x38)++0x03 line.long 0x00 "D0MTH7_MS,DECO0 Math Register 7_MS" group.long 0x81840++0x03 line.long 0x00 "D1MTH0_MS,DECO1 Math Register 0_MS" group.long (0x81840+0x08)++0x03 line.long 0x00 "D1MTH1_MS,DECO1 Math Register 1_MS" group.long (0x81840+0x10)++0x03 line.long 0x00 "D1MTH2_MS,DECO1 Math Register 2_MS" group.long (0x81840+0x18)++0x03 line.long 0x00 "D1MTH3_MS,DECO1 Math Register 3_MS" group.long (0x81840+0x20)++0x03 line.long 0x00 "D1MTH4_MS,DECO1 Math Register 4_MS" group.long (0x81840+0x28)++0x03 line.long 0x00 "D1MTH5_MS,DECO1 Math Register 5_MS" group.long (0x81840+0x30)++0x03 line.long 0x00 "D1MTH6_MS,DECO1 Math Register 6_MS" group.long (0x81840+0x38)++0x03 line.long 0x00 "D1MTH7_MS,DECO1 Math Register 7_MS" group.long 0x82840++0x03 line.long 0x00 "D2MTH0_MS,DECO2 Math Register 0_MS" group.long (0x82840+0x08)++0x03 line.long 0x00 "D2MTH1_MS,DECO2 Math Register 1_MS" group.long (0x82840+0x10)++0x03 line.long 0x00 "D2MTH2_MS,DECO2 Math Register 2_MS" group.long (0x82840+0x18)++0x03 line.long 0x00 "D2MTH3_MS,DECO2 Math Register 3_MS" group.long (0x82840+0x20)++0x03 line.long 0x00 "D2MTH4_MS,DECO2 Math Register 4_MS" group.long (0x82840+0x28)++0x03 line.long 0x00 "D2MTH5_MS,DECO2 Math Register 5_MS" group.long (0x82840+0x30)++0x03 line.long 0x00 "D2MTH6_MS,DECO2 Math Register 6_MS" group.long (0x82840+0x38)++0x03 line.long 0x00 "D2MTH7_MS,DECO2 Math Register 7_MS" group.long 0x83840++0x03 line.long 0x00 "D3MTH0_MS,DECO3 Math Register 0_MS" group.long (0x83840+0x08)++0x03 line.long 0x00 "D3MTH1_MS,DECO3 Math Register 1_MS" group.long (0x83840+0x10)++0x03 line.long 0x00 "D3MTH2_MS,DECO3 Math Register 2_MS" group.long (0x83840+0x18)++0x03 line.long 0x00 "D3MTH3_MS,DECO3 Math Register 3_MS" group.long (0x83840+0x20)++0x03 line.long 0x00 "D3MTH4_MS,DECO3 Math Register 4_MS" group.long (0x83840+0x28)++0x03 line.long 0x00 "D3MTH5_MS,DECO3 Math Register 5_MS" group.long (0x83840+0x30)++0x03 line.long 0x00 "D3MTH6_MS,DECO3 Math Register 6_MS" group.long (0x83840+0x38)++0x03 line.long 0x00 "D3MTH7_MS,DECO3 Math Register 7_MS" group.long 0x84840++0x03 line.long 0x00 "D4MTH0_MS,DECO4 Math Register 0_MS" group.long (0x84840+0x08)++0x03 line.long 0x00 "D4MTH1_MS,DECO4 Math Register 1_MS" group.long (0x84840+0x10)++0x03 line.long 0x00 "D4MTH2_MS,DECO4 Math Register 2_MS" group.long (0x84840+0x18)++0x03 line.long 0x00 "D4MTH3_MS,DECO4 Math Register 3_MS" group.long (0x84840+0x20)++0x03 line.long 0x00 "D4MTH4_MS,DECO4 Math Register 4_MS" group.long (0x84840+0x28)++0x03 line.long 0x00 "D4MTH5_MS,DECO4 Math Register 5_MS" group.long (0x84840+0x30)++0x03 line.long 0x00 "D4MTH6_MS,DECO4 Math Register 6_MS" group.long (0x84840+0x38)++0x03 line.long 0x00 "D4MTH7_MS,DECO4 Math Register 7_MS" group.long 0x85840++0x03 line.long 0x00 "D5MTH0_MS,DECO5 Math Register 0_MS" group.long (0x85840+0x08)++0x03 line.long 0x00 "D5MTH1_MS,DECO5 Math Register 1_MS" group.long (0x85840+0x10)++0x03 line.long 0x00 "D5MTH2_MS,DECO5 Math Register 2_MS" group.long (0x85840+0x18)++0x03 line.long 0x00 "D5MTH3_MS,DECO5 Math Register 3_MS" group.long (0x85840+0x20)++0x03 line.long 0x00 "D5MTH4_MS,DECO5 Math Register 4_MS" group.long (0x85840+0x28)++0x03 line.long 0x00 "D5MTH5_MS,DECO5 Math Register 5_MS" group.long (0x85840+0x30)++0x03 line.long 0x00 "D5MTH6_MS,DECO5 Math Register 6_MS" group.long (0x85840+0x38)++0x03 line.long 0x00 "D5MTH7_MS,DECO5 Math Register 7_MS" group.long 0x86840++0x03 line.long 0x00 "D6MTH0_MS,DECO6 Math Register 0_MS" group.long (0x86840+0x08)++0x03 line.long 0x00 "D6MTH1_MS,DECO6 Math Register 1_MS" group.long (0x86840+0x10)++0x03 line.long 0x00 "D6MTH2_MS,DECO6 Math Register 2_MS" group.long (0x86840+0x18)++0x03 line.long 0x00 "D6MTH3_MS,DECO6 Math Register 3_MS" group.long (0x86840+0x20)++0x03 line.long 0x00 "D6MTH4_MS,DECO6 Math Register 4_MS" group.long (0x86840+0x28)++0x03 line.long 0x00 "D6MTH5_MS,DECO6 Math Register 5_MS" group.long (0x86840+0x30)++0x03 line.long 0x00 "D6MTH6_MS,DECO6 Math Register 6_MS" group.long (0x86840+0x38)++0x03 line.long 0x00 "D6MTH7_MS,DECO6 Math Register 7_MS" group.long 0x87840++0x03 line.long 0x00 "D7MTH0_MS,DECO7 Math Register 0_MS" group.long (0x87840+0x08)++0x03 line.long 0x00 "D7MTH1_MS,DECO7 Math Register 1_MS" group.long (0x87840+0x10)++0x03 line.long 0x00 "D7MTH2_MS,DECO7 Math Register 2_MS" group.long (0x87840+0x18)++0x03 line.long 0x00 "D7MTH3_MS,DECO7 Math Register 3_MS" group.long (0x87840+0x20)++0x03 line.long 0x00 "D7MTH4_MS,DECO7 Math Register 4_MS" group.long (0x87840+0x28)++0x03 line.long 0x00 "D7MTH5_MS,DECO7 Math Register 5_MS" group.long (0x87840+0x30)++0x03 line.long 0x00 "D7MTH6_MS,DECO7 Math Register 6_MS" group.long (0x87840+0x38)++0x03 line.long 0x00 "D7MTH7_MS,DECO7 Math Register 7_MS" group.long 0x88840++0x03 line.long 0x00 "D8MTH0_MS,DECO8 Math Register 0_MS" group.long (0x88840+0x08)++0x03 line.long 0x00 "D8MTH1_MS,DECO8 Math Register 1_MS" group.long (0x88840+0x10)++0x03 line.long 0x00 "D8MTH2_MS,DECO8 Math Register 2_MS" group.long (0x88840+0x18)++0x03 line.long 0x00 "D8MTH3_MS,DECO8 Math Register 3_MS" group.long (0x88840+0x20)++0x03 line.long 0x00 "D8MTH4_MS,DECO8 Math Register 4_MS" group.long (0x88840+0x28)++0x03 line.long 0x00 "D8MTH5_MS,DECO8 Math Register 5_MS" group.long (0x88840+0x30)++0x03 line.long 0x00 "D8MTH6_MS,DECO8 Math Register 6_MS" group.long (0x88840+0x38)++0x03 line.long 0x00 "D8MTH7_MS,DECO8 Math Register 7_MS" group.long 0x89840++0x03 line.long 0x00 "D9MTH0_MS,DECO9 Math Register 0_MS" group.long (0x89840+0x08)++0x03 line.long 0x00 "D9MTH1_MS,DECO9 Math Register 1_MS" group.long (0x89840+0x10)++0x03 line.long 0x00 "D9MTH2_MS,DECO9 Math Register 2_MS" group.long (0x89840+0x18)++0x03 line.long 0x00 "D9MTH3_MS,DECO9 Math Register 3_MS" group.long (0x89840+0x20)++0x03 line.long 0x00 "D9MTH4_MS,DECO9 Math Register 4_MS" group.long (0x89840+0x28)++0x03 line.long 0x00 "D9MTH5_MS,DECO9 Math Register 5_MS" group.long (0x89840+0x30)++0x03 line.long 0x00 "D9MTH6_MS,DECO9 Math Register 6_MS" group.long (0x89840+0x38)++0x03 line.long 0x00 "D9MTH7_MS,DECO9 Math Register 7_MS" group.long 0x8A840++0x03 line.long 0x00 "D10MTH0_MS,DECO10 Math Register 0_MS" group.long (0x8A840+0x08)++0x03 line.long 0x00 "D10MTH1_MS,DECO10 Math Register 1_MS" group.long (0x8A840+0x10)++0x03 line.long 0x00 "D10MTH2_MS,DECO10 Math Register 2_MS" group.long (0x8A840+0x18)++0x03 line.long 0x00 "D10MTH3_MS,DECO10 Math Register 3_MS" group.long (0x8A840+0x20)++0x03 line.long 0x00 "D10MTH4_MS,DECO10 Math Register 4_MS" group.long (0x8A840+0x28)++0x03 line.long 0x00 "D10MTH5_MS,DECO10 Math Register 5_MS" group.long (0x8A840+0x30)++0x03 line.long 0x00 "D10MTH6_MS,DECO10 Math Register 6_MS" group.long (0x8A840+0x38)++0x03 line.long 0x00 "D10MTH7_MS,DECO10 Math Register 7_MS" group.long 0x8B840++0x03 line.long 0x00 "D11MTH0_MS,DECO11 Math Register 0_MS" group.long (0x8B840+0x08)++0x03 line.long 0x00 "D11MTH1_MS,DECO11 Math Register 1_MS" group.long (0x8B840+0x10)++0x03 line.long 0x00 "D11MTH2_MS,DECO11 Math Register 2_MS" group.long (0x8B840+0x18)++0x03 line.long 0x00 "D11MTH3_MS,DECO11 Math Register 3_MS" group.long (0x8B840+0x20)++0x03 line.long 0x00 "D11MTH4_MS,DECO11 Math Register 4_MS" group.long (0x8B840+0x28)++0x03 line.long 0x00 "D11MTH5_MS,DECO11 Math Register 5_MS" group.long (0x8B840+0x30)++0x03 line.long 0x00 "D11MTH6_MS,DECO11 Math Register 6_MS" group.long (0x8B840+0x38)++0x03 line.long 0x00 "D11MTH7_MS,DECO11 Math Register 7_MS" group.long 0x8C840++0x03 line.long 0x00 "D12MTH0_MS,DECO12 Math Register 0_MS" group.long (0x8C840+0x08)++0x03 line.long 0x00 "D12MTH1_MS,DECO12 Math Register 1_MS" group.long (0x8C840+0x10)++0x03 line.long 0x00 "D12MTH2_MS,DECO12 Math Register 2_MS" group.long (0x8C840+0x18)++0x03 line.long 0x00 "D12MTH3_MS,DECO12 Math Register 3_MS" group.long (0x8C840+0x20)++0x03 line.long 0x00 "D12MTH4_MS,DECO12 Math Register 4_MS" group.long (0x8C840+0x28)++0x03 line.long 0x00 "D12MTH5_MS,DECO12 Math Register 5_MS" group.long (0x8C840+0x30)++0x03 line.long 0x00 "D12MTH6_MS,DECO12 Math Register 6_MS" group.long (0x8C840+0x38)++0x03 line.long 0x00 "D12MTH7_MS,DECO12 Math Register 7_MS" group.long 0x8D840++0x03 line.long 0x00 "D13MTH0_MS,DECO13 Math Register 0_MS" group.long (0x8D840+0x08)++0x03 line.long 0x00 "D13MTH1_MS,DECO13 Math Register 1_MS" group.long (0x8D840+0x10)++0x03 line.long 0x00 "D13MTH2_MS,DECO13 Math Register 2_MS" group.long (0x8D840+0x18)++0x03 line.long 0x00 "D13MTH3_MS,DECO13 Math Register 3_MS" group.long (0x8D840+0x20)++0x03 line.long 0x00 "D13MTH4_MS,DECO13 Math Register 4_MS" group.long (0x8D840+0x28)++0x03 line.long 0x00 "D13MTH5_MS,DECO13 Math Register 5_MS" group.long (0x8D840+0x30)++0x03 line.long 0x00 "D13MTH6_MS,DECO13 Math Register 6_MS" group.long (0x8D840+0x38)++0x03 line.long 0x00 "D13MTH7_MS,DECO13 Math Register 7_MS" group.long 0x8E840++0x03 line.long 0x00 "D14MTH0_MS,DECO14 Math Register 0_MS" group.long (0x8E840+0x08)++0x03 line.long 0x00 "D14MTH1_MS,DECO14 Math Register 1_MS" group.long (0x8E840+0x10)++0x03 line.long 0x00 "D14MTH2_MS,DECO14 Math Register 2_MS" group.long (0x8E840+0x18)++0x03 line.long 0x00 "D14MTH3_MS,DECO14 Math Register 3_MS" group.long (0x8E840+0x20)++0x03 line.long 0x00 "D14MTH4_MS,DECO14 Math Register 4_MS" group.long (0x8E840+0x28)++0x03 line.long 0x00 "D14MTH5_MS,DECO14 Math Register 5_MS" group.long (0x8E840+0x30)++0x03 line.long 0x00 "D14MTH6_MS,DECO14 Math Register 6_MS" group.long (0x8E840+0x38)++0x03 line.long 0x00 "D14MTH7_MS,DECO14 Math Register 7_MS" group.long 0x8F840++0x03 line.long 0x00 "D15MTH0_MS,DECO15 Math Register 0_MS" group.long (0x8F840+0x08)++0x03 line.long 0x00 "D15MTH1_MS,DECO15 Math Register 1_MS" group.long (0x8F840+0x10)++0x03 line.long 0x00 "D15MTH2_MS,DECO15 Math Register 2_MS" group.long (0x8F840+0x18)++0x03 line.long 0x00 "D15MTH3_MS,DECO15 Math Register 3_MS" group.long (0x8F840+0x20)++0x03 line.long 0x00 "D15MTH4_MS,DECO15 Math Register 4_MS" group.long (0x8F840+0x28)++0x03 line.long 0x00 "D15MTH5_MS,DECO15 Math Register 5_MS" group.long (0x8F840+0x30)++0x03 line.long 0x00 "D15MTH6_MS,DECO15 Math Register 6_MS" group.long (0x8F840+0x38)++0x03 line.long 0x00 "D15MTH7_MS,DECO15 Math Register 7_MS" group.long 0x80844++0x03 line.long 0x00 "D0MTH0_LS,DECO0 Math Register 0_LS" group.long (0x80844+0x08)++0x03 line.long 0x00 "D0MTH1_LS,DECO0 Math Register 1_LS" group.long (0x80844+0x10)++0x03 line.long 0x00 "D0MTH2_LS,DECO0 Math Register 2_LS" group.long (0x80844+0x18)++0x03 line.long 0x00 "D0MTH3_LS,DECO0 Math Register 3_LS" group.long (0x80844+0x20)++0x03 line.long 0x00 "D0MTH4_LS,DECO0 Math Register 4_LS" group.long (0x80844+0x28)++0x03 line.long 0x00 "D0MTH5_LS,DECO0 Math Register 5_LS" group.long (0x80844+0x30)++0x03 line.long 0x00 "D0MTH6_LS,DECO0 Math Register 6_LS" group.long (0x80844+0x38)++0x03 line.long 0x00 "D0MTH7_LS,DECO0 Math Register 7_LS" group.long 0x81844++0x03 line.long 0x00 "D1MTH0_LS,DECO1 Math Register 0_LS" group.long (0x81844+0x08)++0x03 line.long 0x00 "D1MTH1_LS,DECO1 Math Register 1_LS" group.long (0x81844+0x10)++0x03 line.long 0x00 "D1MTH2_LS,DECO1 Math Register 2_LS" group.long (0x81844+0x18)++0x03 line.long 0x00 "D1MTH3_LS,DECO1 Math Register 3_LS" group.long (0x81844+0x20)++0x03 line.long 0x00 "D1MTH4_LS,DECO1 Math Register 4_LS" group.long (0x81844+0x28)++0x03 line.long 0x00 "D1MTH5_LS,DECO1 Math Register 5_LS" group.long (0x81844+0x30)++0x03 line.long 0x00 "D1MTH6_LS,DECO1 Math Register 6_LS" group.long (0x81844+0x38)++0x03 line.long 0x00 "D1MTH7_LS,DECO1 Math Register 7_LS" group.long 0x82844++0x03 line.long 0x00 "D2MTH0_LS,DECO2 Math Register 0_LS" group.long (0x82844+0x08)++0x03 line.long 0x00 "D2MTH1_LS,DECO2 Math Register 1_LS" group.long (0x82844+0x10)++0x03 line.long 0x00 "D2MTH2_LS,DECO2 Math Register 2_LS" group.long (0x82844+0x18)++0x03 line.long 0x00 "D2MTH3_LS,DECO2 Math Register 3_LS" group.long (0x82844+0x20)++0x03 line.long 0x00 "D2MTH4_LS,DECO2 Math Register 4_LS" group.long (0x82844+0x28)++0x03 line.long 0x00 "D2MTH5_LS,DECO2 Math Register 5_LS" group.long (0x82844+0x30)++0x03 line.long 0x00 "D2MTH6_LS,DECO2 Math Register 6_LS" group.long (0x82844+0x38)++0x03 line.long 0x00 "D2MTH7_LS,DECO2 Math Register 7_LS" group.long 0x83844++0x03 line.long 0x00 "D3MTH0_LS,DECO3 Math Register 0_LS" group.long (0x83844+0x08)++0x03 line.long 0x00 "D3MTH1_LS,DECO3 Math Register 1_LS" group.long (0x83844+0x10)++0x03 line.long 0x00 "D3MTH2_LS,DECO3 Math Register 2_LS" group.long (0x83844+0x18)++0x03 line.long 0x00 "D3MTH3_LS,DECO3 Math Register 3_LS" group.long (0x83844+0x20)++0x03 line.long 0x00 "D3MTH4_LS,DECO3 Math Register 4_LS" group.long (0x83844+0x28)++0x03 line.long 0x00 "D3MTH5_LS,DECO3 Math Register 5_LS" group.long (0x83844+0x30)++0x03 line.long 0x00 "D3MTH6_LS,DECO3 Math Register 6_LS" group.long (0x83844+0x38)++0x03 line.long 0x00 "D3MTH7_LS,DECO3 Math Register 7_LS" group.long 0x84844++0x03 line.long 0x00 "D4MTH0_LS,DECO4 Math Register 0_LS" group.long (0x84844+0x08)++0x03 line.long 0x00 "D4MTH1_LS,DECO4 Math Register 1_LS" group.long (0x84844+0x10)++0x03 line.long 0x00 "D4MTH2_LS,DECO4 Math Register 2_LS" group.long (0x84844+0x18)++0x03 line.long 0x00 "D4MTH3_LS,DECO4 Math Register 3_LS" group.long (0x84844+0x20)++0x03 line.long 0x00 "D4MTH4_LS,DECO4 Math Register 4_LS" group.long (0x84844+0x28)++0x03 line.long 0x00 "D4MTH5_LS,DECO4 Math Register 5_LS" group.long (0x84844+0x30)++0x03 line.long 0x00 "D4MTH6_LS,DECO4 Math Register 6_LS" group.long (0x84844+0x38)++0x03 line.long 0x00 "D4MTH7_LS,DECO4 Math Register 7_LS" group.long 0x85844++0x03 line.long 0x00 "D5MTH0_LS,DECO5 Math Register 0_LS" group.long (0x85844+0x08)++0x03 line.long 0x00 "D5MTH1_LS,DECO5 Math Register 1_LS" group.long (0x85844+0x10)++0x03 line.long 0x00 "D5MTH2_LS,DECO5 Math Register 2_LS" group.long (0x85844+0x18)++0x03 line.long 0x00 "D5MTH3_LS,DECO5 Math Register 3_LS" group.long (0x85844+0x20)++0x03 line.long 0x00 "D5MTH4_LS,DECO5 Math Register 4_LS" group.long (0x85844+0x28)++0x03 line.long 0x00 "D5MTH5_LS,DECO5 Math Register 5_LS" group.long (0x85844+0x30)++0x03 line.long 0x00 "D5MTH6_LS,DECO5 Math Register 6_LS" group.long (0x85844+0x38)++0x03 line.long 0x00 "D5MTH7_LS,DECO5 Math Register 7_LS" group.long 0x86844++0x03 line.long 0x00 "D6MTH0_LS,DECO6 Math Register 0_LS" group.long (0x86844+0x08)++0x03 line.long 0x00 "D6MTH1_LS,DECO6 Math Register 1_LS" group.long (0x86844+0x10)++0x03 line.long 0x00 "D6MTH2_LS,DECO6 Math Register 2_LS" group.long (0x86844+0x18)++0x03 line.long 0x00 "D6MTH3_LS,DECO6 Math Register 3_LS" group.long (0x86844+0x20)++0x03 line.long 0x00 "D6MTH4_LS,DECO6 Math Register 4_LS" group.long (0x86844+0x28)++0x03 line.long 0x00 "D6MTH5_LS,DECO6 Math Register 5_LS" group.long (0x86844+0x30)++0x03 line.long 0x00 "D6MTH6_LS,DECO6 Math Register 6_LS" group.long (0x86844+0x38)++0x03 line.long 0x00 "D6MTH7_LS,DECO6 Math Register 7_LS" group.long 0x87844++0x03 line.long 0x00 "D7MTH0_LS,DECO7 Math Register 0_LS" group.long (0x87844+0x08)++0x03 line.long 0x00 "D7MTH1_LS,DECO7 Math Register 1_LS" group.long (0x87844+0x10)++0x03 line.long 0x00 "D7MTH2_LS,DECO7 Math Register 2_LS" group.long (0x87844+0x18)++0x03 line.long 0x00 "D7MTH3_LS,DECO7 Math Register 3_LS" group.long (0x87844+0x20)++0x03 line.long 0x00 "D7MTH4_LS,DECO7 Math Register 4_LS" group.long (0x87844+0x28)++0x03 line.long 0x00 "D7MTH5_LS,DECO7 Math Register 5_LS" group.long (0x87844+0x30)++0x03 line.long 0x00 "D7MTH6_LS,DECO7 Math Register 6_LS" group.long (0x87844+0x38)++0x03 line.long 0x00 "D7MTH7_LS,DECO7 Math Register 7_LS" group.long 0x88844++0x03 line.long 0x00 "D8MTH0_LS,DECO8 Math Register 0_LS" group.long (0x88844+0x08)++0x03 line.long 0x00 "D8MTH1_LS,DECO8 Math Register 1_LS" group.long (0x88844+0x10)++0x03 line.long 0x00 "D8MTH2_LS,DECO8 Math Register 2_LS" group.long (0x88844+0x18)++0x03 line.long 0x00 "D8MTH3_LS,DECO8 Math Register 3_LS" group.long (0x88844+0x20)++0x03 line.long 0x00 "D8MTH4_LS,DECO8 Math Register 4_LS" group.long (0x88844+0x28)++0x03 line.long 0x00 "D8MTH5_LS,DECO8 Math Register 5_LS" group.long (0x88844+0x30)++0x03 line.long 0x00 "D8MTH6_LS,DECO8 Math Register 6_LS" group.long (0x88844+0x38)++0x03 line.long 0x00 "D8MTH7_LS,DECO8 Math Register 7_LS" group.long 0x89844++0x03 line.long 0x00 "D9MTH0_LS,DECO9 Math Register 0_LS" group.long (0x89844+0x08)++0x03 line.long 0x00 "D9MTH1_LS,DECO9 Math Register 1_LS" group.long (0x89844+0x10)++0x03 line.long 0x00 "D9MTH2_LS,DECO9 Math Register 2_LS" group.long (0x89844+0x18)++0x03 line.long 0x00 "D9MTH3_LS,DECO9 Math Register 3_LS" group.long (0x89844+0x20)++0x03 line.long 0x00 "D9MTH4_LS,DECO9 Math Register 4_LS" group.long (0x89844+0x28)++0x03 line.long 0x00 "D9MTH5_LS,DECO9 Math Register 5_LS" group.long (0x89844+0x30)++0x03 line.long 0x00 "D9MTH6_LS,DECO9 Math Register 6_LS" group.long (0x89844+0x38)++0x03 line.long 0x00 "D9MTH7_LS,DECO9 Math Register 7_LS" group.long 0x8A844++0x03 line.long 0x00 "D10MTH0_LS,DECO10 Math Register 0_LS" group.long (0x8A844+0x08)++0x03 line.long 0x00 "D10MTH1_LS,DECO10 Math Register 1_LS" group.long (0x8A844+0x10)++0x03 line.long 0x00 "D10MTH2_LS,DECO10 Math Register 2_LS" group.long (0x8A844+0x18)++0x03 line.long 0x00 "D10MTH3_LS,DECO10 Math Register 3_LS" group.long (0x8A844+0x20)++0x03 line.long 0x00 "D10MTH4_LS,DECO10 Math Register 4_LS" group.long (0x8A844+0x28)++0x03 line.long 0x00 "D10MTH5_LS,DECO10 Math Register 5_LS" group.long (0x8A844+0x30)++0x03 line.long 0x00 "D10MTH6_LS,DECO10 Math Register 6_LS" group.long (0x8A844+0x38)++0x03 line.long 0x00 "D10MTH7_LS,DECO10 Math Register 7_LS" group.long 0x8B844++0x03 line.long 0x00 "D11MTH0_LS,DECO11 Math Register 0_LS" group.long (0x8B844+0x08)++0x03 line.long 0x00 "D11MTH1_LS,DECO11 Math Register 1_LS" group.long (0x8B844+0x10)++0x03 line.long 0x00 "D11MTH2_LS,DECO11 Math Register 2_LS" group.long (0x8B844+0x18)++0x03 line.long 0x00 "D11MTH3_LS,DECO11 Math Register 3_LS" group.long (0x8B844+0x20)++0x03 line.long 0x00 "D11MTH4_LS,DECO11 Math Register 4_LS" group.long (0x8B844+0x28)++0x03 line.long 0x00 "D11MTH5_LS,DECO11 Math Register 5_LS" group.long (0x8B844+0x30)++0x03 line.long 0x00 "D11MTH6_LS,DECO11 Math Register 6_LS" group.long (0x8B844+0x38)++0x03 line.long 0x00 "D11MTH7_LS,DECO11 Math Register 7_LS" group.long 0x8C844++0x03 line.long 0x00 "D12MTH0_LS,DECO12 Math Register 0_LS" group.long (0x8C844+0x08)++0x03 line.long 0x00 "D12MTH1_LS,DECO12 Math Register 1_LS" group.long (0x8C844+0x10)++0x03 line.long 0x00 "D12MTH2_LS,DECO12 Math Register 2_LS" group.long (0x8C844+0x18)++0x03 line.long 0x00 "D12MTH3_LS,DECO12 Math Register 3_LS" group.long (0x8C844+0x20)++0x03 line.long 0x00 "D12MTH4_LS,DECO12 Math Register 4_LS" group.long (0x8C844+0x28)++0x03 line.long 0x00 "D12MTH5_LS,DECO12 Math Register 5_LS" group.long (0x8C844+0x30)++0x03 line.long 0x00 "D12MTH6_LS,DECO12 Math Register 6_LS" group.long (0x8C844+0x38)++0x03 line.long 0x00 "D12MTH7_LS,DECO12 Math Register 7_LS" group.long 0x8D844++0x03 line.long 0x00 "D13MTH0_LS,DECO13 Math Register 0_LS" group.long (0x8D844+0x08)++0x03 line.long 0x00 "D13MTH1_LS,DECO13 Math Register 1_LS" group.long (0x8D844+0x10)++0x03 line.long 0x00 "D13MTH2_LS,DECO13 Math Register 2_LS" group.long (0x8D844+0x18)++0x03 line.long 0x00 "D13MTH3_LS,DECO13 Math Register 3_LS" group.long (0x8D844+0x20)++0x03 line.long 0x00 "D13MTH4_LS,DECO13 Math Register 4_LS" group.long (0x8D844+0x28)++0x03 line.long 0x00 "D13MTH5_LS,DECO13 Math Register 5_LS" group.long (0x8D844+0x30)++0x03 line.long 0x00 "D13MTH6_LS,DECO13 Math Register 6_LS" group.long (0x8D844+0x38)++0x03 line.long 0x00 "D13MTH7_LS,DECO13 Math Register 7_LS" group.long 0x8E844++0x03 line.long 0x00 "D14MTH0_LS,DECO14 Math Register 0_LS" group.long (0x8E844+0x08)++0x03 line.long 0x00 "D14MTH1_LS,DECO14 Math Register 1_LS" group.long (0x8E844+0x10)++0x03 line.long 0x00 "D14MTH2_LS,DECO14 Math Register 2_LS" group.long (0x8E844+0x18)++0x03 line.long 0x00 "D14MTH3_LS,DECO14 Math Register 3_LS" group.long (0x8E844+0x20)++0x03 line.long 0x00 "D14MTH4_LS,DECO14 Math Register 4_LS" group.long (0x8E844+0x28)++0x03 line.long 0x00 "D14MTH5_LS,DECO14 Math Register 5_LS" group.long (0x8E844+0x30)++0x03 line.long 0x00 "D14MTH6_LS,DECO14 Math Register 6_LS" group.long (0x8E844+0x38)++0x03 line.long 0x00 "D14MTH7_LS,DECO14 Math Register 7_LS" group.long 0x8F844++0x03 line.long 0x00 "D15MTH0_LS,DECO15 Math Register 0_LS" group.long (0x8F844+0x08)++0x03 line.long 0x00 "D15MTH1_LS,DECO15 Math Register 1_LS" group.long (0x8F844+0x10)++0x03 line.long 0x00 "D15MTH2_LS,DECO15 Math Register 2_LS" group.long (0x8F844+0x18)++0x03 line.long 0x00 "D15MTH3_LS,DECO15 Math Register 3_LS" group.long (0x8F844+0x20)++0x03 line.long 0x00 "D15MTH4_LS,DECO15 Math Register 4_LS" group.long (0x8F844+0x28)++0x03 line.long 0x00 "D15MTH5_LS,DECO15 Math Register 5_LS" group.long (0x8F844+0x30)++0x03 line.long 0x00 "D15MTH6_LS,DECO15 Math Register 6_LS" group.long (0x8F844+0x38)++0x03 line.long 0x00 "D15MTH7_LS,DECO15 Math Register 7_LS" group.quad 0x80880++0x07 line.quad 0x00 "D[0-15]GTR[0-3],DECO[0-15] Gather Table Register [0-3]" button "Data" "D ad:(0x80880)--ad:(0x8F8B0) /Quad" group.quad 0x80900++0x07 line.quad 0x00 "D[0-15]STR[0-3],DECO[0-15] Scatter Table Register [0-3]" button "Data" "D ad:(0x80900)--ad:(0x8F930) /Quad" hgroup.long 0x80A00++0x03 hide.long 0x00 "D0DESB0,DECO0 Descriptor Buffer Word 0" hgroup.long 0x80A04++0x03 hide.long 0x00 "D0DESB1,DECO0 Descriptor Buffer Word 1" hgroup.long 0x80A08++0x03 hide.long 0x00 "D0DESB2,DECO0 Descriptor Buffer Word 2" hgroup.long 0x80A0C++0x03 hide.long 0x00 "D0DESB3,DECO0 Descriptor Buffer Word 3" hgroup.long 0x80A10++0x03 hide.long 0x00 "D0DESB4,DECO0 Descriptor Buffer Word 4" hgroup.long 0x80A14++0x03 hide.long 0x00 "D0DESB5,DECO0 Descriptor Buffer Word 5" hgroup.long 0x80A18++0x03 hide.long 0x00 "D0DESB6,DECO0 Descriptor Buffer Word 6" hgroup.long 0x80A1C++0x03 hide.long 0x00 "D0DESB7,DECO0 Descriptor Buffer Word 7" hgroup.long 0x80A20++0x03 hide.long 0x00 "D0DESB8,DECO0 Descriptor Buffer Word 8" hgroup.long 0x80A24++0x03 hide.long 0x00 "D0DESB9,DECO0 Descriptor Buffer Word 9" hgroup.long 0x80A28++0x03 hide.long 0x00 "D0DESB10,DECO0 Descriptor Buffer Word 10" hgroup.long 0x80A2C++0x03 hide.long 0x00 "D0DESB11,DECO0 Descriptor Buffer Word 11" hgroup.long 0x80A30++0x03 hide.long 0x00 "D0DESB12,DECO0 Descriptor Buffer Word 12" hgroup.long 0x80A34++0x03 hide.long 0x00 "D0DESB13,DECO0 Descriptor Buffer Word 13" hgroup.long 0x80A38++0x03 hide.long 0x00 "D0DESB14,DECO0 Descriptor Buffer Word 14" hgroup.long 0x80A3C++0x03 hide.long 0x00 "D0DESB15,DECO0 Descriptor Buffer Word 15" hgroup.long 0x80A40++0x03 hide.long 0x00 "D0DESB16,DECO0 Descriptor Buffer Word 16" hgroup.long 0x80A44++0x03 hide.long 0x00 "D0DESB17,DECO0 Descriptor Buffer Word 17" hgroup.long 0x80A48++0x03 hide.long 0x00 "D0DESB18,DECO0 Descriptor Buffer Word 18" hgroup.long 0x80A4C++0x03 hide.long 0x00 "D0DESB19,DECO0 Descriptor Buffer Word 19" hgroup.long 0x80A50++0x03 hide.long 0x00 "D0DESB20,DECO0 Descriptor Buffer Word 20" hgroup.long 0x80A54++0x03 hide.long 0x00 "D0DESB21,DECO0 Descriptor Buffer Word 21" hgroup.long 0x80A58++0x03 hide.long 0x00 "D0DESB22,DECO0 Descriptor Buffer Word 22" hgroup.long 0x80A5C++0x03 hide.long 0x00 "D0DESB23,DECO0 Descriptor Buffer Word 23" hgroup.long 0x80A60++0x03 hide.long 0x00 "D0DESB24,DECO0 Descriptor Buffer Word 24" hgroup.long 0x80A64++0x03 hide.long 0x00 "D0DESB25,DECO0 Descriptor Buffer Word 25" hgroup.long 0x80A68++0x03 hide.long 0x00 "D0DESB26,DECO0 Descriptor Buffer Word 26" hgroup.long 0x80A6C++0x03 hide.long 0x00 "D0DESB27,DECO0 Descriptor Buffer Word 27" hgroup.long 0x80A70++0x03 hide.long 0x00 "D0DESB28,DECO0 Descriptor Buffer Word 28" hgroup.long 0x80A74++0x03 hide.long 0x00 "D0DESB29,DECO0 Descriptor Buffer Word 29" hgroup.long 0x80A78++0x03 hide.long 0x00 "D0DESB30,DECO0 Descriptor Buffer Word 30" hgroup.long 0x80A7C++0x03 hide.long 0x00 "D0DESB31,DECO0 Descriptor Buffer Word 31" hgroup.long 0x80A80++0x03 hide.long 0x00 "D0DESB32,DECO0 Descriptor Buffer Word 32" hgroup.long 0x80A84++0x03 hide.long 0x00 "D0DESB33,DECO0 Descriptor Buffer Word 33" hgroup.long 0x80A88++0x03 hide.long 0x00 "D0DESB34,DECO0 Descriptor Buffer Word 34" hgroup.long 0x80A8C++0x03 hide.long 0x00 "D0DESB35,DECO0 Descriptor Buffer Word 35" hgroup.long 0x80A90++0x03 hide.long 0x00 "D0DESB36,DECO0 Descriptor Buffer Word 36" hgroup.long 0x80A94++0x03 hide.long 0x00 "D0DESB37,DECO0 Descriptor Buffer Word 37" hgroup.long 0x80A98++0x03 hide.long 0x00 "D0DESB38,DECO0 Descriptor Buffer Word 38" hgroup.long 0x80A9C++0x03 hide.long 0x00 "D0DESB39,DECO0 Descriptor Buffer Word 39" hgroup.long 0x80AA0++0x03 hide.long 0x00 "D0DESB40,DECO0 Descriptor Buffer Word 40" hgroup.long 0x80AA4++0x03 hide.long 0x00 "D0DESB41,DECO0 Descriptor Buffer Word 41" hgroup.long 0x80AA8++0x03 hide.long 0x00 "D0DESB42,DECO0 Descriptor Buffer Word 42" hgroup.long 0x80AAC++0x03 hide.long 0x00 "D0DESB43,DECO0 Descriptor Buffer Word 43" hgroup.long 0x80AB0++0x03 hide.long 0x00 "D0DESB44,DECO0 Descriptor Buffer Word 44" hgroup.long 0x80AB4++0x03 hide.long 0x00 "D0DESB45,DECO0 Descriptor Buffer Word 45" hgroup.long 0x80AB8++0x03 hide.long 0x00 "D0DESB46,DECO0 Descriptor Buffer Word 46" hgroup.long 0x80ABC++0x03 hide.long 0x00 "D0DESB47,DECO0 Descriptor Buffer Word 47" hgroup.long 0x80AC0++0x03 hide.long 0x00 "D0DESB48,DECO0 Descriptor Buffer Word 48" hgroup.long 0x80AC4++0x03 hide.long 0x00 "D0DESB49,DECO0 Descriptor Buffer Word 49" hgroup.long 0x80AC8++0x03 hide.long 0x00 "D0DESB50,DECO0 Descriptor Buffer Word 50" hgroup.long 0x80ACC++0x03 hide.long 0x00 "D0DESB51,DECO0 Descriptor Buffer Word 51" hgroup.long 0x80AD0++0x03 hide.long 0x00 "D0DESB52,DECO0 Descriptor Buffer Word 52" hgroup.long 0x80AD4++0x03 hide.long 0x00 "D0DESB53,DECO0 Descriptor Buffer Word 53" hgroup.long 0x80AD8++0x03 hide.long 0x00 "D0DESB54,DECO0 Descriptor Buffer Word 54" hgroup.long 0x80ADC++0x03 hide.long 0x00 "D0DESB55,DECO0 Descriptor Buffer Word 55" hgroup.long 0x80AE0++0x03 hide.long 0x00 "D0DESB56,DECO0 Descriptor Buffer Word 56" hgroup.long 0x80AE4++0x03 hide.long 0x00 "D0DESB57,DECO0 Descriptor Buffer Word 57" hgroup.long 0x80AE8++0x03 hide.long 0x00 "D0DESB58,DECO0 Descriptor Buffer Word 58" hgroup.long 0x80AEC++0x03 hide.long 0x00 "D0DESB59,DECO0 Descriptor Buffer Word 59" hgroup.long 0x80AF0++0x03 hide.long 0x00 "D0DESB60,DECO0 Descriptor Buffer Word 60" hgroup.long 0x80AF4++0x03 hide.long 0x00 "D0DESB61,DECO0 Descriptor Buffer Word 61" hgroup.long 0x80AF8++0x03 hide.long 0x00 "D0DESB62,DECO0 Descriptor Buffer Word 62" hgroup.long 0x80AFC++0x03 hide.long 0x00 "D0DESB63,DECO0 Descriptor Buffer Word 63" hgroup.long 0x80B00++0x03 hide.long 0x00 "D0DESB64,DECO0 Descriptor Buffer Word 64" hgroup.long 0x80B04++0x03 hide.long 0x00 "D0DESB65,DECO0 Descriptor Buffer Word 65" hgroup.long 0x80B08++0x03 hide.long 0x00 "D0DESB66,DECO0 Descriptor Buffer Word 66" hgroup.long 0x80B0C++0x03 hide.long 0x00 "D0DESB67,DECO0 Descriptor Buffer Word 67" hgroup.long 0x80B10++0x03 hide.long 0x00 "D0DESB68,DECO0 Descriptor Buffer Word 68" hgroup.long 0x80B14++0x03 hide.long 0x00 "D0DESB69,DECO0 Descriptor Buffer Word 69" hgroup.long 0x80B18++0x03 hide.long 0x00 "D0DESB70,DECO0 Descriptor Buffer Word 70" hgroup.long 0x80B1C++0x03 hide.long 0x00 "D0DESB71,DECO0 Descriptor Buffer Word 71" hgroup.long 0x80B20++0x03 hide.long 0x00 "D0DESB72,DECO0 Descriptor Buffer Word 72" hgroup.long 0x80B24++0x03 hide.long 0x00 "D0DESB73,DECO0 Descriptor Buffer Word 73" hgroup.long 0x80B28++0x03 hide.long 0x00 "D0DESB74,DECO0 Descriptor Buffer Word 74" hgroup.long 0x80B2C++0x03 hide.long 0x00 "D0DESB75,DECO0 Descriptor Buffer Word 75" hgroup.long 0x80B30++0x03 hide.long 0x00 "D0DESB76,DECO0 Descriptor Buffer Word 76" hgroup.long 0x80B34++0x03 hide.long 0x00 "D0DESB77,DECO0 Descriptor Buffer Word 77" hgroup.long 0x80B38++0x03 hide.long 0x00 "D0DESB78,DECO0 Descriptor Buffer Word 78" hgroup.long 0x80B3C++0x03 hide.long 0x00 "D0DESB79,DECO0 Descriptor Buffer Word 79" hgroup.long 0x80B40++0x03 hide.long 0x00 "D0DESB80,DECO0 Descriptor Buffer Word 80" hgroup.long 0x80B44++0x03 hide.long 0x00 "D0DESB81,DECO0 Descriptor Buffer Word 81" hgroup.long 0x80B48++0x03 hide.long 0x00 "D0DESB82,DECO0 Descriptor Buffer Word 82" hgroup.long 0x80B4C++0x03 hide.long 0x00 "D0DESB83,DECO0 Descriptor Buffer Word 83" hgroup.long 0x80B50++0x03 hide.long 0x00 "D0DESB84,DECO0 Descriptor Buffer Word 84" hgroup.long 0x80B54++0x03 hide.long 0x00 "D0DESB85,DECO0 Descriptor Buffer Word 85" hgroup.long 0x80B58++0x03 hide.long 0x00 "D0DESB86,DECO0 Descriptor Buffer Word 86" hgroup.long 0x80B5C++0x03 hide.long 0x00 "D0DESB87,DECO0 Descriptor Buffer Word 87" hgroup.long 0x80B60++0x03 hide.long 0x00 "D0DESB88,DECO0 Descriptor Buffer Word 88" hgroup.long 0x80B64++0x03 hide.long 0x00 "D0DESB89,DECO0 Descriptor Buffer Word 89" hgroup.long 0x80B68++0x03 hide.long 0x00 "D0DESB90,DECO0 Descriptor Buffer Word 90" hgroup.long 0x80B6C++0x03 hide.long 0x00 "D0DESB91,DECO0 Descriptor Buffer Word 91" hgroup.long 0x80B70++0x03 hide.long 0x00 "D0DESB92,DECO0 Descriptor Buffer Word 92" hgroup.long 0x80B74++0x03 hide.long 0x00 "D0DESB93,DECO0 Descriptor Buffer Word 93" hgroup.long 0x80B78++0x03 hide.long 0x00 "D0DESB94,DECO0 Descriptor Buffer Word 94" hgroup.long 0x80B7C++0x03 hide.long 0x00 "D0DESB95,DECO0 Descriptor Buffer Word 95" hgroup.long 0x80B80++0x03 hide.long 0x00 "D0DESB96,DECO0 Descriptor Buffer Word 96" hgroup.long 0x80B84++0x03 hide.long 0x00 "D0DESB97,DECO0 Descriptor Buffer Word 97" hgroup.long 0x80B88++0x03 hide.long 0x00 "D0DESB98,DECO0 Descriptor Buffer Word 98" hgroup.long 0x80B8C++0x03 hide.long 0x00 "D0DESB99,DECO0 Descriptor Buffer Word 99" hgroup.long 0x80B90++0x03 hide.long 0x00 "D0DESB100,DECO0 Descriptor Buffer Word 100" hgroup.long 0x80B94++0x03 hide.long 0x00 "D0DESB101,DECO0 Descriptor Buffer Word 101" hgroup.long 0x80B98++0x03 hide.long 0x00 "D0DESB102,DECO0 Descriptor Buffer Word 102" hgroup.long 0x80B9C++0x03 hide.long 0x00 "D0DESB103,DECO0 Descriptor Buffer Word 103" hgroup.long 0x80BA0++0x03 hide.long 0x00 "D0DESB104,DECO0 Descriptor Buffer Word 104" hgroup.long 0x80BA4++0x03 hide.long 0x00 "D0DESB105,DECO0 Descriptor Buffer Word 105" hgroup.long 0x80BA8++0x03 hide.long 0x00 "D0DESB106,DECO0 Descriptor Buffer Word 106" hgroup.long 0x80BAC++0x03 hide.long 0x00 "D0DESB107,DECO0 Descriptor Buffer Word 107" hgroup.long 0x80BB0++0x03 hide.long 0x00 "D0DESB108,DECO0 Descriptor Buffer Word 108" hgroup.long 0x80BB4++0x03 hide.long 0x00 "D0DESB109,DECO0 Descriptor Buffer Word 109" hgroup.long 0x80BB8++0x03 hide.long 0x00 "D0DESB110,DECO0 Descriptor Buffer Word 110" hgroup.long 0x80BBC++0x03 hide.long 0x00 "D0DESB111,DECO0 Descriptor Buffer Word 111" hgroup.long 0x80BC0++0x03 hide.long 0x00 "D0DESB112,DECO0 Descriptor Buffer Word 112" hgroup.long 0x80BC4++0x03 hide.long 0x00 "D0DESB113,DECO0 Descriptor Buffer Word 113" hgroup.long 0x80BC8++0x03 hide.long 0x00 "D0DESB114,DECO0 Descriptor Buffer Word 114" hgroup.long 0x80BCC++0x03 hide.long 0x00 "D0DESB115,DECO0 Descriptor Buffer Word 115" hgroup.long 0x80BD0++0x03 hide.long 0x00 "D0DESB116,DECO0 Descriptor Buffer Word 116" hgroup.long 0x80BD4++0x03 hide.long 0x00 "D0DESB117,DECO0 Descriptor Buffer Word 117" hgroup.long 0x80BD8++0x03 hide.long 0x00 "D0DESB118,DECO0 Descriptor Buffer Word 118" hgroup.long 0x80BDC++0x03 hide.long 0x00 "D0DESB119,DECO0 Descriptor Buffer Word 119" hgroup.long 0x80BE0++0x03 hide.long 0x00 "D0DESB120,DECO0 Descriptor Buffer Word 120" hgroup.long 0x80BE4++0x03 hide.long 0x00 "D0DESB121,DECO0 Descriptor Buffer Word 121" hgroup.long 0x80BE8++0x03 hide.long 0x00 "D0DESB122,DECO0 Descriptor Buffer Word 122" hgroup.long 0x80BEC++0x03 hide.long 0x00 "D0DESB123,DECO0 Descriptor Buffer Word 123" hgroup.long 0x80BF0++0x03 hide.long 0x00 "D0DESB124,DECO0 Descriptor Buffer Word 124" hgroup.long 0x80BF4++0x03 hide.long 0x00 "D0DESB125,DECO0 Descriptor Buffer Word 125" hgroup.long 0x80BF8++0x03 hide.long 0x00 "D0DESB126,DECO0 Descriptor Buffer Word 126" hgroup.long 0x80BFC++0x03 hide.long 0x00 "D0DESB127,DECO0 Descriptor Buffer Word 127" hgroup.long 0x81A00++0x03 hide.long 0x00 "D1DESB0,DECO1 Descriptor Buffer Word 0" hgroup.long 0x81A04++0x03 hide.long 0x00 "D1DESB1,DECO1 Descriptor Buffer Word 1" hgroup.long 0x81A08++0x03 hide.long 0x00 "D1DESB2,DECO1 Descriptor Buffer Word 2" hgroup.long 0x81A0C++0x03 hide.long 0x00 "D1DESB3,DECO1 Descriptor Buffer Word 3" hgroup.long 0x81A10++0x03 hide.long 0x00 "D1DESB4,DECO1 Descriptor Buffer Word 4" hgroup.long 0x81A14++0x03 hide.long 0x00 "D1DESB5,DECO1 Descriptor Buffer Word 5" hgroup.long 0x81A18++0x03 hide.long 0x00 "D1DESB6,DECO1 Descriptor Buffer Word 6" hgroup.long 0x81A1C++0x03 hide.long 0x00 "D1DESB7,DECO1 Descriptor Buffer Word 7" hgroup.long 0x81A20++0x03 hide.long 0x00 "D1DESB8,DECO1 Descriptor Buffer Word 8" hgroup.long 0x81A24++0x03 hide.long 0x00 "D1DESB9,DECO1 Descriptor Buffer Word 9" hgroup.long 0x81A28++0x03 hide.long 0x00 "D1DESB10,DECO1 Descriptor Buffer Word 10" hgroup.long 0x81A2C++0x03 hide.long 0x00 "D1DESB11,DECO1 Descriptor Buffer Word 11" hgroup.long 0x81A30++0x03 hide.long 0x00 "D1DESB12,DECO1 Descriptor Buffer Word 12" hgroup.long 0x81A34++0x03 hide.long 0x00 "D1DESB13,DECO1 Descriptor Buffer Word 13" hgroup.long 0x81A38++0x03 hide.long 0x00 "D1DESB14,DECO1 Descriptor Buffer Word 14" hgroup.long 0x81A3C++0x03 hide.long 0x00 "D1DESB15,DECO1 Descriptor Buffer Word 15" hgroup.long 0x81A40++0x03 hide.long 0x00 "D1DESB16,DECO1 Descriptor Buffer Word 16" hgroup.long 0x81A44++0x03 hide.long 0x00 "D1DESB17,DECO1 Descriptor Buffer Word 17" hgroup.long 0x81A48++0x03 hide.long 0x00 "D1DESB18,DECO1 Descriptor Buffer Word 18" hgroup.long 0x81A4C++0x03 hide.long 0x00 "D1DESB19,DECO1 Descriptor Buffer Word 19" hgroup.long 0x81A50++0x03 hide.long 0x00 "D1DESB20,DECO1 Descriptor Buffer Word 20" hgroup.long 0x81A54++0x03 hide.long 0x00 "D1DESB21,DECO1 Descriptor Buffer Word 21" hgroup.long 0x81A58++0x03 hide.long 0x00 "D1DESB22,DECO1 Descriptor Buffer Word 22" hgroup.long 0x81A5C++0x03 hide.long 0x00 "D1DESB23,DECO1 Descriptor Buffer Word 23" hgroup.long 0x81A60++0x03 hide.long 0x00 "D1DESB24,DECO1 Descriptor Buffer Word 24" hgroup.long 0x81A64++0x03 hide.long 0x00 "D1DESB25,DECO1 Descriptor Buffer Word 25" hgroup.long 0x81A68++0x03 hide.long 0x00 "D1DESB26,DECO1 Descriptor Buffer Word 26" hgroup.long 0x81A6C++0x03 hide.long 0x00 "D1DESB27,DECO1 Descriptor Buffer Word 27" hgroup.long 0x81A70++0x03 hide.long 0x00 "D1DESB28,DECO1 Descriptor Buffer Word 28" hgroup.long 0x81A74++0x03 hide.long 0x00 "D1DESB29,DECO1 Descriptor Buffer Word 29" hgroup.long 0x81A78++0x03 hide.long 0x00 "D1DESB30,DECO1 Descriptor Buffer Word 30" hgroup.long 0x81A7C++0x03 hide.long 0x00 "D1DESB31,DECO1 Descriptor Buffer Word 31" hgroup.long 0x81A80++0x03 hide.long 0x00 "D1DESB32,DECO1 Descriptor Buffer Word 32" hgroup.long 0x81A84++0x03 hide.long 0x00 "D1DESB33,DECO1 Descriptor Buffer Word 33" hgroup.long 0x81A88++0x03 hide.long 0x00 "D1DESB34,DECO1 Descriptor Buffer Word 34" hgroup.long 0x81A8C++0x03 hide.long 0x00 "D1DESB35,DECO1 Descriptor Buffer Word 35" hgroup.long 0x81A90++0x03 hide.long 0x00 "D1DESB36,DECO1 Descriptor Buffer Word 36" hgroup.long 0x81A94++0x03 hide.long 0x00 "D1DESB37,DECO1 Descriptor Buffer Word 37" hgroup.long 0x81A98++0x03 hide.long 0x00 "D1DESB38,DECO1 Descriptor Buffer Word 38" hgroup.long 0x81A9C++0x03 hide.long 0x00 "D1DESB39,DECO1 Descriptor Buffer Word 39" hgroup.long 0x81AA0++0x03 hide.long 0x00 "D1DESB40,DECO1 Descriptor Buffer Word 40" hgroup.long 0x81AA4++0x03 hide.long 0x00 "D1DESB41,DECO1 Descriptor Buffer Word 41" hgroup.long 0x81AA8++0x03 hide.long 0x00 "D1DESB42,DECO1 Descriptor Buffer Word 42" hgroup.long 0x81AAC++0x03 hide.long 0x00 "D1DESB43,DECO1 Descriptor Buffer Word 43" hgroup.long 0x81AB0++0x03 hide.long 0x00 "D1DESB44,DECO1 Descriptor Buffer Word 44" hgroup.long 0x81AB4++0x03 hide.long 0x00 "D1DESB45,DECO1 Descriptor Buffer Word 45" hgroup.long 0x81AB8++0x03 hide.long 0x00 "D1DESB46,DECO1 Descriptor Buffer Word 46" hgroup.long 0x81ABC++0x03 hide.long 0x00 "D1DESB47,DECO1 Descriptor Buffer Word 47" hgroup.long 0x81AC0++0x03 hide.long 0x00 "D1DESB48,DECO1 Descriptor Buffer Word 48" hgroup.long 0x81AC4++0x03 hide.long 0x00 "D1DESB49,DECO1 Descriptor Buffer Word 49" hgroup.long 0x81AC8++0x03 hide.long 0x00 "D1DESB50,DECO1 Descriptor Buffer Word 50" hgroup.long 0x81ACC++0x03 hide.long 0x00 "D1DESB51,DECO1 Descriptor Buffer Word 51" hgroup.long 0x81AD0++0x03 hide.long 0x00 "D1DESB52,DECO1 Descriptor Buffer Word 52" hgroup.long 0x81AD4++0x03 hide.long 0x00 "D1DESB53,DECO1 Descriptor Buffer Word 53" hgroup.long 0x81AD8++0x03 hide.long 0x00 "D1DESB54,DECO1 Descriptor Buffer Word 54" hgroup.long 0x81ADC++0x03 hide.long 0x00 "D1DESB55,DECO1 Descriptor Buffer Word 55" hgroup.long 0x81AE0++0x03 hide.long 0x00 "D1DESB56,DECO1 Descriptor Buffer Word 56" hgroup.long 0x81AE4++0x03 hide.long 0x00 "D1DESB57,DECO1 Descriptor Buffer Word 57" hgroup.long 0x81AE8++0x03 hide.long 0x00 "D1DESB58,DECO1 Descriptor Buffer Word 58" hgroup.long 0x81AEC++0x03 hide.long 0x00 "D1DESB59,DECO1 Descriptor Buffer Word 59" hgroup.long 0x81AF0++0x03 hide.long 0x00 "D1DESB60,DECO1 Descriptor Buffer Word 60" hgroup.long 0x81AF4++0x03 hide.long 0x00 "D1DESB61,DECO1 Descriptor Buffer Word 61" hgroup.long 0x81AF8++0x03 hide.long 0x00 "D1DESB62,DECO1 Descriptor Buffer Word 62" hgroup.long 0x81AFC++0x03 hide.long 0x00 "D1DESB63,DECO1 Descriptor Buffer Word 63" hgroup.long 0x81B00++0x03 hide.long 0x00 "D1DESB64,DECO1 Descriptor Buffer Word 64" hgroup.long 0x81B04++0x03 hide.long 0x00 "D1DESB65,DECO1 Descriptor Buffer Word 65" hgroup.long 0x81B08++0x03 hide.long 0x00 "D1DESB66,DECO1 Descriptor Buffer Word 66" hgroup.long 0x81B0C++0x03 hide.long 0x00 "D1DESB67,DECO1 Descriptor Buffer Word 67" hgroup.long 0x81B10++0x03 hide.long 0x00 "D1DESB68,DECO1 Descriptor Buffer Word 68" hgroup.long 0x81B14++0x03 hide.long 0x00 "D1DESB69,DECO1 Descriptor Buffer Word 69" hgroup.long 0x81B18++0x03 hide.long 0x00 "D1DESB70,DECO1 Descriptor Buffer Word 70" hgroup.long 0x81B1C++0x03 hide.long 0x00 "D1DESB71,DECO1 Descriptor Buffer Word 71" hgroup.long 0x81B20++0x03 hide.long 0x00 "D1DESB72,DECO1 Descriptor Buffer Word 72" hgroup.long 0x81B24++0x03 hide.long 0x00 "D1DESB73,DECO1 Descriptor Buffer Word 73" hgroup.long 0x81B28++0x03 hide.long 0x00 "D1DESB74,DECO1 Descriptor Buffer Word 74" hgroup.long 0x81B2C++0x03 hide.long 0x00 "D1DESB75,DECO1 Descriptor Buffer Word 75" hgroup.long 0x81B30++0x03 hide.long 0x00 "D1DESB76,DECO1 Descriptor Buffer Word 76" hgroup.long 0x81B34++0x03 hide.long 0x00 "D1DESB77,DECO1 Descriptor Buffer Word 77" hgroup.long 0x81B38++0x03 hide.long 0x00 "D1DESB78,DECO1 Descriptor Buffer Word 78" hgroup.long 0x81B3C++0x03 hide.long 0x00 "D1DESB79,DECO1 Descriptor Buffer Word 79" hgroup.long 0x81B40++0x03 hide.long 0x00 "D1DESB80,DECO1 Descriptor Buffer Word 80" hgroup.long 0x81B44++0x03 hide.long 0x00 "D1DESB81,DECO1 Descriptor Buffer Word 81" hgroup.long 0x81B48++0x03 hide.long 0x00 "D1DESB82,DECO1 Descriptor Buffer Word 82" hgroup.long 0x81B4C++0x03 hide.long 0x00 "D1DESB83,DECO1 Descriptor Buffer Word 83" hgroup.long 0x81B50++0x03 hide.long 0x00 "D1DESB84,DECO1 Descriptor Buffer Word 84" hgroup.long 0x81B54++0x03 hide.long 0x00 "D1DESB85,DECO1 Descriptor Buffer Word 85" hgroup.long 0x81B58++0x03 hide.long 0x00 "D1DESB86,DECO1 Descriptor Buffer Word 86" hgroup.long 0x81B5C++0x03 hide.long 0x00 "D1DESB87,DECO1 Descriptor Buffer Word 87" hgroup.long 0x81B60++0x03 hide.long 0x00 "D1DESB88,DECO1 Descriptor Buffer Word 88" hgroup.long 0x81B64++0x03 hide.long 0x00 "D1DESB89,DECO1 Descriptor Buffer Word 89" hgroup.long 0x81B68++0x03 hide.long 0x00 "D1DESB90,DECO1 Descriptor Buffer Word 90" hgroup.long 0x81B6C++0x03 hide.long 0x00 "D1DESB91,DECO1 Descriptor Buffer Word 91" hgroup.long 0x81B70++0x03 hide.long 0x00 "D1DESB92,DECO1 Descriptor Buffer Word 92" hgroup.long 0x81B74++0x03 hide.long 0x00 "D1DESB93,DECO1 Descriptor Buffer Word 93" hgroup.long 0x81B78++0x03 hide.long 0x00 "D1DESB94,DECO1 Descriptor Buffer Word 94" hgroup.long 0x81B7C++0x03 hide.long 0x00 "D1DESB95,DECO1 Descriptor Buffer Word 95" hgroup.long 0x81B80++0x03 hide.long 0x00 "D1DESB96,DECO1 Descriptor Buffer Word 96" hgroup.long 0x81B84++0x03 hide.long 0x00 "D1DESB97,DECO1 Descriptor Buffer Word 97" hgroup.long 0x81B88++0x03 hide.long 0x00 "D1DESB98,DECO1 Descriptor Buffer Word 98" hgroup.long 0x81B8C++0x03 hide.long 0x00 "D1DESB99,DECO1 Descriptor Buffer Word 99" hgroup.long 0x81B90++0x03 hide.long 0x00 "D1DESB100,DECO1 Descriptor Buffer Word 100" hgroup.long 0x81B94++0x03 hide.long 0x00 "D1DESB101,DECO1 Descriptor Buffer Word 101" hgroup.long 0x81B98++0x03 hide.long 0x00 "D1DESB102,DECO1 Descriptor Buffer Word 102" hgroup.long 0x81B9C++0x03 hide.long 0x00 "D1DESB103,DECO1 Descriptor Buffer Word 103" hgroup.long 0x81BA0++0x03 hide.long 0x00 "D1DESB104,DECO1 Descriptor Buffer Word 104" hgroup.long 0x81BA4++0x03 hide.long 0x00 "D1DESB105,DECO1 Descriptor Buffer Word 105" hgroup.long 0x81BA8++0x03 hide.long 0x00 "D1DESB106,DECO1 Descriptor Buffer Word 106" hgroup.long 0x81BAC++0x03 hide.long 0x00 "D1DESB107,DECO1 Descriptor Buffer Word 107" hgroup.long 0x81BB0++0x03 hide.long 0x00 "D1DESB108,DECO1 Descriptor Buffer Word 108" hgroup.long 0x81BB4++0x03 hide.long 0x00 "D1DESB109,DECO1 Descriptor Buffer Word 109" hgroup.long 0x81BB8++0x03 hide.long 0x00 "D1DESB110,DECO1 Descriptor Buffer Word 110" hgroup.long 0x81BBC++0x03 hide.long 0x00 "D1DESB111,DECO1 Descriptor Buffer Word 111" hgroup.long 0x81BC0++0x03 hide.long 0x00 "D1DESB112,DECO1 Descriptor Buffer Word 112" hgroup.long 0x81BC4++0x03 hide.long 0x00 "D1DESB113,DECO1 Descriptor Buffer Word 113" hgroup.long 0x81BC8++0x03 hide.long 0x00 "D1DESB114,DECO1 Descriptor Buffer Word 114" hgroup.long 0x81BCC++0x03 hide.long 0x00 "D1DESB115,DECO1 Descriptor Buffer Word 115" hgroup.long 0x81BD0++0x03 hide.long 0x00 "D1DESB116,DECO1 Descriptor Buffer Word 116" hgroup.long 0x81BD4++0x03 hide.long 0x00 "D1DESB117,DECO1 Descriptor Buffer Word 117" hgroup.long 0x81BD8++0x03 hide.long 0x00 "D1DESB118,DECO1 Descriptor Buffer Word 118" hgroup.long 0x81BDC++0x03 hide.long 0x00 "D1DESB119,DECO1 Descriptor Buffer Word 119" hgroup.long 0x81BE0++0x03 hide.long 0x00 "D1DESB120,DECO1 Descriptor Buffer Word 120" hgroup.long 0x81BE4++0x03 hide.long 0x00 "D1DESB121,DECO1 Descriptor Buffer Word 121" hgroup.long 0x81BE8++0x03 hide.long 0x00 "D1DESB122,DECO1 Descriptor Buffer Word 122" hgroup.long 0x81BEC++0x03 hide.long 0x00 "D1DESB123,DECO1 Descriptor Buffer Word 123" hgroup.long 0x81BF0++0x03 hide.long 0x00 "D1DESB124,DECO1 Descriptor Buffer Word 124" hgroup.long 0x81BF4++0x03 hide.long 0x00 "D1DESB125,DECO1 Descriptor Buffer Word 125" hgroup.long 0x81BF8++0x03 hide.long 0x00 "D1DESB126,DECO1 Descriptor Buffer Word 126" hgroup.long 0x81BFC++0x03 hide.long 0x00 "D1DESB127,DECO1 Descriptor Buffer Word 127" hgroup.long 0x82A00++0x03 hide.long 0x00 "D2DESB0,DECO2 Descriptor Buffer Word 0" hgroup.long 0x82A04++0x03 hide.long 0x00 "D2DESB1,DECO2 Descriptor Buffer Word 1" hgroup.long 0x82A08++0x03 hide.long 0x00 "D2DESB2,DECO2 Descriptor Buffer Word 2" hgroup.long 0x82A0C++0x03 hide.long 0x00 "D2DESB3,DECO2 Descriptor Buffer Word 3" hgroup.long 0x82A10++0x03 hide.long 0x00 "D2DESB4,DECO2 Descriptor Buffer Word 4" hgroup.long 0x82A14++0x03 hide.long 0x00 "D2DESB5,DECO2 Descriptor Buffer Word 5" hgroup.long 0x82A18++0x03 hide.long 0x00 "D2DESB6,DECO2 Descriptor Buffer Word 6" hgroup.long 0x82A1C++0x03 hide.long 0x00 "D2DESB7,DECO2 Descriptor Buffer Word 7" hgroup.long 0x82A20++0x03 hide.long 0x00 "D2DESB8,DECO2 Descriptor Buffer Word 8" hgroup.long 0x82A24++0x03 hide.long 0x00 "D2DESB9,DECO2 Descriptor Buffer Word 9" hgroup.long 0x82A28++0x03 hide.long 0x00 "D2DESB10,DECO2 Descriptor Buffer Word 10" hgroup.long 0x82A2C++0x03 hide.long 0x00 "D2DESB11,DECO2 Descriptor Buffer Word 11" hgroup.long 0x82A30++0x03 hide.long 0x00 "D2DESB12,DECO2 Descriptor Buffer Word 12" hgroup.long 0x82A34++0x03 hide.long 0x00 "D2DESB13,DECO2 Descriptor Buffer Word 13" hgroup.long 0x82A38++0x03 hide.long 0x00 "D2DESB14,DECO2 Descriptor Buffer Word 14" hgroup.long 0x82A3C++0x03 hide.long 0x00 "D2DESB15,DECO2 Descriptor Buffer Word 15" hgroup.long 0x82A40++0x03 hide.long 0x00 "D2DESB16,DECO2 Descriptor Buffer Word 16" hgroup.long 0x82A44++0x03 hide.long 0x00 "D2DESB17,DECO2 Descriptor Buffer Word 17" hgroup.long 0x82A48++0x03 hide.long 0x00 "D2DESB18,DECO2 Descriptor Buffer Word 18" hgroup.long 0x82A4C++0x03 hide.long 0x00 "D2DESB19,DECO2 Descriptor Buffer Word 19" hgroup.long 0x82A50++0x03 hide.long 0x00 "D2DESB20,DECO2 Descriptor Buffer Word 20" hgroup.long 0x82A54++0x03 hide.long 0x00 "D2DESB21,DECO2 Descriptor Buffer Word 21" hgroup.long 0x82A58++0x03 hide.long 0x00 "D2DESB22,DECO2 Descriptor Buffer Word 22" hgroup.long 0x82A5C++0x03 hide.long 0x00 "D2DESB23,DECO2 Descriptor Buffer Word 23" hgroup.long 0x82A60++0x03 hide.long 0x00 "D2DESB24,DECO2 Descriptor Buffer Word 24" hgroup.long 0x82A64++0x03 hide.long 0x00 "D2DESB25,DECO2 Descriptor Buffer Word 25" hgroup.long 0x82A68++0x03 hide.long 0x00 "D2DESB26,DECO2 Descriptor Buffer Word 26" hgroup.long 0x82A6C++0x03 hide.long 0x00 "D2DESB27,DECO2 Descriptor Buffer Word 27" hgroup.long 0x82A70++0x03 hide.long 0x00 "D2DESB28,DECO2 Descriptor Buffer Word 28" hgroup.long 0x82A74++0x03 hide.long 0x00 "D2DESB29,DECO2 Descriptor Buffer Word 29" hgroup.long 0x82A78++0x03 hide.long 0x00 "D2DESB30,DECO2 Descriptor Buffer Word 30" hgroup.long 0x82A7C++0x03 hide.long 0x00 "D2DESB31,DECO2 Descriptor Buffer Word 31" hgroup.long 0x82A80++0x03 hide.long 0x00 "D2DESB32,DECO2 Descriptor Buffer Word 32" hgroup.long 0x82A84++0x03 hide.long 0x00 "D2DESB33,DECO2 Descriptor Buffer Word 33" hgroup.long 0x82A88++0x03 hide.long 0x00 "D2DESB34,DECO2 Descriptor Buffer Word 34" hgroup.long 0x82A8C++0x03 hide.long 0x00 "D2DESB35,DECO2 Descriptor Buffer Word 35" hgroup.long 0x82A90++0x03 hide.long 0x00 "D2DESB36,DECO2 Descriptor Buffer Word 36" hgroup.long 0x82A94++0x03 hide.long 0x00 "D2DESB37,DECO2 Descriptor Buffer Word 37" hgroup.long 0x82A98++0x03 hide.long 0x00 "D2DESB38,DECO2 Descriptor Buffer Word 38" hgroup.long 0x82A9C++0x03 hide.long 0x00 "D2DESB39,DECO2 Descriptor Buffer Word 39" hgroup.long 0x82AA0++0x03 hide.long 0x00 "D2DESB40,DECO2 Descriptor Buffer Word 40" hgroup.long 0x82AA4++0x03 hide.long 0x00 "D2DESB41,DECO2 Descriptor Buffer Word 41" hgroup.long 0x82AA8++0x03 hide.long 0x00 "D2DESB42,DECO2 Descriptor Buffer Word 42" hgroup.long 0x82AAC++0x03 hide.long 0x00 "D2DESB43,DECO2 Descriptor Buffer Word 43" hgroup.long 0x82AB0++0x03 hide.long 0x00 "D2DESB44,DECO2 Descriptor Buffer Word 44" hgroup.long 0x82AB4++0x03 hide.long 0x00 "D2DESB45,DECO2 Descriptor Buffer Word 45" hgroup.long 0x82AB8++0x03 hide.long 0x00 "D2DESB46,DECO2 Descriptor Buffer Word 46" hgroup.long 0x82ABC++0x03 hide.long 0x00 "D2DESB47,DECO2 Descriptor Buffer Word 47" hgroup.long 0x82AC0++0x03 hide.long 0x00 "D2DESB48,DECO2 Descriptor Buffer Word 48" hgroup.long 0x82AC4++0x03 hide.long 0x00 "D2DESB49,DECO2 Descriptor Buffer Word 49" hgroup.long 0x82AC8++0x03 hide.long 0x00 "D2DESB50,DECO2 Descriptor Buffer Word 50" hgroup.long 0x82ACC++0x03 hide.long 0x00 "D2DESB51,DECO2 Descriptor Buffer Word 51" hgroup.long 0x82AD0++0x03 hide.long 0x00 "D2DESB52,DECO2 Descriptor Buffer Word 52" hgroup.long 0x82AD4++0x03 hide.long 0x00 "D2DESB53,DECO2 Descriptor Buffer Word 53" hgroup.long 0x82AD8++0x03 hide.long 0x00 "D2DESB54,DECO2 Descriptor Buffer Word 54" hgroup.long 0x82ADC++0x03 hide.long 0x00 "D2DESB55,DECO2 Descriptor Buffer Word 55" hgroup.long 0x82AE0++0x03 hide.long 0x00 "D2DESB56,DECO2 Descriptor Buffer Word 56" hgroup.long 0x82AE4++0x03 hide.long 0x00 "D2DESB57,DECO2 Descriptor Buffer Word 57" hgroup.long 0x82AE8++0x03 hide.long 0x00 "D2DESB58,DECO2 Descriptor Buffer Word 58" hgroup.long 0x82AEC++0x03 hide.long 0x00 "D2DESB59,DECO2 Descriptor Buffer Word 59" hgroup.long 0x82AF0++0x03 hide.long 0x00 "D2DESB60,DECO2 Descriptor Buffer Word 60" hgroup.long 0x82AF4++0x03 hide.long 0x00 "D2DESB61,DECO2 Descriptor Buffer Word 61" hgroup.long 0x82AF8++0x03 hide.long 0x00 "D2DESB62,DECO2 Descriptor Buffer Word 62" hgroup.long 0x82AFC++0x03 hide.long 0x00 "D2DESB63,DECO2 Descriptor Buffer Word 63" hgroup.long 0x82B00++0x03 hide.long 0x00 "D2DESB64,DECO2 Descriptor Buffer Word 64" hgroup.long 0x82B04++0x03 hide.long 0x00 "D2DESB65,DECO2 Descriptor Buffer Word 65" hgroup.long 0x82B08++0x03 hide.long 0x00 "D2DESB66,DECO2 Descriptor Buffer Word 66" hgroup.long 0x82B0C++0x03 hide.long 0x00 "D2DESB67,DECO2 Descriptor Buffer Word 67" hgroup.long 0x82B10++0x03 hide.long 0x00 "D2DESB68,DECO2 Descriptor Buffer Word 68" hgroup.long 0x82B14++0x03 hide.long 0x00 "D2DESB69,DECO2 Descriptor Buffer Word 69" hgroup.long 0x82B18++0x03 hide.long 0x00 "D2DESB70,DECO2 Descriptor Buffer Word 70" hgroup.long 0x82B1C++0x03 hide.long 0x00 "D2DESB71,DECO2 Descriptor Buffer Word 71" hgroup.long 0x82B20++0x03 hide.long 0x00 "D2DESB72,DECO2 Descriptor Buffer Word 72" hgroup.long 0x82B24++0x03 hide.long 0x00 "D2DESB73,DECO2 Descriptor Buffer Word 73" hgroup.long 0x82B28++0x03 hide.long 0x00 "D2DESB74,DECO2 Descriptor Buffer Word 74" hgroup.long 0x82B2C++0x03 hide.long 0x00 "D2DESB75,DECO2 Descriptor Buffer Word 75" hgroup.long 0x82B30++0x03 hide.long 0x00 "D2DESB76,DECO2 Descriptor Buffer Word 76" hgroup.long 0x82B34++0x03 hide.long 0x00 "D2DESB77,DECO2 Descriptor Buffer Word 77" hgroup.long 0x82B38++0x03 hide.long 0x00 "D2DESB78,DECO2 Descriptor Buffer Word 78" hgroup.long 0x82B3C++0x03 hide.long 0x00 "D2DESB79,DECO2 Descriptor Buffer Word 79" hgroup.long 0x82B40++0x03 hide.long 0x00 "D2DESB80,DECO2 Descriptor Buffer Word 80" hgroup.long 0x82B44++0x03 hide.long 0x00 "D2DESB81,DECO2 Descriptor Buffer Word 81" hgroup.long 0x82B48++0x03 hide.long 0x00 "D2DESB82,DECO2 Descriptor Buffer Word 82" hgroup.long 0x82B4C++0x03 hide.long 0x00 "D2DESB83,DECO2 Descriptor Buffer Word 83" hgroup.long 0x82B50++0x03 hide.long 0x00 "D2DESB84,DECO2 Descriptor Buffer Word 84" hgroup.long 0x82B54++0x03 hide.long 0x00 "D2DESB85,DECO2 Descriptor Buffer Word 85" hgroup.long 0x82B58++0x03 hide.long 0x00 "D2DESB86,DECO2 Descriptor Buffer Word 86" hgroup.long 0x82B5C++0x03 hide.long 0x00 "D2DESB87,DECO2 Descriptor Buffer Word 87" hgroup.long 0x82B60++0x03 hide.long 0x00 "D2DESB88,DECO2 Descriptor Buffer Word 88" hgroup.long 0x82B64++0x03 hide.long 0x00 "D2DESB89,DECO2 Descriptor Buffer Word 89" hgroup.long 0x82B68++0x03 hide.long 0x00 "D2DESB90,DECO2 Descriptor Buffer Word 90" hgroup.long 0x82B6C++0x03 hide.long 0x00 "D2DESB91,DECO2 Descriptor Buffer Word 91" hgroup.long 0x82B70++0x03 hide.long 0x00 "D2DESB92,DECO2 Descriptor Buffer Word 92" hgroup.long 0x82B74++0x03 hide.long 0x00 "D2DESB93,DECO2 Descriptor Buffer Word 93" hgroup.long 0x82B78++0x03 hide.long 0x00 "D2DESB94,DECO2 Descriptor Buffer Word 94" hgroup.long 0x82B7C++0x03 hide.long 0x00 "D2DESB95,DECO2 Descriptor Buffer Word 95" hgroup.long 0x82B80++0x03 hide.long 0x00 "D2DESB96,DECO2 Descriptor Buffer Word 96" hgroup.long 0x82B84++0x03 hide.long 0x00 "D2DESB97,DECO2 Descriptor Buffer Word 97" hgroup.long 0x82B88++0x03 hide.long 0x00 "D2DESB98,DECO2 Descriptor Buffer Word 98" hgroup.long 0x82B8C++0x03 hide.long 0x00 "D2DESB99,DECO2 Descriptor Buffer Word 99" hgroup.long 0x82B90++0x03 hide.long 0x00 "D2DESB100,DECO2 Descriptor Buffer Word 100" hgroup.long 0x82B94++0x03 hide.long 0x00 "D2DESB101,DECO2 Descriptor Buffer Word 101" hgroup.long 0x82B98++0x03 hide.long 0x00 "D2DESB102,DECO2 Descriptor Buffer Word 102" hgroup.long 0x82B9C++0x03 hide.long 0x00 "D2DESB103,DECO2 Descriptor Buffer Word 103" hgroup.long 0x82BA0++0x03 hide.long 0x00 "D2DESB104,DECO2 Descriptor Buffer Word 104" hgroup.long 0x82BA4++0x03 hide.long 0x00 "D2DESB105,DECO2 Descriptor Buffer Word 105" hgroup.long 0x82BA8++0x03 hide.long 0x00 "D2DESB106,DECO2 Descriptor Buffer Word 106" hgroup.long 0x82BAC++0x03 hide.long 0x00 "D2DESB107,DECO2 Descriptor Buffer Word 107" hgroup.long 0x82BB0++0x03 hide.long 0x00 "D2DESB108,DECO2 Descriptor Buffer Word 108" hgroup.long 0x82BB4++0x03 hide.long 0x00 "D2DESB109,DECO2 Descriptor Buffer Word 109" hgroup.long 0x82BB8++0x03 hide.long 0x00 "D2DESB110,DECO2 Descriptor Buffer Word 110" hgroup.long 0x82BBC++0x03 hide.long 0x00 "D2DESB111,DECO2 Descriptor Buffer Word 111" hgroup.long 0x82BC0++0x03 hide.long 0x00 "D2DESB112,DECO2 Descriptor Buffer Word 112" hgroup.long 0x82BC4++0x03 hide.long 0x00 "D2DESB113,DECO2 Descriptor Buffer Word 113" hgroup.long 0x82BC8++0x03 hide.long 0x00 "D2DESB114,DECO2 Descriptor Buffer Word 114" hgroup.long 0x82BCC++0x03 hide.long 0x00 "D2DESB115,DECO2 Descriptor Buffer Word 115" hgroup.long 0x82BD0++0x03 hide.long 0x00 "D2DESB116,DECO2 Descriptor Buffer Word 116" hgroup.long 0x82BD4++0x03 hide.long 0x00 "D2DESB117,DECO2 Descriptor Buffer Word 117" hgroup.long 0x82BD8++0x03 hide.long 0x00 "D2DESB118,DECO2 Descriptor Buffer Word 118" hgroup.long 0x82BDC++0x03 hide.long 0x00 "D2DESB119,DECO2 Descriptor Buffer Word 119" hgroup.long 0x82BE0++0x03 hide.long 0x00 "D2DESB120,DECO2 Descriptor Buffer Word 120" hgroup.long 0x82BE4++0x03 hide.long 0x00 "D2DESB121,DECO2 Descriptor Buffer Word 121" hgroup.long 0x82BE8++0x03 hide.long 0x00 "D2DESB122,DECO2 Descriptor Buffer Word 122" hgroup.long 0x82BEC++0x03 hide.long 0x00 "D2DESB123,DECO2 Descriptor Buffer Word 123" hgroup.long 0x82BF0++0x03 hide.long 0x00 "D2DESB124,DECO2 Descriptor Buffer Word 124" hgroup.long 0x82BF4++0x03 hide.long 0x00 "D2DESB125,DECO2 Descriptor Buffer Word 125" hgroup.long 0x82BF8++0x03 hide.long 0x00 "D2DESB126,DECO2 Descriptor Buffer Word 126" hgroup.long 0x82BFC++0x03 hide.long 0x00 "D2DESB127,DECO2 Descriptor Buffer Word 127" hgroup.long 0x83A00++0x03 hide.long 0x00 "D3DESB0,DECO3 Descriptor Buffer Word 0" hgroup.long 0x83A04++0x03 hide.long 0x00 "D3DESB1,DECO3 Descriptor Buffer Word 1" hgroup.long 0x83A08++0x03 hide.long 0x00 "D3DESB2,DECO3 Descriptor Buffer Word 2" hgroup.long 0x83A0C++0x03 hide.long 0x00 "D3DESB3,DECO3 Descriptor Buffer Word 3" hgroup.long 0x83A10++0x03 hide.long 0x00 "D3DESB4,DECO3 Descriptor Buffer Word 4" hgroup.long 0x83A14++0x03 hide.long 0x00 "D3DESB5,DECO3 Descriptor Buffer Word 5" hgroup.long 0x83A18++0x03 hide.long 0x00 "D3DESB6,DECO3 Descriptor Buffer Word 6" hgroup.long 0x83A1C++0x03 hide.long 0x00 "D3DESB7,DECO3 Descriptor Buffer Word 7" hgroup.long 0x83A20++0x03 hide.long 0x00 "D3DESB8,DECO3 Descriptor Buffer Word 8" hgroup.long 0x83A24++0x03 hide.long 0x00 "D3DESB9,DECO3 Descriptor Buffer Word 9" hgroup.long 0x83A28++0x03 hide.long 0x00 "D3DESB10,DECO3 Descriptor Buffer Word 10" hgroup.long 0x83A2C++0x03 hide.long 0x00 "D3DESB11,DECO3 Descriptor Buffer Word 11" hgroup.long 0x83A30++0x03 hide.long 0x00 "D3DESB12,DECO3 Descriptor Buffer Word 12" hgroup.long 0x83A34++0x03 hide.long 0x00 "D3DESB13,DECO3 Descriptor Buffer Word 13" hgroup.long 0x83A38++0x03 hide.long 0x00 "D3DESB14,DECO3 Descriptor Buffer Word 14" hgroup.long 0x83A3C++0x03 hide.long 0x00 "D3DESB15,DECO3 Descriptor Buffer Word 15" hgroup.long 0x83A40++0x03 hide.long 0x00 "D3DESB16,DECO3 Descriptor Buffer Word 16" hgroup.long 0x83A44++0x03 hide.long 0x00 "D3DESB17,DECO3 Descriptor Buffer Word 17" hgroup.long 0x83A48++0x03 hide.long 0x00 "D3DESB18,DECO3 Descriptor Buffer Word 18" hgroup.long 0x83A4C++0x03 hide.long 0x00 "D3DESB19,DECO3 Descriptor Buffer Word 19" hgroup.long 0x83A50++0x03 hide.long 0x00 "D3DESB20,DECO3 Descriptor Buffer Word 20" hgroup.long 0x83A54++0x03 hide.long 0x00 "D3DESB21,DECO3 Descriptor Buffer Word 21" hgroup.long 0x83A58++0x03 hide.long 0x00 "D3DESB22,DECO3 Descriptor Buffer Word 22" hgroup.long 0x83A5C++0x03 hide.long 0x00 "D3DESB23,DECO3 Descriptor Buffer Word 23" hgroup.long 0x83A60++0x03 hide.long 0x00 "D3DESB24,DECO3 Descriptor Buffer Word 24" hgroup.long 0x83A64++0x03 hide.long 0x00 "D3DESB25,DECO3 Descriptor Buffer Word 25" hgroup.long 0x83A68++0x03 hide.long 0x00 "D3DESB26,DECO3 Descriptor Buffer Word 26" hgroup.long 0x83A6C++0x03 hide.long 0x00 "D3DESB27,DECO3 Descriptor Buffer Word 27" hgroup.long 0x83A70++0x03 hide.long 0x00 "D3DESB28,DECO3 Descriptor Buffer Word 28" hgroup.long 0x83A74++0x03 hide.long 0x00 "D3DESB29,DECO3 Descriptor Buffer Word 29" hgroup.long 0x83A78++0x03 hide.long 0x00 "D3DESB30,DECO3 Descriptor Buffer Word 30" hgroup.long 0x83A7C++0x03 hide.long 0x00 "D3DESB31,DECO3 Descriptor Buffer Word 31" hgroup.long 0x83A80++0x03 hide.long 0x00 "D3DESB32,DECO3 Descriptor Buffer Word 32" hgroup.long 0x83A84++0x03 hide.long 0x00 "D3DESB33,DECO3 Descriptor Buffer Word 33" hgroup.long 0x83A88++0x03 hide.long 0x00 "D3DESB34,DECO3 Descriptor Buffer Word 34" hgroup.long 0x83A8C++0x03 hide.long 0x00 "D3DESB35,DECO3 Descriptor Buffer Word 35" hgroup.long 0x83A90++0x03 hide.long 0x00 "D3DESB36,DECO3 Descriptor Buffer Word 36" hgroup.long 0x83A94++0x03 hide.long 0x00 "D3DESB37,DECO3 Descriptor Buffer Word 37" hgroup.long 0x83A98++0x03 hide.long 0x00 "D3DESB38,DECO3 Descriptor Buffer Word 38" hgroup.long 0x83A9C++0x03 hide.long 0x00 "D3DESB39,DECO3 Descriptor Buffer Word 39" hgroup.long 0x83AA0++0x03 hide.long 0x00 "D3DESB40,DECO3 Descriptor Buffer Word 40" hgroup.long 0x83AA4++0x03 hide.long 0x00 "D3DESB41,DECO3 Descriptor Buffer Word 41" hgroup.long 0x83AA8++0x03 hide.long 0x00 "D3DESB42,DECO3 Descriptor Buffer Word 42" hgroup.long 0x83AAC++0x03 hide.long 0x00 "D3DESB43,DECO3 Descriptor Buffer Word 43" hgroup.long 0x83AB0++0x03 hide.long 0x00 "D3DESB44,DECO3 Descriptor Buffer Word 44" hgroup.long 0x83AB4++0x03 hide.long 0x00 "D3DESB45,DECO3 Descriptor Buffer Word 45" hgroup.long 0x83AB8++0x03 hide.long 0x00 "D3DESB46,DECO3 Descriptor Buffer Word 46" hgroup.long 0x83ABC++0x03 hide.long 0x00 "D3DESB47,DECO3 Descriptor Buffer Word 47" hgroup.long 0x83AC0++0x03 hide.long 0x00 "D3DESB48,DECO3 Descriptor Buffer Word 48" hgroup.long 0x83AC4++0x03 hide.long 0x00 "D3DESB49,DECO3 Descriptor Buffer Word 49" hgroup.long 0x83AC8++0x03 hide.long 0x00 "D3DESB50,DECO3 Descriptor Buffer Word 50" hgroup.long 0x83ACC++0x03 hide.long 0x00 "D3DESB51,DECO3 Descriptor Buffer Word 51" hgroup.long 0x83AD0++0x03 hide.long 0x00 "D3DESB52,DECO3 Descriptor Buffer Word 52" hgroup.long 0x83AD4++0x03 hide.long 0x00 "D3DESB53,DECO3 Descriptor Buffer Word 53" hgroup.long 0x83AD8++0x03 hide.long 0x00 "D3DESB54,DECO3 Descriptor Buffer Word 54" hgroup.long 0x83ADC++0x03 hide.long 0x00 "D3DESB55,DECO3 Descriptor Buffer Word 55" hgroup.long 0x83AE0++0x03 hide.long 0x00 "D3DESB56,DECO3 Descriptor Buffer Word 56" hgroup.long 0x83AE4++0x03 hide.long 0x00 "D3DESB57,DECO3 Descriptor Buffer Word 57" hgroup.long 0x83AE8++0x03 hide.long 0x00 "D3DESB58,DECO3 Descriptor Buffer Word 58" hgroup.long 0x83AEC++0x03 hide.long 0x00 "D3DESB59,DECO3 Descriptor Buffer Word 59" hgroup.long 0x83AF0++0x03 hide.long 0x00 "D3DESB60,DECO3 Descriptor Buffer Word 60" hgroup.long 0x83AF4++0x03 hide.long 0x00 "D3DESB61,DECO3 Descriptor Buffer Word 61" hgroup.long 0x83AF8++0x03 hide.long 0x00 "D3DESB62,DECO3 Descriptor Buffer Word 62" hgroup.long 0x83AFC++0x03 hide.long 0x00 "D3DESB63,DECO3 Descriptor Buffer Word 63" hgroup.long 0x83B00++0x03 hide.long 0x00 "D3DESB64,DECO3 Descriptor Buffer Word 64" hgroup.long 0x83B04++0x03 hide.long 0x00 "D3DESB65,DECO3 Descriptor Buffer Word 65" hgroup.long 0x83B08++0x03 hide.long 0x00 "D3DESB66,DECO3 Descriptor Buffer Word 66" hgroup.long 0x83B0C++0x03 hide.long 0x00 "D3DESB67,DECO3 Descriptor Buffer Word 67" hgroup.long 0x83B10++0x03 hide.long 0x00 "D3DESB68,DECO3 Descriptor Buffer Word 68" hgroup.long 0x83B14++0x03 hide.long 0x00 "D3DESB69,DECO3 Descriptor Buffer Word 69" hgroup.long 0x83B18++0x03 hide.long 0x00 "D3DESB70,DECO3 Descriptor Buffer Word 70" hgroup.long 0x83B1C++0x03 hide.long 0x00 "D3DESB71,DECO3 Descriptor Buffer Word 71" hgroup.long 0x83B20++0x03 hide.long 0x00 "D3DESB72,DECO3 Descriptor Buffer Word 72" hgroup.long 0x83B24++0x03 hide.long 0x00 "D3DESB73,DECO3 Descriptor Buffer Word 73" hgroup.long 0x83B28++0x03 hide.long 0x00 "D3DESB74,DECO3 Descriptor Buffer Word 74" hgroup.long 0x83B2C++0x03 hide.long 0x00 "D3DESB75,DECO3 Descriptor Buffer Word 75" hgroup.long 0x83B30++0x03 hide.long 0x00 "D3DESB76,DECO3 Descriptor Buffer Word 76" hgroup.long 0x83B34++0x03 hide.long 0x00 "D3DESB77,DECO3 Descriptor Buffer Word 77" hgroup.long 0x83B38++0x03 hide.long 0x00 "D3DESB78,DECO3 Descriptor Buffer Word 78" hgroup.long 0x83B3C++0x03 hide.long 0x00 "D3DESB79,DECO3 Descriptor Buffer Word 79" hgroup.long 0x83B40++0x03 hide.long 0x00 "D3DESB80,DECO3 Descriptor Buffer Word 80" hgroup.long 0x83B44++0x03 hide.long 0x00 "D3DESB81,DECO3 Descriptor Buffer Word 81" hgroup.long 0x83B48++0x03 hide.long 0x00 "D3DESB82,DECO3 Descriptor Buffer Word 82" hgroup.long 0x83B4C++0x03 hide.long 0x00 "D3DESB83,DECO3 Descriptor Buffer Word 83" hgroup.long 0x83B50++0x03 hide.long 0x00 "D3DESB84,DECO3 Descriptor Buffer Word 84" hgroup.long 0x83B54++0x03 hide.long 0x00 "D3DESB85,DECO3 Descriptor Buffer Word 85" hgroup.long 0x83B58++0x03 hide.long 0x00 "D3DESB86,DECO3 Descriptor Buffer Word 86" hgroup.long 0x83B5C++0x03 hide.long 0x00 "D3DESB87,DECO3 Descriptor Buffer Word 87" hgroup.long 0x83B60++0x03 hide.long 0x00 "D3DESB88,DECO3 Descriptor Buffer Word 88" hgroup.long 0x83B64++0x03 hide.long 0x00 "D3DESB89,DECO3 Descriptor Buffer Word 89" hgroup.long 0x83B68++0x03 hide.long 0x00 "D3DESB90,DECO3 Descriptor Buffer Word 90" hgroup.long 0x83B6C++0x03 hide.long 0x00 "D3DESB91,DECO3 Descriptor Buffer Word 91" hgroup.long 0x83B70++0x03 hide.long 0x00 "D3DESB92,DECO3 Descriptor Buffer Word 92" hgroup.long 0x83B74++0x03 hide.long 0x00 "D3DESB93,DECO3 Descriptor Buffer Word 93" hgroup.long 0x83B78++0x03 hide.long 0x00 "D3DESB94,DECO3 Descriptor Buffer Word 94" hgroup.long 0x83B7C++0x03 hide.long 0x00 "D3DESB95,DECO3 Descriptor Buffer Word 95" hgroup.long 0x83B80++0x03 hide.long 0x00 "D3DESB96,DECO3 Descriptor Buffer Word 96" hgroup.long 0x83B84++0x03 hide.long 0x00 "D3DESB97,DECO3 Descriptor Buffer Word 97" hgroup.long 0x83B88++0x03 hide.long 0x00 "D3DESB98,DECO3 Descriptor Buffer Word 98" hgroup.long 0x83B8C++0x03 hide.long 0x00 "D3DESB99,DECO3 Descriptor Buffer Word 99" hgroup.long 0x83B90++0x03 hide.long 0x00 "D3DESB100,DECO3 Descriptor Buffer Word 100" hgroup.long 0x83B94++0x03 hide.long 0x00 "D3DESB101,DECO3 Descriptor Buffer Word 101" hgroup.long 0x83B98++0x03 hide.long 0x00 "D3DESB102,DECO3 Descriptor Buffer Word 102" hgroup.long 0x83B9C++0x03 hide.long 0x00 "D3DESB103,DECO3 Descriptor Buffer Word 103" hgroup.long 0x83BA0++0x03 hide.long 0x00 "D3DESB104,DECO3 Descriptor Buffer Word 104" hgroup.long 0x83BA4++0x03 hide.long 0x00 "D3DESB105,DECO3 Descriptor Buffer Word 105" hgroup.long 0x83BA8++0x03 hide.long 0x00 "D3DESB106,DECO3 Descriptor Buffer Word 106" hgroup.long 0x83BAC++0x03 hide.long 0x00 "D3DESB107,DECO3 Descriptor Buffer Word 107" hgroup.long 0x83BB0++0x03 hide.long 0x00 "D3DESB108,DECO3 Descriptor Buffer Word 108" hgroup.long 0x83BB4++0x03 hide.long 0x00 "D3DESB109,DECO3 Descriptor Buffer Word 109" hgroup.long 0x83BB8++0x03 hide.long 0x00 "D3DESB110,DECO3 Descriptor Buffer Word 110" hgroup.long 0x83BBC++0x03 hide.long 0x00 "D3DESB111,DECO3 Descriptor Buffer Word 111" hgroup.long 0x83BC0++0x03 hide.long 0x00 "D3DESB112,DECO3 Descriptor Buffer Word 112" hgroup.long 0x83BC4++0x03 hide.long 0x00 "D3DESB113,DECO3 Descriptor Buffer Word 113" hgroup.long 0x83BC8++0x03 hide.long 0x00 "D3DESB114,DECO3 Descriptor Buffer Word 114" hgroup.long 0x83BCC++0x03 hide.long 0x00 "D3DESB115,DECO3 Descriptor Buffer Word 115" hgroup.long 0x83BD0++0x03 hide.long 0x00 "D3DESB116,DECO3 Descriptor Buffer Word 116" hgroup.long 0x83BD4++0x03 hide.long 0x00 "D3DESB117,DECO3 Descriptor Buffer Word 117" hgroup.long 0x83BD8++0x03 hide.long 0x00 "D3DESB118,DECO3 Descriptor Buffer Word 118" hgroup.long 0x83BDC++0x03 hide.long 0x00 "D3DESB119,DECO3 Descriptor Buffer Word 119" hgroup.long 0x83BE0++0x03 hide.long 0x00 "D3DESB120,DECO3 Descriptor Buffer Word 120" hgroup.long 0x83BE4++0x03 hide.long 0x00 "D3DESB121,DECO3 Descriptor Buffer Word 121" hgroup.long 0x83BE8++0x03 hide.long 0x00 "D3DESB122,DECO3 Descriptor Buffer Word 122" hgroup.long 0x83BEC++0x03 hide.long 0x00 "D3DESB123,DECO3 Descriptor Buffer Word 123" hgroup.long 0x83BF0++0x03 hide.long 0x00 "D3DESB124,DECO3 Descriptor Buffer Word 124" hgroup.long 0x83BF4++0x03 hide.long 0x00 "D3DESB125,DECO3 Descriptor Buffer Word 125" hgroup.long 0x83BF8++0x03 hide.long 0x00 "D3DESB126,DECO3 Descriptor Buffer Word 126" hgroup.long 0x83BFC++0x03 hide.long 0x00 "D3DESB127,DECO3 Descriptor Buffer Word 127" hgroup.long 0x84A00++0x03 hide.long 0x00 "D4DESB0,DECO4 Descriptor Buffer Word 0" hgroup.long 0x84A04++0x03 hide.long 0x00 "D4DESB1,DECO4 Descriptor Buffer Word 1" hgroup.long 0x84A08++0x03 hide.long 0x00 "D4DESB2,DECO4 Descriptor Buffer Word 2" hgroup.long 0x84A0C++0x03 hide.long 0x00 "D4DESB3,DECO4 Descriptor Buffer Word 3" hgroup.long 0x84A10++0x03 hide.long 0x00 "D4DESB4,DECO4 Descriptor Buffer Word 4" hgroup.long 0x84A14++0x03 hide.long 0x00 "D4DESB5,DECO4 Descriptor Buffer Word 5" hgroup.long 0x84A18++0x03 hide.long 0x00 "D4DESB6,DECO4 Descriptor Buffer Word 6" hgroup.long 0x84A1C++0x03 hide.long 0x00 "D4DESB7,DECO4 Descriptor Buffer Word 7" hgroup.long 0x84A20++0x03 hide.long 0x00 "D4DESB8,DECO4 Descriptor Buffer Word 8" hgroup.long 0x84A24++0x03 hide.long 0x00 "D4DESB9,DECO4 Descriptor Buffer Word 9" hgroup.long 0x84A28++0x03 hide.long 0x00 "D4DESB10,DECO4 Descriptor Buffer Word 10" hgroup.long 0x84A2C++0x03 hide.long 0x00 "D4DESB11,DECO4 Descriptor Buffer Word 11" hgroup.long 0x84A30++0x03 hide.long 0x00 "D4DESB12,DECO4 Descriptor Buffer Word 12" hgroup.long 0x84A34++0x03 hide.long 0x00 "D4DESB13,DECO4 Descriptor Buffer Word 13" hgroup.long 0x84A38++0x03 hide.long 0x00 "D4DESB14,DECO4 Descriptor Buffer Word 14" hgroup.long 0x84A3C++0x03 hide.long 0x00 "D4DESB15,DECO4 Descriptor Buffer Word 15" hgroup.long 0x84A40++0x03 hide.long 0x00 "D4DESB16,DECO4 Descriptor Buffer Word 16" hgroup.long 0x84A44++0x03 hide.long 0x00 "D4DESB17,DECO4 Descriptor Buffer Word 17" hgroup.long 0x84A48++0x03 hide.long 0x00 "D4DESB18,DECO4 Descriptor Buffer Word 18" hgroup.long 0x84A4C++0x03 hide.long 0x00 "D4DESB19,DECO4 Descriptor Buffer Word 19" hgroup.long 0x84A50++0x03 hide.long 0x00 "D4DESB20,DECO4 Descriptor Buffer Word 20" hgroup.long 0x84A54++0x03 hide.long 0x00 "D4DESB21,DECO4 Descriptor Buffer Word 21" hgroup.long 0x84A58++0x03 hide.long 0x00 "D4DESB22,DECO4 Descriptor Buffer Word 22" hgroup.long 0x84A5C++0x03 hide.long 0x00 "D4DESB23,DECO4 Descriptor Buffer Word 23" hgroup.long 0x84A60++0x03 hide.long 0x00 "D4DESB24,DECO4 Descriptor Buffer Word 24" hgroup.long 0x84A64++0x03 hide.long 0x00 "D4DESB25,DECO4 Descriptor Buffer Word 25" hgroup.long 0x84A68++0x03 hide.long 0x00 "D4DESB26,DECO4 Descriptor Buffer Word 26" hgroup.long 0x84A6C++0x03 hide.long 0x00 "D4DESB27,DECO4 Descriptor Buffer Word 27" hgroup.long 0x84A70++0x03 hide.long 0x00 "D4DESB28,DECO4 Descriptor Buffer Word 28" hgroup.long 0x84A74++0x03 hide.long 0x00 "D4DESB29,DECO4 Descriptor Buffer Word 29" hgroup.long 0x84A78++0x03 hide.long 0x00 "D4DESB30,DECO4 Descriptor Buffer Word 30" hgroup.long 0x84A7C++0x03 hide.long 0x00 "D4DESB31,DECO4 Descriptor Buffer Word 31" hgroup.long 0x84A80++0x03 hide.long 0x00 "D4DESB32,DECO4 Descriptor Buffer Word 32" hgroup.long 0x84A84++0x03 hide.long 0x00 "D4DESB33,DECO4 Descriptor Buffer Word 33" hgroup.long 0x84A88++0x03 hide.long 0x00 "D4DESB34,DECO4 Descriptor Buffer Word 34" hgroup.long 0x84A8C++0x03 hide.long 0x00 "D4DESB35,DECO4 Descriptor Buffer Word 35" hgroup.long 0x84A90++0x03 hide.long 0x00 "D4DESB36,DECO4 Descriptor Buffer Word 36" hgroup.long 0x84A94++0x03 hide.long 0x00 "D4DESB37,DECO4 Descriptor Buffer Word 37" hgroup.long 0x84A98++0x03 hide.long 0x00 "D4DESB38,DECO4 Descriptor Buffer Word 38" hgroup.long 0x84A9C++0x03 hide.long 0x00 "D4DESB39,DECO4 Descriptor Buffer Word 39" hgroup.long 0x84AA0++0x03 hide.long 0x00 "D4DESB40,DECO4 Descriptor Buffer Word 40" hgroup.long 0x84AA4++0x03 hide.long 0x00 "D4DESB41,DECO4 Descriptor Buffer Word 41" hgroup.long 0x84AA8++0x03 hide.long 0x00 "D4DESB42,DECO4 Descriptor Buffer Word 42" hgroup.long 0x84AAC++0x03 hide.long 0x00 "D4DESB43,DECO4 Descriptor Buffer Word 43" hgroup.long 0x84AB0++0x03 hide.long 0x00 "D4DESB44,DECO4 Descriptor Buffer Word 44" hgroup.long 0x84AB4++0x03 hide.long 0x00 "D4DESB45,DECO4 Descriptor Buffer Word 45" hgroup.long 0x84AB8++0x03 hide.long 0x00 "D4DESB46,DECO4 Descriptor Buffer Word 46" hgroup.long 0x84ABC++0x03 hide.long 0x00 "D4DESB47,DECO4 Descriptor Buffer Word 47" hgroup.long 0x84AC0++0x03 hide.long 0x00 "D4DESB48,DECO4 Descriptor Buffer Word 48" hgroup.long 0x84AC4++0x03 hide.long 0x00 "D4DESB49,DECO4 Descriptor Buffer Word 49" hgroup.long 0x84AC8++0x03 hide.long 0x00 "D4DESB50,DECO4 Descriptor Buffer Word 50" hgroup.long 0x84ACC++0x03 hide.long 0x00 "D4DESB51,DECO4 Descriptor Buffer Word 51" hgroup.long 0x84AD0++0x03 hide.long 0x00 "D4DESB52,DECO4 Descriptor Buffer Word 52" hgroup.long 0x84AD4++0x03 hide.long 0x00 "D4DESB53,DECO4 Descriptor Buffer Word 53" hgroup.long 0x84AD8++0x03 hide.long 0x00 "D4DESB54,DECO4 Descriptor Buffer Word 54" hgroup.long 0x84ADC++0x03 hide.long 0x00 "D4DESB55,DECO4 Descriptor Buffer Word 55" hgroup.long 0x84AE0++0x03 hide.long 0x00 "D4DESB56,DECO4 Descriptor Buffer Word 56" hgroup.long 0x84AE4++0x03 hide.long 0x00 "D4DESB57,DECO4 Descriptor Buffer Word 57" hgroup.long 0x84AE8++0x03 hide.long 0x00 "D4DESB58,DECO4 Descriptor Buffer Word 58" hgroup.long 0x84AEC++0x03 hide.long 0x00 "D4DESB59,DECO4 Descriptor Buffer Word 59" hgroup.long 0x84AF0++0x03 hide.long 0x00 "D4DESB60,DECO4 Descriptor Buffer Word 60" hgroup.long 0x84AF4++0x03 hide.long 0x00 "D4DESB61,DECO4 Descriptor Buffer Word 61" hgroup.long 0x84AF8++0x03 hide.long 0x00 "D4DESB62,DECO4 Descriptor Buffer Word 62" hgroup.long 0x84AFC++0x03 hide.long 0x00 "D4DESB63,DECO4 Descriptor Buffer Word 63" hgroup.long 0x84B00++0x03 hide.long 0x00 "D4DESB64,DECO4 Descriptor Buffer Word 64" hgroup.long 0x84B04++0x03 hide.long 0x00 "D4DESB65,DECO4 Descriptor Buffer Word 65" hgroup.long 0x84B08++0x03 hide.long 0x00 "D4DESB66,DECO4 Descriptor Buffer Word 66" hgroup.long 0x84B0C++0x03 hide.long 0x00 "D4DESB67,DECO4 Descriptor Buffer Word 67" hgroup.long 0x84B10++0x03 hide.long 0x00 "D4DESB68,DECO4 Descriptor Buffer Word 68" hgroup.long 0x84B14++0x03 hide.long 0x00 "D4DESB69,DECO4 Descriptor Buffer Word 69" hgroup.long 0x84B18++0x03 hide.long 0x00 "D4DESB70,DECO4 Descriptor Buffer Word 70" hgroup.long 0x84B1C++0x03 hide.long 0x00 "D4DESB71,DECO4 Descriptor Buffer Word 71" hgroup.long 0x84B20++0x03 hide.long 0x00 "D4DESB72,DECO4 Descriptor Buffer Word 72" hgroup.long 0x84B24++0x03 hide.long 0x00 "D4DESB73,DECO4 Descriptor Buffer Word 73" hgroup.long 0x84B28++0x03 hide.long 0x00 "D4DESB74,DECO4 Descriptor Buffer Word 74" hgroup.long 0x84B2C++0x03 hide.long 0x00 "D4DESB75,DECO4 Descriptor Buffer Word 75" hgroup.long 0x84B30++0x03 hide.long 0x00 "D4DESB76,DECO4 Descriptor Buffer Word 76" hgroup.long 0x84B34++0x03 hide.long 0x00 "D4DESB77,DECO4 Descriptor Buffer Word 77" hgroup.long 0x84B38++0x03 hide.long 0x00 "D4DESB78,DECO4 Descriptor Buffer Word 78" hgroup.long 0x84B3C++0x03 hide.long 0x00 "D4DESB79,DECO4 Descriptor Buffer Word 79" hgroup.long 0x84B40++0x03 hide.long 0x00 "D4DESB80,DECO4 Descriptor Buffer Word 80" hgroup.long 0x84B44++0x03 hide.long 0x00 "D4DESB81,DECO4 Descriptor Buffer Word 81" hgroup.long 0x84B48++0x03 hide.long 0x00 "D4DESB82,DECO4 Descriptor Buffer Word 82" hgroup.long 0x84B4C++0x03 hide.long 0x00 "D4DESB83,DECO4 Descriptor Buffer Word 83" hgroup.long 0x84B50++0x03 hide.long 0x00 "D4DESB84,DECO4 Descriptor Buffer Word 84" hgroup.long 0x84B54++0x03 hide.long 0x00 "D4DESB85,DECO4 Descriptor Buffer Word 85" hgroup.long 0x84B58++0x03 hide.long 0x00 "D4DESB86,DECO4 Descriptor Buffer Word 86" hgroup.long 0x84B5C++0x03 hide.long 0x00 "D4DESB87,DECO4 Descriptor Buffer Word 87" hgroup.long 0x84B60++0x03 hide.long 0x00 "D4DESB88,DECO4 Descriptor Buffer Word 88" hgroup.long 0x84B64++0x03 hide.long 0x00 "D4DESB89,DECO4 Descriptor Buffer Word 89" hgroup.long 0x84B68++0x03 hide.long 0x00 "D4DESB90,DECO4 Descriptor Buffer Word 90" hgroup.long 0x84B6C++0x03 hide.long 0x00 "D4DESB91,DECO4 Descriptor Buffer Word 91" hgroup.long 0x84B70++0x03 hide.long 0x00 "D4DESB92,DECO4 Descriptor Buffer Word 92" hgroup.long 0x84B74++0x03 hide.long 0x00 "D4DESB93,DECO4 Descriptor Buffer Word 93" hgroup.long 0x84B78++0x03 hide.long 0x00 "D4DESB94,DECO4 Descriptor Buffer Word 94" hgroup.long 0x84B7C++0x03 hide.long 0x00 "D4DESB95,DECO4 Descriptor Buffer Word 95" hgroup.long 0x84B80++0x03 hide.long 0x00 "D4DESB96,DECO4 Descriptor Buffer Word 96" hgroup.long 0x84B84++0x03 hide.long 0x00 "D4DESB97,DECO4 Descriptor Buffer Word 97" hgroup.long 0x84B88++0x03 hide.long 0x00 "D4DESB98,DECO4 Descriptor Buffer Word 98" hgroup.long 0x84B8C++0x03 hide.long 0x00 "D4DESB99,DECO4 Descriptor Buffer Word 99" hgroup.long 0x84B90++0x03 hide.long 0x00 "D4DESB100,DECO4 Descriptor Buffer Word 100" hgroup.long 0x84B94++0x03 hide.long 0x00 "D4DESB101,DECO4 Descriptor Buffer Word 101" hgroup.long 0x84B98++0x03 hide.long 0x00 "D4DESB102,DECO4 Descriptor Buffer Word 102" hgroup.long 0x84B9C++0x03 hide.long 0x00 "D4DESB103,DECO4 Descriptor Buffer Word 103" hgroup.long 0x84BA0++0x03 hide.long 0x00 "D4DESB104,DECO4 Descriptor Buffer Word 104" hgroup.long 0x84BA4++0x03 hide.long 0x00 "D4DESB105,DECO4 Descriptor Buffer Word 105" hgroup.long 0x84BA8++0x03 hide.long 0x00 "D4DESB106,DECO4 Descriptor Buffer Word 106" hgroup.long 0x84BAC++0x03 hide.long 0x00 "D4DESB107,DECO4 Descriptor Buffer Word 107" hgroup.long 0x84BB0++0x03 hide.long 0x00 "D4DESB108,DECO4 Descriptor Buffer Word 108" hgroup.long 0x84BB4++0x03 hide.long 0x00 "D4DESB109,DECO4 Descriptor Buffer Word 109" hgroup.long 0x84BB8++0x03 hide.long 0x00 "D4DESB110,DECO4 Descriptor Buffer Word 110" hgroup.long 0x84BBC++0x03 hide.long 0x00 "D4DESB111,DECO4 Descriptor Buffer Word 111" hgroup.long 0x84BC0++0x03 hide.long 0x00 "D4DESB112,DECO4 Descriptor Buffer Word 112" hgroup.long 0x84BC4++0x03 hide.long 0x00 "D4DESB113,DECO4 Descriptor Buffer Word 113" hgroup.long 0x84BC8++0x03 hide.long 0x00 "D4DESB114,DECO4 Descriptor Buffer Word 114" hgroup.long 0x84BCC++0x03 hide.long 0x00 "D4DESB115,DECO4 Descriptor Buffer Word 115" hgroup.long 0x84BD0++0x03 hide.long 0x00 "D4DESB116,DECO4 Descriptor Buffer Word 116" hgroup.long 0x84BD4++0x03 hide.long 0x00 "D4DESB117,DECO4 Descriptor Buffer Word 117" hgroup.long 0x84BD8++0x03 hide.long 0x00 "D4DESB118,DECO4 Descriptor Buffer Word 118" hgroup.long 0x84BDC++0x03 hide.long 0x00 "D4DESB119,DECO4 Descriptor Buffer Word 119" hgroup.long 0x84BE0++0x03 hide.long 0x00 "D4DESB120,DECO4 Descriptor Buffer Word 120" hgroup.long 0x84BE4++0x03 hide.long 0x00 "D4DESB121,DECO4 Descriptor Buffer Word 121" hgroup.long 0x84BE8++0x03 hide.long 0x00 "D4DESB122,DECO4 Descriptor Buffer Word 122" hgroup.long 0x84BEC++0x03 hide.long 0x00 "D4DESB123,DECO4 Descriptor Buffer Word 123" hgroup.long 0x84BF0++0x03 hide.long 0x00 "D4DESB124,DECO4 Descriptor Buffer Word 124" hgroup.long 0x84BF4++0x03 hide.long 0x00 "D4DESB125,DECO4 Descriptor Buffer Word 125" hgroup.long 0x84BF8++0x03 hide.long 0x00 "D4DESB126,DECO4 Descriptor Buffer Word 126" hgroup.long 0x84BFC++0x03 hide.long 0x00 "D4DESB127,DECO4 Descriptor Buffer Word 127" hgroup.long 0x85A00++0x03 hide.long 0x00 "D5DESB0,DECO5 Descriptor Buffer Word 0" hgroup.long 0x85A04++0x03 hide.long 0x00 "D5DESB1,DECO5 Descriptor Buffer Word 1" hgroup.long 0x85A08++0x03 hide.long 0x00 "D5DESB2,DECO5 Descriptor Buffer Word 2" hgroup.long 0x85A0C++0x03 hide.long 0x00 "D5DESB3,DECO5 Descriptor Buffer Word 3" hgroup.long 0x85A10++0x03 hide.long 0x00 "D5DESB4,DECO5 Descriptor Buffer Word 4" hgroup.long 0x85A14++0x03 hide.long 0x00 "D5DESB5,DECO5 Descriptor Buffer Word 5" hgroup.long 0x85A18++0x03 hide.long 0x00 "D5DESB6,DECO5 Descriptor Buffer Word 6" hgroup.long 0x85A1C++0x03 hide.long 0x00 "D5DESB7,DECO5 Descriptor Buffer Word 7" hgroup.long 0x85A20++0x03 hide.long 0x00 "D5DESB8,DECO5 Descriptor Buffer Word 8" hgroup.long 0x85A24++0x03 hide.long 0x00 "D5DESB9,DECO5 Descriptor Buffer Word 9" hgroup.long 0x85A28++0x03 hide.long 0x00 "D5DESB10,DECO5 Descriptor Buffer Word 10" hgroup.long 0x85A2C++0x03 hide.long 0x00 "D5DESB11,DECO5 Descriptor Buffer Word 11" hgroup.long 0x85A30++0x03 hide.long 0x00 "D5DESB12,DECO5 Descriptor Buffer Word 12" hgroup.long 0x85A34++0x03 hide.long 0x00 "D5DESB13,DECO5 Descriptor Buffer Word 13" hgroup.long 0x85A38++0x03 hide.long 0x00 "D5DESB14,DECO5 Descriptor Buffer Word 14" hgroup.long 0x85A3C++0x03 hide.long 0x00 "D5DESB15,DECO5 Descriptor Buffer Word 15" hgroup.long 0x85A40++0x03 hide.long 0x00 "D5DESB16,DECO5 Descriptor Buffer Word 16" hgroup.long 0x85A44++0x03 hide.long 0x00 "D5DESB17,DECO5 Descriptor Buffer Word 17" hgroup.long 0x85A48++0x03 hide.long 0x00 "D5DESB18,DECO5 Descriptor Buffer Word 18" hgroup.long 0x85A4C++0x03 hide.long 0x00 "D5DESB19,DECO5 Descriptor Buffer Word 19" hgroup.long 0x85A50++0x03 hide.long 0x00 "D5DESB20,DECO5 Descriptor Buffer Word 20" hgroup.long 0x85A54++0x03 hide.long 0x00 "D5DESB21,DECO5 Descriptor Buffer Word 21" hgroup.long 0x85A58++0x03 hide.long 0x00 "D5DESB22,DECO5 Descriptor Buffer Word 22" hgroup.long 0x85A5C++0x03 hide.long 0x00 "D5DESB23,DECO5 Descriptor Buffer Word 23" hgroup.long 0x85A60++0x03 hide.long 0x00 "D5DESB24,DECO5 Descriptor Buffer Word 24" hgroup.long 0x85A64++0x03 hide.long 0x00 "D5DESB25,DECO5 Descriptor Buffer Word 25" hgroup.long 0x85A68++0x03 hide.long 0x00 "D5DESB26,DECO5 Descriptor Buffer Word 26" hgroup.long 0x85A6C++0x03 hide.long 0x00 "D5DESB27,DECO5 Descriptor Buffer Word 27" hgroup.long 0x85A70++0x03 hide.long 0x00 "D5DESB28,DECO5 Descriptor Buffer Word 28" hgroup.long 0x85A74++0x03 hide.long 0x00 "D5DESB29,DECO5 Descriptor Buffer Word 29" hgroup.long 0x85A78++0x03 hide.long 0x00 "D5DESB30,DECO5 Descriptor Buffer Word 30" hgroup.long 0x85A7C++0x03 hide.long 0x00 "D5DESB31,DECO5 Descriptor Buffer Word 31" hgroup.long 0x85A80++0x03 hide.long 0x00 "D5DESB32,DECO5 Descriptor Buffer Word 32" hgroup.long 0x85A84++0x03 hide.long 0x00 "D5DESB33,DECO5 Descriptor Buffer Word 33" hgroup.long 0x85A88++0x03 hide.long 0x00 "D5DESB34,DECO5 Descriptor Buffer Word 34" hgroup.long 0x85A8C++0x03 hide.long 0x00 "D5DESB35,DECO5 Descriptor Buffer Word 35" hgroup.long 0x85A90++0x03 hide.long 0x00 "D5DESB36,DECO5 Descriptor Buffer Word 36" hgroup.long 0x85A94++0x03 hide.long 0x00 "D5DESB37,DECO5 Descriptor Buffer Word 37" hgroup.long 0x85A98++0x03 hide.long 0x00 "D5DESB38,DECO5 Descriptor Buffer Word 38" hgroup.long 0x85A9C++0x03 hide.long 0x00 "D5DESB39,DECO5 Descriptor Buffer Word 39" hgroup.long 0x85AA0++0x03 hide.long 0x00 "D5DESB40,DECO5 Descriptor Buffer Word 40" hgroup.long 0x85AA4++0x03 hide.long 0x00 "D5DESB41,DECO5 Descriptor Buffer Word 41" hgroup.long 0x85AA8++0x03 hide.long 0x00 "D5DESB42,DECO5 Descriptor Buffer Word 42" hgroup.long 0x85AAC++0x03 hide.long 0x00 "D5DESB43,DECO5 Descriptor Buffer Word 43" hgroup.long 0x85AB0++0x03 hide.long 0x00 "D5DESB44,DECO5 Descriptor Buffer Word 44" hgroup.long 0x85AB4++0x03 hide.long 0x00 "D5DESB45,DECO5 Descriptor Buffer Word 45" hgroup.long 0x85AB8++0x03 hide.long 0x00 "D5DESB46,DECO5 Descriptor Buffer Word 46" hgroup.long 0x85ABC++0x03 hide.long 0x00 "D5DESB47,DECO5 Descriptor Buffer Word 47" hgroup.long 0x85AC0++0x03 hide.long 0x00 "D5DESB48,DECO5 Descriptor Buffer Word 48" hgroup.long 0x85AC4++0x03 hide.long 0x00 "D5DESB49,DECO5 Descriptor Buffer Word 49" hgroup.long 0x85AC8++0x03 hide.long 0x00 "D5DESB50,DECO5 Descriptor Buffer Word 50" hgroup.long 0x85ACC++0x03 hide.long 0x00 "D5DESB51,DECO5 Descriptor Buffer Word 51" hgroup.long 0x85AD0++0x03 hide.long 0x00 "D5DESB52,DECO5 Descriptor Buffer Word 52" hgroup.long 0x85AD4++0x03 hide.long 0x00 "D5DESB53,DECO5 Descriptor Buffer Word 53" hgroup.long 0x85AD8++0x03 hide.long 0x00 "D5DESB54,DECO5 Descriptor Buffer Word 54" hgroup.long 0x85ADC++0x03 hide.long 0x00 "D5DESB55,DECO5 Descriptor Buffer Word 55" hgroup.long 0x85AE0++0x03 hide.long 0x00 "D5DESB56,DECO5 Descriptor Buffer Word 56" hgroup.long 0x85AE4++0x03 hide.long 0x00 "D5DESB57,DECO5 Descriptor Buffer Word 57" hgroup.long 0x85AE8++0x03 hide.long 0x00 "D5DESB58,DECO5 Descriptor Buffer Word 58" hgroup.long 0x85AEC++0x03 hide.long 0x00 "D5DESB59,DECO5 Descriptor Buffer Word 59" hgroup.long 0x85AF0++0x03 hide.long 0x00 "D5DESB60,DECO5 Descriptor Buffer Word 60" hgroup.long 0x85AF4++0x03 hide.long 0x00 "D5DESB61,DECO5 Descriptor Buffer Word 61" hgroup.long 0x85AF8++0x03 hide.long 0x00 "D5DESB62,DECO5 Descriptor Buffer Word 62" hgroup.long 0x85AFC++0x03 hide.long 0x00 "D5DESB63,DECO5 Descriptor Buffer Word 63" hgroup.long 0x85B00++0x03 hide.long 0x00 "D5DESB64,DECO5 Descriptor Buffer Word 64" hgroup.long 0x85B04++0x03 hide.long 0x00 "D5DESB65,DECO5 Descriptor Buffer Word 65" hgroup.long 0x85B08++0x03 hide.long 0x00 "D5DESB66,DECO5 Descriptor Buffer Word 66" hgroup.long 0x85B0C++0x03 hide.long 0x00 "D5DESB67,DECO5 Descriptor Buffer Word 67" hgroup.long 0x85B10++0x03 hide.long 0x00 "D5DESB68,DECO5 Descriptor Buffer Word 68" hgroup.long 0x85B14++0x03 hide.long 0x00 "D5DESB69,DECO5 Descriptor Buffer Word 69" hgroup.long 0x85B18++0x03 hide.long 0x00 "D5DESB70,DECO5 Descriptor Buffer Word 70" hgroup.long 0x85B1C++0x03 hide.long 0x00 "D5DESB71,DECO5 Descriptor Buffer Word 71" hgroup.long 0x85B20++0x03 hide.long 0x00 "D5DESB72,DECO5 Descriptor Buffer Word 72" hgroup.long 0x85B24++0x03 hide.long 0x00 "D5DESB73,DECO5 Descriptor Buffer Word 73" hgroup.long 0x85B28++0x03 hide.long 0x00 "D5DESB74,DECO5 Descriptor Buffer Word 74" hgroup.long 0x85B2C++0x03 hide.long 0x00 "D5DESB75,DECO5 Descriptor Buffer Word 75" hgroup.long 0x85B30++0x03 hide.long 0x00 "D5DESB76,DECO5 Descriptor Buffer Word 76" hgroup.long 0x85B34++0x03 hide.long 0x00 "D5DESB77,DECO5 Descriptor Buffer Word 77" hgroup.long 0x85B38++0x03 hide.long 0x00 "D5DESB78,DECO5 Descriptor Buffer Word 78" hgroup.long 0x85B3C++0x03 hide.long 0x00 "D5DESB79,DECO5 Descriptor Buffer Word 79" hgroup.long 0x85B40++0x03 hide.long 0x00 "D5DESB80,DECO5 Descriptor Buffer Word 80" hgroup.long 0x85B44++0x03 hide.long 0x00 "D5DESB81,DECO5 Descriptor Buffer Word 81" hgroup.long 0x85B48++0x03 hide.long 0x00 "D5DESB82,DECO5 Descriptor Buffer Word 82" hgroup.long 0x85B4C++0x03 hide.long 0x00 "D5DESB83,DECO5 Descriptor Buffer Word 83" hgroup.long 0x85B50++0x03 hide.long 0x00 "D5DESB84,DECO5 Descriptor Buffer Word 84" hgroup.long 0x85B54++0x03 hide.long 0x00 "D5DESB85,DECO5 Descriptor Buffer Word 85" hgroup.long 0x85B58++0x03 hide.long 0x00 "D5DESB86,DECO5 Descriptor Buffer Word 86" hgroup.long 0x85B5C++0x03 hide.long 0x00 "D5DESB87,DECO5 Descriptor Buffer Word 87" hgroup.long 0x85B60++0x03 hide.long 0x00 "D5DESB88,DECO5 Descriptor Buffer Word 88" hgroup.long 0x85B64++0x03 hide.long 0x00 "D5DESB89,DECO5 Descriptor Buffer Word 89" hgroup.long 0x85B68++0x03 hide.long 0x00 "D5DESB90,DECO5 Descriptor Buffer Word 90" hgroup.long 0x85B6C++0x03 hide.long 0x00 "D5DESB91,DECO5 Descriptor Buffer Word 91" hgroup.long 0x85B70++0x03 hide.long 0x00 "D5DESB92,DECO5 Descriptor Buffer Word 92" hgroup.long 0x85B74++0x03 hide.long 0x00 "D5DESB93,DECO5 Descriptor Buffer Word 93" hgroup.long 0x85B78++0x03 hide.long 0x00 "D5DESB94,DECO5 Descriptor Buffer Word 94" hgroup.long 0x85B7C++0x03 hide.long 0x00 "D5DESB95,DECO5 Descriptor Buffer Word 95" hgroup.long 0x85B80++0x03 hide.long 0x00 "D5DESB96,DECO5 Descriptor Buffer Word 96" hgroup.long 0x85B84++0x03 hide.long 0x00 "D5DESB97,DECO5 Descriptor Buffer Word 97" hgroup.long 0x85B88++0x03 hide.long 0x00 "D5DESB98,DECO5 Descriptor Buffer Word 98" hgroup.long 0x85B8C++0x03 hide.long 0x00 "D5DESB99,DECO5 Descriptor Buffer Word 99" hgroup.long 0x85B90++0x03 hide.long 0x00 "D5DESB100,DECO5 Descriptor Buffer Word 100" hgroup.long 0x85B94++0x03 hide.long 0x00 "D5DESB101,DECO5 Descriptor Buffer Word 101" hgroup.long 0x85B98++0x03 hide.long 0x00 "D5DESB102,DECO5 Descriptor Buffer Word 102" hgroup.long 0x85B9C++0x03 hide.long 0x00 "D5DESB103,DECO5 Descriptor Buffer Word 103" hgroup.long 0x85BA0++0x03 hide.long 0x00 "D5DESB104,DECO5 Descriptor Buffer Word 104" hgroup.long 0x85BA4++0x03 hide.long 0x00 "D5DESB105,DECO5 Descriptor Buffer Word 105" hgroup.long 0x85BA8++0x03 hide.long 0x00 "D5DESB106,DECO5 Descriptor Buffer Word 106" hgroup.long 0x85BAC++0x03 hide.long 0x00 "D5DESB107,DECO5 Descriptor Buffer Word 107" hgroup.long 0x85BB0++0x03 hide.long 0x00 "D5DESB108,DECO5 Descriptor Buffer Word 108" hgroup.long 0x85BB4++0x03 hide.long 0x00 "D5DESB109,DECO5 Descriptor Buffer Word 109" hgroup.long 0x85BB8++0x03 hide.long 0x00 "D5DESB110,DECO5 Descriptor Buffer Word 110" hgroup.long 0x85BBC++0x03 hide.long 0x00 "D5DESB111,DECO5 Descriptor Buffer Word 111" hgroup.long 0x85BC0++0x03 hide.long 0x00 "D5DESB112,DECO5 Descriptor Buffer Word 112" hgroup.long 0x85BC4++0x03 hide.long 0x00 "D5DESB113,DECO5 Descriptor Buffer Word 113" hgroup.long 0x85BC8++0x03 hide.long 0x00 "D5DESB114,DECO5 Descriptor Buffer Word 114" hgroup.long 0x85BCC++0x03 hide.long 0x00 "D5DESB115,DECO5 Descriptor Buffer Word 115" hgroup.long 0x85BD0++0x03 hide.long 0x00 "D5DESB116,DECO5 Descriptor Buffer Word 116" hgroup.long 0x85BD4++0x03 hide.long 0x00 "D5DESB117,DECO5 Descriptor Buffer Word 117" hgroup.long 0x85BD8++0x03 hide.long 0x00 "D5DESB118,DECO5 Descriptor Buffer Word 118" hgroup.long 0x85BDC++0x03 hide.long 0x00 "D5DESB119,DECO5 Descriptor Buffer Word 119" hgroup.long 0x85BE0++0x03 hide.long 0x00 "D5DESB120,DECO5 Descriptor Buffer Word 120" hgroup.long 0x85BE4++0x03 hide.long 0x00 "D5DESB121,DECO5 Descriptor Buffer Word 121" hgroup.long 0x85BE8++0x03 hide.long 0x00 "D5DESB122,DECO5 Descriptor Buffer Word 122" hgroup.long 0x85BEC++0x03 hide.long 0x00 "D5DESB123,DECO5 Descriptor Buffer Word 123" hgroup.long 0x85BF0++0x03 hide.long 0x00 "D5DESB124,DECO5 Descriptor Buffer Word 124" hgroup.long 0x85BF4++0x03 hide.long 0x00 "D5DESB125,DECO5 Descriptor Buffer Word 125" hgroup.long 0x85BF8++0x03 hide.long 0x00 "D5DESB126,DECO5 Descriptor Buffer Word 126" hgroup.long 0x85BFC++0x03 hide.long 0x00 "D5DESB127,DECO5 Descriptor Buffer Word 127" hgroup.long 0x86A00++0x03 hide.long 0x00 "D6DESB0,DECO6 Descriptor Buffer Word 0" hgroup.long 0x86A04++0x03 hide.long 0x00 "D6DESB1,DECO6 Descriptor Buffer Word 1" hgroup.long 0x86A08++0x03 hide.long 0x00 "D6DESB2,DECO6 Descriptor Buffer Word 2" hgroup.long 0x86A0C++0x03 hide.long 0x00 "D6DESB3,DECO6 Descriptor Buffer Word 3" hgroup.long 0x86A10++0x03 hide.long 0x00 "D6DESB4,DECO6 Descriptor Buffer Word 4" hgroup.long 0x86A14++0x03 hide.long 0x00 "D6DESB5,DECO6 Descriptor Buffer Word 5" hgroup.long 0x86A18++0x03 hide.long 0x00 "D6DESB6,DECO6 Descriptor Buffer Word 6" hgroup.long 0x86A1C++0x03 hide.long 0x00 "D6DESB7,DECO6 Descriptor Buffer Word 7" hgroup.long 0x86A20++0x03 hide.long 0x00 "D6DESB8,DECO6 Descriptor Buffer Word 8" hgroup.long 0x86A24++0x03 hide.long 0x00 "D6DESB9,DECO6 Descriptor Buffer Word 9" hgroup.long 0x86A28++0x03 hide.long 0x00 "D6DESB10,DECO6 Descriptor Buffer Word 10" hgroup.long 0x86A2C++0x03 hide.long 0x00 "D6DESB11,DECO6 Descriptor Buffer Word 11" hgroup.long 0x86A30++0x03 hide.long 0x00 "D6DESB12,DECO6 Descriptor Buffer Word 12" hgroup.long 0x86A34++0x03 hide.long 0x00 "D6DESB13,DECO6 Descriptor Buffer Word 13" hgroup.long 0x86A38++0x03 hide.long 0x00 "D6DESB14,DECO6 Descriptor Buffer Word 14" hgroup.long 0x86A3C++0x03 hide.long 0x00 "D6DESB15,DECO6 Descriptor Buffer Word 15" hgroup.long 0x86A40++0x03 hide.long 0x00 "D6DESB16,DECO6 Descriptor Buffer Word 16" hgroup.long 0x86A44++0x03 hide.long 0x00 "D6DESB17,DECO6 Descriptor Buffer Word 17" hgroup.long 0x86A48++0x03 hide.long 0x00 "D6DESB18,DECO6 Descriptor Buffer Word 18" hgroup.long 0x86A4C++0x03 hide.long 0x00 "D6DESB19,DECO6 Descriptor Buffer Word 19" hgroup.long 0x86A50++0x03 hide.long 0x00 "D6DESB20,DECO6 Descriptor Buffer Word 20" hgroup.long 0x86A54++0x03 hide.long 0x00 "D6DESB21,DECO6 Descriptor Buffer Word 21" hgroup.long 0x86A58++0x03 hide.long 0x00 "D6DESB22,DECO6 Descriptor Buffer Word 22" hgroup.long 0x86A5C++0x03 hide.long 0x00 "D6DESB23,DECO6 Descriptor Buffer Word 23" hgroup.long 0x86A60++0x03 hide.long 0x00 "D6DESB24,DECO6 Descriptor Buffer Word 24" hgroup.long 0x86A64++0x03 hide.long 0x00 "D6DESB25,DECO6 Descriptor Buffer Word 25" hgroup.long 0x86A68++0x03 hide.long 0x00 "D6DESB26,DECO6 Descriptor Buffer Word 26" hgroup.long 0x86A6C++0x03 hide.long 0x00 "D6DESB27,DECO6 Descriptor Buffer Word 27" hgroup.long 0x86A70++0x03 hide.long 0x00 "D6DESB28,DECO6 Descriptor Buffer Word 28" hgroup.long 0x86A74++0x03 hide.long 0x00 "D6DESB29,DECO6 Descriptor Buffer Word 29" hgroup.long 0x86A78++0x03 hide.long 0x00 "D6DESB30,DECO6 Descriptor Buffer Word 30" hgroup.long 0x86A7C++0x03 hide.long 0x00 "D6DESB31,DECO6 Descriptor Buffer Word 31" hgroup.long 0x86A80++0x03 hide.long 0x00 "D6DESB32,DECO6 Descriptor Buffer Word 32" hgroup.long 0x86A84++0x03 hide.long 0x00 "D6DESB33,DECO6 Descriptor Buffer Word 33" hgroup.long 0x86A88++0x03 hide.long 0x00 "D6DESB34,DECO6 Descriptor Buffer Word 34" hgroup.long 0x86A8C++0x03 hide.long 0x00 "D6DESB35,DECO6 Descriptor Buffer Word 35" hgroup.long 0x86A90++0x03 hide.long 0x00 "D6DESB36,DECO6 Descriptor Buffer Word 36" hgroup.long 0x86A94++0x03 hide.long 0x00 "D6DESB37,DECO6 Descriptor Buffer Word 37" hgroup.long 0x86A98++0x03 hide.long 0x00 "D6DESB38,DECO6 Descriptor Buffer Word 38" hgroup.long 0x86A9C++0x03 hide.long 0x00 "D6DESB39,DECO6 Descriptor Buffer Word 39" hgroup.long 0x86AA0++0x03 hide.long 0x00 "D6DESB40,DECO6 Descriptor Buffer Word 40" hgroup.long 0x86AA4++0x03 hide.long 0x00 "D6DESB41,DECO6 Descriptor Buffer Word 41" hgroup.long 0x86AA8++0x03 hide.long 0x00 "D6DESB42,DECO6 Descriptor Buffer Word 42" hgroup.long 0x86AAC++0x03 hide.long 0x00 "D6DESB43,DECO6 Descriptor Buffer Word 43" hgroup.long 0x86AB0++0x03 hide.long 0x00 "D6DESB44,DECO6 Descriptor Buffer Word 44" hgroup.long 0x86AB4++0x03 hide.long 0x00 "D6DESB45,DECO6 Descriptor Buffer Word 45" hgroup.long 0x86AB8++0x03 hide.long 0x00 "D6DESB46,DECO6 Descriptor Buffer Word 46" hgroup.long 0x86ABC++0x03 hide.long 0x00 "D6DESB47,DECO6 Descriptor Buffer Word 47" hgroup.long 0x86AC0++0x03 hide.long 0x00 "D6DESB48,DECO6 Descriptor Buffer Word 48" hgroup.long 0x86AC4++0x03 hide.long 0x00 "D6DESB49,DECO6 Descriptor Buffer Word 49" hgroup.long 0x86AC8++0x03 hide.long 0x00 "D6DESB50,DECO6 Descriptor Buffer Word 50" hgroup.long 0x86ACC++0x03 hide.long 0x00 "D6DESB51,DECO6 Descriptor Buffer Word 51" hgroup.long 0x86AD0++0x03 hide.long 0x00 "D6DESB52,DECO6 Descriptor Buffer Word 52" hgroup.long 0x86AD4++0x03 hide.long 0x00 "D6DESB53,DECO6 Descriptor Buffer Word 53" hgroup.long 0x86AD8++0x03 hide.long 0x00 "D6DESB54,DECO6 Descriptor Buffer Word 54" hgroup.long 0x86ADC++0x03 hide.long 0x00 "D6DESB55,DECO6 Descriptor Buffer Word 55" hgroup.long 0x86AE0++0x03 hide.long 0x00 "D6DESB56,DECO6 Descriptor Buffer Word 56" hgroup.long 0x86AE4++0x03 hide.long 0x00 "D6DESB57,DECO6 Descriptor Buffer Word 57" hgroup.long 0x86AE8++0x03 hide.long 0x00 "D6DESB58,DECO6 Descriptor Buffer Word 58" hgroup.long 0x86AEC++0x03 hide.long 0x00 "D6DESB59,DECO6 Descriptor Buffer Word 59" hgroup.long 0x86AF0++0x03 hide.long 0x00 "D6DESB60,DECO6 Descriptor Buffer Word 60" hgroup.long 0x86AF4++0x03 hide.long 0x00 "D6DESB61,DECO6 Descriptor Buffer Word 61" hgroup.long 0x86AF8++0x03 hide.long 0x00 "D6DESB62,DECO6 Descriptor Buffer Word 62" hgroup.long 0x86AFC++0x03 hide.long 0x00 "D6DESB63,DECO6 Descriptor Buffer Word 63" hgroup.long 0x86B00++0x03 hide.long 0x00 "D6DESB64,DECO6 Descriptor Buffer Word 64" hgroup.long 0x86B04++0x03 hide.long 0x00 "D6DESB65,DECO6 Descriptor Buffer Word 65" hgroup.long 0x86B08++0x03 hide.long 0x00 "D6DESB66,DECO6 Descriptor Buffer Word 66" hgroup.long 0x86B0C++0x03 hide.long 0x00 "D6DESB67,DECO6 Descriptor Buffer Word 67" hgroup.long 0x86B10++0x03 hide.long 0x00 "D6DESB68,DECO6 Descriptor Buffer Word 68" hgroup.long 0x86B14++0x03 hide.long 0x00 "D6DESB69,DECO6 Descriptor Buffer Word 69" hgroup.long 0x86B18++0x03 hide.long 0x00 "D6DESB70,DECO6 Descriptor Buffer Word 70" hgroup.long 0x86B1C++0x03 hide.long 0x00 "D6DESB71,DECO6 Descriptor Buffer Word 71" hgroup.long 0x86B20++0x03 hide.long 0x00 "D6DESB72,DECO6 Descriptor Buffer Word 72" hgroup.long 0x86B24++0x03 hide.long 0x00 "D6DESB73,DECO6 Descriptor Buffer Word 73" hgroup.long 0x86B28++0x03 hide.long 0x00 "D6DESB74,DECO6 Descriptor Buffer Word 74" hgroup.long 0x86B2C++0x03 hide.long 0x00 "D6DESB75,DECO6 Descriptor Buffer Word 75" hgroup.long 0x86B30++0x03 hide.long 0x00 "D6DESB76,DECO6 Descriptor Buffer Word 76" hgroup.long 0x86B34++0x03 hide.long 0x00 "D6DESB77,DECO6 Descriptor Buffer Word 77" hgroup.long 0x86B38++0x03 hide.long 0x00 "D6DESB78,DECO6 Descriptor Buffer Word 78" hgroup.long 0x86B3C++0x03 hide.long 0x00 "D6DESB79,DECO6 Descriptor Buffer Word 79" hgroup.long 0x86B40++0x03 hide.long 0x00 "D6DESB80,DECO6 Descriptor Buffer Word 80" hgroup.long 0x86B44++0x03 hide.long 0x00 "D6DESB81,DECO6 Descriptor Buffer Word 81" hgroup.long 0x86B48++0x03 hide.long 0x00 "D6DESB82,DECO6 Descriptor Buffer Word 82" hgroup.long 0x86B4C++0x03 hide.long 0x00 "D6DESB83,DECO6 Descriptor Buffer Word 83" hgroup.long 0x86B50++0x03 hide.long 0x00 "D6DESB84,DECO6 Descriptor Buffer Word 84" hgroup.long 0x86B54++0x03 hide.long 0x00 "D6DESB85,DECO6 Descriptor Buffer Word 85" hgroup.long 0x86B58++0x03 hide.long 0x00 "D6DESB86,DECO6 Descriptor Buffer Word 86" hgroup.long 0x86B5C++0x03 hide.long 0x00 "D6DESB87,DECO6 Descriptor Buffer Word 87" hgroup.long 0x86B60++0x03 hide.long 0x00 "D6DESB88,DECO6 Descriptor Buffer Word 88" hgroup.long 0x86B64++0x03 hide.long 0x00 "D6DESB89,DECO6 Descriptor Buffer Word 89" hgroup.long 0x86B68++0x03 hide.long 0x00 "D6DESB90,DECO6 Descriptor Buffer Word 90" hgroup.long 0x86B6C++0x03 hide.long 0x00 "D6DESB91,DECO6 Descriptor Buffer Word 91" hgroup.long 0x86B70++0x03 hide.long 0x00 "D6DESB92,DECO6 Descriptor Buffer Word 92" hgroup.long 0x86B74++0x03 hide.long 0x00 "D6DESB93,DECO6 Descriptor Buffer Word 93" hgroup.long 0x86B78++0x03 hide.long 0x00 "D6DESB94,DECO6 Descriptor Buffer Word 94" hgroup.long 0x86B7C++0x03 hide.long 0x00 "D6DESB95,DECO6 Descriptor Buffer Word 95" hgroup.long 0x86B80++0x03 hide.long 0x00 "D6DESB96,DECO6 Descriptor Buffer Word 96" hgroup.long 0x86B84++0x03 hide.long 0x00 "D6DESB97,DECO6 Descriptor Buffer Word 97" hgroup.long 0x86B88++0x03 hide.long 0x00 "D6DESB98,DECO6 Descriptor Buffer Word 98" hgroup.long 0x86B8C++0x03 hide.long 0x00 "D6DESB99,DECO6 Descriptor Buffer Word 99" hgroup.long 0x86B90++0x03 hide.long 0x00 "D6DESB100,DECO6 Descriptor Buffer Word 100" hgroup.long 0x86B94++0x03 hide.long 0x00 "D6DESB101,DECO6 Descriptor Buffer Word 101" hgroup.long 0x86B98++0x03 hide.long 0x00 "D6DESB102,DECO6 Descriptor Buffer Word 102" hgroup.long 0x86B9C++0x03 hide.long 0x00 "D6DESB103,DECO6 Descriptor Buffer Word 103" hgroup.long 0x86BA0++0x03 hide.long 0x00 "D6DESB104,DECO6 Descriptor Buffer Word 104" hgroup.long 0x86BA4++0x03 hide.long 0x00 "D6DESB105,DECO6 Descriptor Buffer Word 105" hgroup.long 0x86BA8++0x03 hide.long 0x00 "D6DESB106,DECO6 Descriptor Buffer Word 106" hgroup.long 0x86BAC++0x03 hide.long 0x00 "D6DESB107,DECO6 Descriptor Buffer Word 107" hgroup.long 0x86BB0++0x03 hide.long 0x00 "D6DESB108,DECO6 Descriptor Buffer Word 108" hgroup.long 0x86BB4++0x03 hide.long 0x00 "D6DESB109,DECO6 Descriptor Buffer Word 109" hgroup.long 0x86BB8++0x03 hide.long 0x00 "D6DESB110,DECO6 Descriptor Buffer Word 110" hgroup.long 0x86BBC++0x03 hide.long 0x00 "D6DESB111,DECO6 Descriptor Buffer Word 111" hgroup.long 0x86BC0++0x03 hide.long 0x00 "D6DESB112,DECO6 Descriptor Buffer Word 112" hgroup.long 0x86BC4++0x03 hide.long 0x00 "D6DESB113,DECO6 Descriptor Buffer Word 113" hgroup.long 0x86BC8++0x03 hide.long 0x00 "D6DESB114,DECO6 Descriptor Buffer Word 114" hgroup.long 0x86BCC++0x03 hide.long 0x00 "D6DESB115,DECO6 Descriptor Buffer Word 115" hgroup.long 0x86BD0++0x03 hide.long 0x00 "D6DESB116,DECO6 Descriptor Buffer Word 116" hgroup.long 0x86BD4++0x03 hide.long 0x00 "D6DESB117,DECO6 Descriptor Buffer Word 117" hgroup.long 0x86BD8++0x03 hide.long 0x00 "D6DESB118,DECO6 Descriptor Buffer Word 118" hgroup.long 0x86BDC++0x03 hide.long 0x00 "D6DESB119,DECO6 Descriptor Buffer Word 119" hgroup.long 0x86BE0++0x03 hide.long 0x00 "D6DESB120,DECO6 Descriptor Buffer Word 120" hgroup.long 0x86BE4++0x03 hide.long 0x00 "D6DESB121,DECO6 Descriptor Buffer Word 121" hgroup.long 0x86BE8++0x03 hide.long 0x00 "D6DESB122,DECO6 Descriptor Buffer Word 122" hgroup.long 0x86BEC++0x03 hide.long 0x00 "D6DESB123,DECO6 Descriptor Buffer Word 123" hgroup.long 0x86BF0++0x03 hide.long 0x00 "D6DESB124,DECO6 Descriptor Buffer Word 124" hgroup.long 0x86BF4++0x03 hide.long 0x00 "D6DESB125,DECO6 Descriptor Buffer Word 125" hgroup.long 0x86BF8++0x03 hide.long 0x00 "D6DESB126,DECO6 Descriptor Buffer Word 126" hgroup.long 0x86BFC++0x03 hide.long 0x00 "D6DESB127,DECO6 Descriptor Buffer Word 127" hgroup.long 0x87A00++0x03 hide.long 0x00 "D7DESB0,DECO7 Descriptor Buffer Word 0" hgroup.long 0x87A04++0x03 hide.long 0x00 "D7DESB1,DECO7 Descriptor Buffer Word 1" hgroup.long 0x87A08++0x03 hide.long 0x00 "D7DESB2,DECO7 Descriptor Buffer Word 2" hgroup.long 0x87A0C++0x03 hide.long 0x00 "D7DESB3,DECO7 Descriptor Buffer Word 3" hgroup.long 0x87A10++0x03 hide.long 0x00 "D7DESB4,DECO7 Descriptor Buffer Word 4" hgroup.long 0x87A14++0x03 hide.long 0x00 "D7DESB5,DECO7 Descriptor Buffer Word 5" hgroup.long 0x87A18++0x03 hide.long 0x00 "D7DESB6,DECO7 Descriptor Buffer Word 6" hgroup.long 0x87A1C++0x03 hide.long 0x00 "D7DESB7,DECO7 Descriptor Buffer Word 7" hgroup.long 0x87A20++0x03 hide.long 0x00 "D7DESB8,DECO7 Descriptor Buffer Word 8" hgroup.long 0x87A24++0x03 hide.long 0x00 "D7DESB9,DECO7 Descriptor Buffer Word 9" hgroup.long 0x87A28++0x03 hide.long 0x00 "D7DESB10,DECO7 Descriptor Buffer Word 10" hgroup.long 0x87A2C++0x03 hide.long 0x00 "D7DESB11,DECO7 Descriptor Buffer Word 11" hgroup.long 0x87A30++0x03 hide.long 0x00 "D7DESB12,DECO7 Descriptor Buffer Word 12" hgroup.long 0x87A34++0x03 hide.long 0x00 "D7DESB13,DECO7 Descriptor Buffer Word 13" hgroup.long 0x87A38++0x03 hide.long 0x00 "D7DESB14,DECO7 Descriptor Buffer Word 14" hgroup.long 0x87A3C++0x03 hide.long 0x00 "D7DESB15,DECO7 Descriptor Buffer Word 15" hgroup.long 0x87A40++0x03 hide.long 0x00 "D7DESB16,DECO7 Descriptor Buffer Word 16" hgroup.long 0x87A44++0x03 hide.long 0x00 "D7DESB17,DECO7 Descriptor Buffer Word 17" hgroup.long 0x87A48++0x03 hide.long 0x00 "D7DESB18,DECO7 Descriptor Buffer Word 18" hgroup.long 0x87A4C++0x03 hide.long 0x00 "D7DESB19,DECO7 Descriptor Buffer Word 19" hgroup.long 0x87A50++0x03 hide.long 0x00 "D7DESB20,DECO7 Descriptor Buffer Word 20" hgroup.long 0x87A54++0x03 hide.long 0x00 "D7DESB21,DECO7 Descriptor Buffer Word 21" hgroup.long 0x87A58++0x03 hide.long 0x00 "D7DESB22,DECO7 Descriptor Buffer Word 22" hgroup.long 0x87A5C++0x03 hide.long 0x00 "D7DESB23,DECO7 Descriptor Buffer Word 23" hgroup.long 0x87A60++0x03 hide.long 0x00 "D7DESB24,DECO7 Descriptor Buffer Word 24" hgroup.long 0x87A64++0x03 hide.long 0x00 "D7DESB25,DECO7 Descriptor Buffer Word 25" hgroup.long 0x87A68++0x03 hide.long 0x00 "D7DESB26,DECO7 Descriptor Buffer Word 26" hgroup.long 0x87A6C++0x03 hide.long 0x00 "D7DESB27,DECO7 Descriptor Buffer Word 27" hgroup.long 0x87A70++0x03 hide.long 0x00 "D7DESB28,DECO7 Descriptor Buffer Word 28" hgroup.long 0x87A74++0x03 hide.long 0x00 "D7DESB29,DECO7 Descriptor Buffer Word 29" hgroup.long 0x87A78++0x03 hide.long 0x00 "D7DESB30,DECO7 Descriptor Buffer Word 30" hgroup.long 0x87A7C++0x03 hide.long 0x00 "D7DESB31,DECO7 Descriptor Buffer Word 31" hgroup.long 0x87A80++0x03 hide.long 0x00 "D7DESB32,DECO7 Descriptor Buffer Word 32" hgroup.long 0x87A84++0x03 hide.long 0x00 "D7DESB33,DECO7 Descriptor Buffer Word 33" hgroup.long 0x87A88++0x03 hide.long 0x00 "D7DESB34,DECO7 Descriptor Buffer Word 34" hgroup.long 0x87A8C++0x03 hide.long 0x00 "D7DESB35,DECO7 Descriptor Buffer Word 35" hgroup.long 0x87A90++0x03 hide.long 0x00 "D7DESB36,DECO7 Descriptor Buffer Word 36" hgroup.long 0x87A94++0x03 hide.long 0x00 "D7DESB37,DECO7 Descriptor Buffer Word 37" hgroup.long 0x87A98++0x03 hide.long 0x00 "D7DESB38,DECO7 Descriptor Buffer Word 38" hgroup.long 0x87A9C++0x03 hide.long 0x00 "D7DESB39,DECO7 Descriptor Buffer Word 39" hgroup.long 0x87AA0++0x03 hide.long 0x00 "D7DESB40,DECO7 Descriptor Buffer Word 40" hgroup.long 0x87AA4++0x03 hide.long 0x00 "D7DESB41,DECO7 Descriptor Buffer Word 41" hgroup.long 0x87AA8++0x03 hide.long 0x00 "D7DESB42,DECO7 Descriptor Buffer Word 42" hgroup.long 0x87AAC++0x03 hide.long 0x00 "D7DESB43,DECO7 Descriptor Buffer Word 43" hgroup.long 0x87AB0++0x03 hide.long 0x00 "D7DESB44,DECO7 Descriptor Buffer Word 44" hgroup.long 0x87AB4++0x03 hide.long 0x00 "D7DESB45,DECO7 Descriptor Buffer Word 45" hgroup.long 0x87AB8++0x03 hide.long 0x00 "D7DESB46,DECO7 Descriptor Buffer Word 46" hgroup.long 0x87ABC++0x03 hide.long 0x00 "D7DESB47,DECO7 Descriptor Buffer Word 47" hgroup.long 0x87AC0++0x03 hide.long 0x00 "D7DESB48,DECO7 Descriptor Buffer Word 48" hgroup.long 0x87AC4++0x03 hide.long 0x00 "D7DESB49,DECO7 Descriptor Buffer Word 49" hgroup.long 0x87AC8++0x03 hide.long 0x00 "D7DESB50,DECO7 Descriptor Buffer Word 50" hgroup.long 0x87ACC++0x03 hide.long 0x00 "D7DESB51,DECO7 Descriptor Buffer Word 51" hgroup.long 0x87AD0++0x03 hide.long 0x00 "D7DESB52,DECO7 Descriptor Buffer Word 52" hgroup.long 0x87AD4++0x03 hide.long 0x00 "D7DESB53,DECO7 Descriptor Buffer Word 53" hgroup.long 0x87AD8++0x03 hide.long 0x00 "D7DESB54,DECO7 Descriptor Buffer Word 54" hgroup.long 0x87ADC++0x03 hide.long 0x00 "D7DESB55,DECO7 Descriptor Buffer Word 55" hgroup.long 0x87AE0++0x03 hide.long 0x00 "D7DESB56,DECO7 Descriptor Buffer Word 56" hgroup.long 0x87AE4++0x03 hide.long 0x00 "D7DESB57,DECO7 Descriptor Buffer Word 57" hgroup.long 0x87AE8++0x03 hide.long 0x00 "D7DESB58,DECO7 Descriptor Buffer Word 58" hgroup.long 0x87AEC++0x03 hide.long 0x00 "D7DESB59,DECO7 Descriptor Buffer Word 59" hgroup.long 0x87AF0++0x03 hide.long 0x00 "D7DESB60,DECO7 Descriptor Buffer Word 60" hgroup.long 0x87AF4++0x03 hide.long 0x00 "D7DESB61,DECO7 Descriptor Buffer Word 61" hgroup.long 0x87AF8++0x03 hide.long 0x00 "D7DESB62,DECO7 Descriptor Buffer Word 62" hgroup.long 0x87AFC++0x03 hide.long 0x00 "D7DESB63,DECO7 Descriptor Buffer Word 63" hgroup.long 0x87B00++0x03 hide.long 0x00 "D7DESB64,DECO7 Descriptor Buffer Word 64" hgroup.long 0x87B04++0x03 hide.long 0x00 "D7DESB65,DECO7 Descriptor Buffer Word 65" hgroup.long 0x87B08++0x03 hide.long 0x00 "D7DESB66,DECO7 Descriptor Buffer Word 66" hgroup.long 0x87B0C++0x03 hide.long 0x00 "D7DESB67,DECO7 Descriptor Buffer Word 67" hgroup.long 0x87B10++0x03 hide.long 0x00 "D7DESB68,DECO7 Descriptor Buffer Word 68" hgroup.long 0x87B14++0x03 hide.long 0x00 "D7DESB69,DECO7 Descriptor Buffer Word 69" hgroup.long 0x87B18++0x03 hide.long 0x00 "D7DESB70,DECO7 Descriptor Buffer Word 70" hgroup.long 0x87B1C++0x03 hide.long 0x00 "D7DESB71,DECO7 Descriptor Buffer Word 71" hgroup.long 0x87B20++0x03 hide.long 0x00 "D7DESB72,DECO7 Descriptor Buffer Word 72" hgroup.long 0x87B24++0x03 hide.long 0x00 "D7DESB73,DECO7 Descriptor Buffer Word 73" hgroup.long 0x87B28++0x03 hide.long 0x00 "D7DESB74,DECO7 Descriptor Buffer Word 74" hgroup.long 0x87B2C++0x03 hide.long 0x00 "D7DESB75,DECO7 Descriptor Buffer Word 75" hgroup.long 0x87B30++0x03 hide.long 0x00 "D7DESB76,DECO7 Descriptor Buffer Word 76" hgroup.long 0x87B34++0x03 hide.long 0x00 "D7DESB77,DECO7 Descriptor Buffer Word 77" hgroup.long 0x87B38++0x03 hide.long 0x00 "D7DESB78,DECO7 Descriptor Buffer Word 78" hgroup.long 0x87B3C++0x03 hide.long 0x00 "D7DESB79,DECO7 Descriptor Buffer Word 79" hgroup.long 0x87B40++0x03 hide.long 0x00 "D7DESB80,DECO7 Descriptor Buffer Word 80" hgroup.long 0x87B44++0x03 hide.long 0x00 "D7DESB81,DECO7 Descriptor Buffer Word 81" hgroup.long 0x87B48++0x03 hide.long 0x00 "D7DESB82,DECO7 Descriptor Buffer Word 82" hgroup.long 0x87B4C++0x03 hide.long 0x00 "D7DESB83,DECO7 Descriptor Buffer Word 83" hgroup.long 0x87B50++0x03 hide.long 0x00 "D7DESB84,DECO7 Descriptor Buffer Word 84" hgroup.long 0x87B54++0x03 hide.long 0x00 "D7DESB85,DECO7 Descriptor Buffer Word 85" hgroup.long 0x87B58++0x03 hide.long 0x00 "D7DESB86,DECO7 Descriptor Buffer Word 86" hgroup.long 0x87B5C++0x03 hide.long 0x00 "D7DESB87,DECO7 Descriptor Buffer Word 87" hgroup.long 0x87B60++0x03 hide.long 0x00 "D7DESB88,DECO7 Descriptor Buffer Word 88" hgroup.long 0x87B64++0x03 hide.long 0x00 "D7DESB89,DECO7 Descriptor Buffer Word 89" hgroup.long 0x87B68++0x03 hide.long 0x00 "D7DESB90,DECO7 Descriptor Buffer Word 90" hgroup.long 0x87B6C++0x03 hide.long 0x00 "D7DESB91,DECO7 Descriptor Buffer Word 91" hgroup.long 0x87B70++0x03 hide.long 0x00 "D7DESB92,DECO7 Descriptor Buffer Word 92" hgroup.long 0x87B74++0x03 hide.long 0x00 "D7DESB93,DECO7 Descriptor Buffer Word 93" hgroup.long 0x87B78++0x03 hide.long 0x00 "D7DESB94,DECO7 Descriptor Buffer Word 94" hgroup.long 0x87B7C++0x03 hide.long 0x00 "D7DESB95,DECO7 Descriptor Buffer Word 95" hgroup.long 0x87B80++0x03 hide.long 0x00 "D7DESB96,DECO7 Descriptor Buffer Word 96" hgroup.long 0x87B84++0x03 hide.long 0x00 "D7DESB97,DECO7 Descriptor Buffer Word 97" hgroup.long 0x87B88++0x03 hide.long 0x00 "D7DESB98,DECO7 Descriptor Buffer Word 98" hgroup.long 0x87B8C++0x03 hide.long 0x00 "D7DESB99,DECO7 Descriptor Buffer Word 99" hgroup.long 0x87B90++0x03 hide.long 0x00 "D7DESB100,DECO7 Descriptor Buffer Word 100" hgroup.long 0x87B94++0x03 hide.long 0x00 "D7DESB101,DECO7 Descriptor Buffer Word 101" hgroup.long 0x87B98++0x03 hide.long 0x00 "D7DESB102,DECO7 Descriptor Buffer Word 102" hgroup.long 0x87B9C++0x03 hide.long 0x00 "D7DESB103,DECO7 Descriptor Buffer Word 103" hgroup.long 0x87BA0++0x03 hide.long 0x00 "D7DESB104,DECO7 Descriptor Buffer Word 104" hgroup.long 0x87BA4++0x03 hide.long 0x00 "D7DESB105,DECO7 Descriptor Buffer Word 105" hgroup.long 0x87BA8++0x03 hide.long 0x00 "D7DESB106,DECO7 Descriptor Buffer Word 106" hgroup.long 0x87BAC++0x03 hide.long 0x00 "D7DESB107,DECO7 Descriptor Buffer Word 107" hgroup.long 0x87BB0++0x03 hide.long 0x00 "D7DESB108,DECO7 Descriptor Buffer Word 108" hgroup.long 0x87BB4++0x03 hide.long 0x00 "D7DESB109,DECO7 Descriptor Buffer Word 109" hgroup.long 0x87BB8++0x03 hide.long 0x00 "D7DESB110,DECO7 Descriptor Buffer Word 110" hgroup.long 0x87BBC++0x03 hide.long 0x00 "D7DESB111,DECO7 Descriptor Buffer Word 111" hgroup.long 0x87BC0++0x03 hide.long 0x00 "D7DESB112,DECO7 Descriptor Buffer Word 112" hgroup.long 0x87BC4++0x03 hide.long 0x00 "D7DESB113,DECO7 Descriptor Buffer Word 113" hgroup.long 0x87BC8++0x03 hide.long 0x00 "D7DESB114,DECO7 Descriptor Buffer Word 114" hgroup.long 0x87BCC++0x03 hide.long 0x00 "D7DESB115,DECO7 Descriptor Buffer Word 115" hgroup.long 0x87BD0++0x03 hide.long 0x00 "D7DESB116,DECO7 Descriptor Buffer Word 116" hgroup.long 0x87BD4++0x03 hide.long 0x00 "D7DESB117,DECO7 Descriptor Buffer Word 117" hgroup.long 0x87BD8++0x03 hide.long 0x00 "D7DESB118,DECO7 Descriptor Buffer Word 118" hgroup.long 0x87BDC++0x03 hide.long 0x00 "D7DESB119,DECO7 Descriptor Buffer Word 119" hgroup.long 0x87BE0++0x03 hide.long 0x00 "D7DESB120,DECO7 Descriptor Buffer Word 120" hgroup.long 0x87BE4++0x03 hide.long 0x00 "D7DESB121,DECO7 Descriptor Buffer Word 121" hgroup.long 0x87BE8++0x03 hide.long 0x00 "D7DESB122,DECO7 Descriptor Buffer Word 122" hgroup.long 0x87BEC++0x03 hide.long 0x00 "D7DESB123,DECO7 Descriptor Buffer Word 123" hgroup.long 0x87BF0++0x03 hide.long 0x00 "D7DESB124,DECO7 Descriptor Buffer Word 124" hgroup.long 0x87BF4++0x03 hide.long 0x00 "D7DESB125,DECO7 Descriptor Buffer Word 125" hgroup.long 0x87BF8++0x03 hide.long 0x00 "D7DESB126,DECO7 Descriptor Buffer Word 126" hgroup.long 0x87BFC++0x03 hide.long 0x00 "D7DESB127,DECO7 Descriptor Buffer Word 127" hgroup.long 0x88A00++0x03 hide.long 0x00 "D8DESB0,DECO8 Descriptor Buffer Word 0" hgroup.long 0x88A04++0x03 hide.long 0x00 "D8DESB1,DECO8 Descriptor Buffer Word 1" hgroup.long 0x88A08++0x03 hide.long 0x00 "D8DESB2,DECO8 Descriptor Buffer Word 2" hgroup.long 0x88A0C++0x03 hide.long 0x00 "D8DESB3,DECO8 Descriptor Buffer Word 3" hgroup.long 0x88A10++0x03 hide.long 0x00 "D8DESB4,DECO8 Descriptor Buffer Word 4" hgroup.long 0x88A14++0x03 hide.long 0x00 "D8DESB5,DECO8 Descriptor Buffer Word 5" hgroup.long 0x88A18++0x03 hide.long 0x00 "D8DESB6,DECO8 Descriptor Buffer Word 6" hgroup.long 0x88A1C++0x03 hide.long 0x00 "D8DESB7,DECO8 Descriptor Buffer Word 7" hgroup.long 0x88A20++0x03 hide.long 0x00 "D8DESB8,DECO8 Descriptor Buffer Word 8" hgroup.long 0x88A24++0x03 hide.long 0x00 "D8DESB9,DECO8 Descriptor Buffer Word 9" hgroup.long 0x88A28++0x03 hide.long 0x00 "D8DESB10,DECO8 Descriptor Buffer Word 10" hgroup.long 0x88A2C++0x03 hide.long 0x00 "D8DESB11,DECO8 Descriptor Buffer Word 11" hgroup.long 0x88A30++0x03 hide.long 0x00 "D8DESB12,DECO8 Descriptor Buffer Word 12" hgroup.long 0x88A34++0x03 hide.long 0x00 "D8DESB13,DECO8 Descriptor Buffer Word 13" hgroup.long 0x88A38++0x03 hide.long 0x00 "D8DESB14,DECO8 Descriptor Buffer Word 14" hgroup.long 0x88A3C++0x03 hide.long 0x00 "D8DESB15,DECO8 Descriptor Buffer Word 15" hgroup.long 0x88A40++0x03 hide.long 0x00 "D8DESB16,DECO8 Descriptor Buffer Word 16" hgroup.long 0x88A44++0x03 hide.long 0x00 "D8DESB17,DECO8 Descriptor Buffer Word 17" hgroup.long 0x88A48++0x03 hide.long 0x00 "D8DESB18,DECO8 Descriptor Buffer Word 18" hgroup.long 0x88A4C++0x03 hide.long 0x00 "D8DESB19,DECO8 Descriptor Buffer Word 19" hgroup.long 0x88A50++0x03 hide.long 0x00 "D8DESB20,DECO8 Descriptor Buffer Word 20" hgroup.long 0x88A54++0x03 hide.long 0x00 "D8DESB21,DECO8 Descriptor Buffer Word 21" hgroup.long 0x88A58++0x03 hide.long 0x00 "D8DESB22,DECO8 Descriptor Buffer Word 22" hgroup.long 0x88A5C++0x03 hide.long 0x00 "D8DESB23,DECO8 Descriptor Buffer Word 23" hgroup.long 0x88A60++0x03 hide.long 0x00 "D8DESB24,DECO8 Descriptor Buffer Word 24" hgroup.long 0x88A64++0x03 hide.long 0x00 "D8DESB25,DECO8 Descriptor Buffer Word 25" hgroup.long 0x88A68++0x03 hide.long 0x00 "D8DESB26,DECO8 Descriptor Buffer Word 26" hgroup.long 0x88A6C++0x03 hide.long 0x00 "D8DESB27,DECO8 Descriptor Buffer Word 27" hgroup.long 0x88A70++0x03 hide.long 0x00 "D8DESB28,DECO8 Descriptor Buffer Word 28" hgroup.long 0x88A74++0x03 hide.long 0x00 "D8DESB29,DECO8 Descriptor Buffer Word 29" hgroup.long 0x88A78++0x03 hide.long 0x00 "D8DESB30,DECO8 Descriptor Buffer Word 30" hgroup.long 0x88A7C++0x03 hide.long 0x00 "D8DESB31,DECO8 Descriptor Buffer Word 31" hgroup.long 0x88A80++0x03 hide.long 0x00 "D8DESB32,DECO8 Descriptor Buffer Word 32" hgroup.long 0x88A84++0x03 hide.long 0x00 "D8DESB33,DECO8 Descriptor Buffer Word 33" hgroup.long 0x88A88++0x03 hide.long 0x00 "D8DESB34,DECO8 Descriptor Buffer Word 34" hgroup.long 0x88A8C++0x03 hide.long 0x00 "D8DESB35,DECO8 Descriptor Buffer Word 35" hgroup.long 0x88A90++0x03 hide.long 0x00 "D8DESB36,DECO8 Descriptor Buffer Word 36" hgroup.long 0x88A94++0x03 hide.long 0x00 "D8DESB37,DECO8 Descriptor Buffer Word 37" hgroup.long 0x88A98++0x03 hide.long 0x00 "D8DESB38,DECO8 Descriptor Buffer Word 38" hgroup.long 0x88A9C++0x03 hide.long 0x00 "D8DESB39,DECO8 Descriptor Buffer Word 39" hgroup.long 0x88AA0++0x03 hide.long 0x00 "D8DESB40,DECO8 Descriptor Buffer Word 40" hgroup.long 0x88AA4++0x03 hide.long 0x00 "D8DESB41,DECO8 Descriptor Buffer Word 41" hgroup.long 0x88AA8++0x03 hide.long 0x00 "D8DESB42,DECO8 Descriptor Buffer Word 42" hgroup.long 0x88AAC++0x03 hide.long 0x00 "D8DESB43,DECO8 Descriptor Buffer Word 43" hgroup.long 0x88AB0++0x03 hide.long 0x00 "D8DESB44,DECO8 Descriptor Buffer Word 44" hgroup.long 0x88AB4++0x03 hide.long 0x00 "D8DESB45,DECO8 Descriptor Buffer Word 45" hgroup.long 0x88AB8++0x03 hide.long 0x00 "D8DESB46,DECO8 Descriptor Buffer Word 46" hgroup.long 0x88ABC++0x03 hide.long 0x00 "D8DESB47,DECO8 Descriptor Buffer Word 47" hgroup.long 0x88AC0++0x03 hide.long 0x00 "D8DESB48,DECO8 Descriptor Buffer Word 48" hgroup.long 0x88AC4++0x03 hide.long 0x00 "D8DESB49,DECO8 Descriptor Buffer Word 49" hgroup.long 0x88AC8++0x03 hide.long 0x00 "D8DESB50,DECO8 Descriptor Buffer Word 50" hgroup.long 0x88ACC++0x03 hide.long 0x00 "D8DESB51,DECO8 Descriptor Buffer Word 51" hgroup.long 0x88AD0++0x03 hide.long 0x00 "D8DESB52,DECO8 Descriptor Buffer Word 52" hgroup.long 0x88AD4++0x03 hide.long 0x00 "D8DESB53,DECO8 Descriptor Buffer Word 53" hgroup.long 0x88AD8++0x03 hide.long 0x00 "D8DESB54,DECO8 Descriptor Buffer Word 54" hgroup.long 0x88ADC++0x03 hide.long 0x00 "D8DESB55,DECO8 Descriptor Buffer Word 55" hgroup.long 0x88AE0++0x03 hide.long 0x00 "D8DESB56,DECO8 Descriptor Buffer Word 56" hgroup.long 0x88AE4++0x03 hide.long 0x00 "D8DESB57,DECO8 Descriptor Buffer Word 57" hgroup.long 0x88AE8++0x03 hide.long 0x00 "D8DESB58,DECO8 Descriptor Buffer Word 58" hgroup.long 0x88AEC++0x03 hide.long 0x00 "D8DESB59,DECO8 Descriptor Buffer Word 59" hgroup.long 0x88AF0++0x03 hide.long 0x00 "D8DESB60,DECO8 Descriptor Buffer Word 60" hgroup.long 0x88AF4++0x03 hide.long 0x00 "D8DESB61,DECO8 Descriptor Buffer Word 61" hgroup.long 0x88AF8++0x03 hide.long 0x00 "D8DESB62,DECO8 Descriptor Buffer Word 62" hgroup.long 0x88AFC++0x03 hide.long 0x00 "D8DESB63,DECO8 Descriptor Buffer Word 63" hgroup.long 0x88B00++0x03 hide.long 0x00 "D8DESB64,DECO8 Descriptor Buffer Word 64" hgroup.long 0x88B04++0x03 hide.long 0x00 "D8DESB65,DECO8 Descriptor Buffer Word 65" hgroup.long 0x88B08++0x03 hide.long 0x00 "D8DESB66,DECO8 Descriptor Buffer Word 66" hgroup.long 0x88B0C++0x03 hide.long 0x00 "D8DESB67,DECO8 Descriptor Buffer Word 67" hgroup.long 0x88B10++0x03 hide.long 0x00 "D8DESB68,DECO8 Descriptor Buffer Word 68" hgroup.long 0x88B14++0x03 hide.long 0x00 "D8DESB69,DECO8 Descriptor Buffer Word 69" hgroup.long 0x88B18++0x03 hide.long 0x00 "D8DESB70,DECO8 Descriptor Buffer Word 70" hgroup.long 0x88B1C++0x03 hide.long 0x00 "D8DESB71,DECO8 Descriptor Buffer Word 71" hgroup.long 0x88B20++0x03 hide.long 0x00 "D8DESB72,DECO8 Descriptor Buffer Word 72" hgroup.long 0x88B24++0x03 hide.long 0x00 "D8DESB73,DECO8 Descriptor Buffer Word 73" hgroup.long 0x88B28++0x03 hide.long 0x00 "D8DESB74,DECO8 Descriptor Buffer Word 74" hgroup.long 0x88B2C++0x03 hide.long 0x00 "D8DESB75,DECO8 Descriptor Buffer Word 75" hgroup.long 0x88B30++0x03 hide.long 0x00 "D8DESB76,DECO8 Descriptor Buffer Word 76" hgroup.long 0x88B34++0x03 hide.long 0x00 "D8DESB77,DECO8 Descriptor Buffer Word 77" hgroup.long 0x88B38++0x03 hide.long 0x00 "D8DESB78,DECO8 Descriptor Buffer Word 78" hgroup.long 0x88B3C++0x03 hide.long 0x00 "D8DESB79,DECO8 Descriptor Buffer Word 79" hgroup.long 0x88B40++0x03 hide.long 0x00 "D8DESB80,DECO8 Descriptor Buffer Word 80" hgroup.long 0x88B44++0x03 hide.long 0x00 "D8DESB81,DECO8 Descriptor Buffer Word 81" hgroup.long 0x88B48++0x03 hide.long 0x00 "D8DESB82,DECO8 Descriptor Buffer Word 82" hgroup.long 0x88B4C++0x03 hide.long 0x00 "D8DESB83,DECO8 Descriptor Buffer Word 83" hgroup.long 0x88B50++0x03 hide.long 0x00 "D8DESB84,DECO8 Descriptor Buffer Word 84" hgroup.long 0x88B54++0x03 hide.long 0x00 "D8DESB85,DECO8 Descriptor Buffer Word 85" hgroup.long 0x88B58++0x03 hide.long 0x00 "D8DESB86,DECO8 Descriptor Buffer Word 86" hgroup.long 0x88B5C++0x03 hide.long 0x00 "D8DESB87,DECO8 Descriptor Buffer Word 87" hgroup.long 0x88B60++0x03 hide.long 0x00 "D8DESB88,DECO8 Descriptor Buffer Word 88" hgroup.long 0x88B64++0x03 hide.long 0x00 "D8DESB89,DECO8 Descriptor Buffer Word 89" hgroup.long 0x88B68++0x03 hide.long 0x00 "D8DESB90,DECO8 Descriptor Buffer Word 90" hgroup.long 0x88B6C++0x03 hide.long 0x00 "D8DESB91,DECO8 Descriptor Buffer Word 91" hgroup.long 0x88B70++0x03 hide.long 0x00 "D8DESB92,DECO8 Descriptor Buffer Word 92" hgroup.long 0x88B74++0x03 hide.long 0x00 "D8DESB93,DECO8 Descriptor Buffer Word 93" hgroup.long 0x88B78++0x03 hide.long 0x00 "D8DESB94,DECO8 Descriptor Buffer Word 94" hgroup.long 0x88B7C++0x03 hide.long 0x00 "D8DESB95,DECO8 Descriptor Buffer Word 95" hgroup.long 0x88B80++0x03 hide.long 0x00 "D8DESB96,DECO8 Descriptor Buffer Word 96" hgroup.long 0x88B84++0x03 hide.long 0x00 "D8DESB97,DECO8 Descriptor Buffer Word 97" hgroup.long 0x88B88++0x03 hide.long 0x00 "D8DESB98,DECO8 Descriptor Buffer Word 98" hgroup.long 0x88B8C++0x03 hide.long 0x00 "D8DESB99,DECO8 Descriptor Buffer Word 99" hgroup.long 0x88B90++0x03 hide.long 0x00 "D8DESB100,DECO8 Descriptor Buffer Word 100" hgroup.long 0x88B94++0x03 hide.long 0x00 "D8DESB101,DECO8 Descriptor Buffer Word 101" hgroup.long 0x88B98++0x03 hide.long 0x00 "D8DESB102,DECO8 Descriptor Buffer Word 102" hgroup.long 0x88B9C++0x03 hide.long 0x00 "D8DESB103,DECO8 Descriptor Buffer Word 103" hgroup.long 0x88BA0++0x03 hide.long 0x00 "D8DESB104,DECO8 Descriptor Buffer Word 104" hgroup.long 0x88BA4++0x03 hide.long 0x00 "D8DESB105,DECO8 Descriptor Buffer Word 105" hgroup.long 0x88BA8++0x03 hide.long 0x00 "D8DESB106,DECO8 Descriptor Buffer Word 106" hgroup.long 0x88BAC++0x03 hide.long 0x00 "D8DESB107,DECO8 Descriptor Buffer Word 107" hgroup.long 0x88BB0++0x03 hide.long 0x00 "D8DESB108,DECO8 Descriptor Buffer Word 108" hgroup.long 0x88BB4++0x03 hide.long 0x00 "D8DESB109,DECO8 Descriptor Buffer Word 109" hgroup.long 0x88BB8++0x03 hide.long 0x00 "D8DESB110,DECO8 Descriptor Buffer Word 110" hgroup.long 0x88BBC++0x03 hide.long 0x00 "D8DESB111,DECO8 Descriptor Buffer Word 111" hgroup.long 0x88BC0++0x03 hide.long 0x00 "D8DESB112,DECO8 Descriptor Buffer Word 112" hgroup.long 0x88BC4++0x03 hide.long 0x00 "D8DESB113,DECO8 Descriptor Buffer Word 113" hgroup.long 0x88BC8++0x03 hide.long 0x00 "D8DESB114,DECO8 Descriptor Buffer Word 114" hgroup.long 0x88BCC++0x03 hide.long 0x00 "D8DESB115,DECO8 Descriptor Buffer Word 115" hgroup.long 0x88BD0++0x03 hide.long 0x00 "D8DESB116,DECO8 Descriptor Buffer Word 116" hgroup.long 0x88BD4++0x03 hide.long 0x00 "D8DESB117,DECO8 Descriptor Buffer Word 117" hgroup.long 0x88BD8++0x03 hide.long 0x00 "D8DESB118,DECO8 Descriptor Buffer Word 118" hgroup.long 0x88BDC++0x03 hide.long 0x00 "D8DESB119,DECO8 Descriptor Buffer Word 119" hgroup.long 0x88BE0++0x03 hide.long 0x00 "D8DESB120,DECO8 Descriptor Buffer Word 120" hgroup.long 0x88BE4++0x03 hide.long 0x00 "D8DESB121,DECO8 Descriptor Buffer Word 121" hgroup.long 0x88BE8++0x03 hide.long 0x00 "D8DESB122,DECO8 Descriptor Buffer Word 122" hgroup.long 0x88BEC++0x03 hide.long 0x00 "D8DESB123,DECO8 Descriptor Buffer Word 123" hgroup.long 0x88BF0++0x03 hide.long 0x00 "D8DESB124,DECO8 Descriptor Buffer Word 124" hgroup.long 0x88BF4++0x03 hide.long 0x00 "D8DESB125,DECO8 Descriptor Buffer Word 125" hgroup.long 0x88BF8++0x03 hide.long 0x00 "D8DESB126,DECO8 Descriptor Buffer Word 126" hgroup.long 0x88BFC++0x03 hide.long 0x00 "D8DESB127,DECO8 Descriptor Buffer Word 127" hgroup.long 0x89A00++0x03 hide.long 0x00 "D9DESB0,DECO9 Descriptor Buffer Word 0" hgroup.long 0x89A04++0x03 hide.long 0x00 "D9DESB1,DECO9 Descriptor Buffer Word 1" hgroup.long 0x89A08++0x03 hide.long 0x00 "D9DESB2,DECO9 Descriptor Buffer Word 2" hgroup.long 0x89A0C++0x03 hide.long 0x00 "D9DESB3,DECO9 Descriptor Buffer Word 3" hgroup.long 0x89A10++0x03 hide.long 0x00 "D9DESB4,DECO9 Descriptor Buffer Word 4" hgroup.long 0x89A14++0x03 hide.long 0x00 "D9DESB5,DECO9 Descriptor Buffer Word 5" hgroup.long 0x89A18++0x03 hide.long 0x00 "D9DESB6,DECO9 Descriptor Buffer Word 6" hgroup.long 0x89A1C++0x03 hide.long 0x00 "D9DESB7,DECO9 Descriptor Buffer Word 7" hgroup.long 0x89A20++0x03 hide.long 0x00 "D9DESB8,DECO9 Descriptor Buffer Word 8" hgroup.long 0x89A24++0x03 hide.long 0x00 "D9DESB9,DECO9 Descriptor Buffer Word 9" hgroup.long 0x89A28++0x03 hide.long 0x00 "D9DESB10,DECO9 Descriptor Buffer Word 10" hgroup.long 0x89A2C++0x03 hide.long 0x00 "D9DESB11,DECO9 Descriptor Buffer Word 11" hgroup.long 0x89A30++0x03 hide.long 0x00 "D9DESB12,DECO9 Descriptor Buffer Word 12" hgroup.long 0x89A34++0x03 hide.long 0x00 "D9DESB13,DECO9 Descriptor Buffer Word 13" hgroup.long 0x89A38++0x03 hide.long 0x00 "D9DESB14,DECO9 Descriptor Buffer Word 14" hgroup.long 0x89A3C++0x03 hide.long 0x00 "D9DESB15,DECO9 Descriptor Buffer Word 15" hgroup.long 0x89A40++0x03 hide.long 0x00 "D9DESB16,DECO9 Descriptor Buffer Word 16" hgroup.long 0x89A44++0x03 hide.long 0x00 "D9DESB17,DECO9 Descriptor Buffer Word 17" hgroup.long 0x89A48++0x03 hide.long 0x00 "D9DESB18,DECO9 Descriptor Buffer Word 18" hgroup.long 0x89A4C++0x03 hide.long 0x00 "D9DESB19,DECO9 Descriptor Buffer Word 19" hgroup.long 0x89A50++0x03 hide.long 0x00 "D9DESB20,DECO9 Descriptor Buffer Word 20" hgroup.long 0x89A54++0x03 hide.long 0x00 "D9DESB21,DECO9 Descriptor Buffer Word 21" hgroup.long 0x89A58++0x03 hide.long 0x00 "D9DESB22,DECO9 Descriptor Buffer Word 22" hgroup.long 0x89A5C++0x03 hide.long 0x00 "D9DESB23,DECO9 Descriptor Buffer Word 23" hgroup.long 0x89A60++0x03 hide.long 0x00 "D9DESB24,DECO9 Descriptor Buffer Word 24" hgroup.long 0x89A64++0x03 hide.long 0x00 "D9DESB25,DECO9 Descriptor Buffer Word 25" hgroup.long 0x89A68++0x03 hide.long 0x00 "D9DESB26,DECO9 Descriptor Buffer Word 26" hgroup.long 0x89A6C++0x03 hide.long 0x00 "D9DESB27,DECO9 Descriptor Buffer Word 27" hgroup.long 0x89A70++0x03 hide.long 0x00 "D9DESB28,DECO9 Descriptor Buffer Word 28" hgroup.long 0x89A74++0x03 hide.long 0x00 "D9DESB29,DECO9 Descriptor Buffer Word 29" hgroup.long 0x89A78++0x03 hide.long 0x00 "D9DESB30,DECO9 Descriptor Buffer Word 30" hgroup.long 0x89A7C++0x03 hide.long 0x00 "D9DESB31,DECO9 Descriptor Buffer Word 31" hgroup.long 0x89A80++0x03 hide.long 0x00 "D9DESB32,DECO9 Descriptor Buffer Word 32" hgroup.long 0x89A84++0x03 hide.long 0x00 "D9DESB33,DECO9 Descriptor Buffer Word 33" hgroup.long 0x89A88++0x03 hide.long 0x00 "D9DESB34,DECO9 Descriptor Buffer Word 34" hgroup.long 0x89A8C++0x03 hide.long 0x00 "D9DESB35,DECO9 Descriptor Buffer Word 35" hgroup.long 0x89A90++0x03 hide.long 0x00 "D9DESB36,DECO9 Descriptor Buffer Word 36" hgroup.long 0x89A94++0x03 hide.long 0x00 "D9DESB37,DECO9 Descriptor Buffer Word 37" hgroup.long 0x89A98++0x03 hide.long 0x00 "D9DESB38,DECO9 Descriptor Buffer Word 38" hgroup.long 0x89A9C++0x03 hide.long 0x00 "D9DESB39,DECO9 Descriptor Buffer Word 39" hgroup.long 0x89AA0++0x03 hide.long 0x00 "D9DESB40,DECO9 Descriptor Buffer Word 40" hgroup.long 0x89AA4++0x03 hide.long 0x00 "D9DESB41,DECO9 Descriptor Buffer Word 41" hgroup.long 0x89AA8++0x03 hide.long 0x00 "D9DESB42,DECO9 Descriptor Buffer Word 42" hgroup.long 0x89AAC++0x03 hide.long 0x00 "D9DESB43,DECO9 Descriptor Buffer Word 43" hgroup.long 0x89AB0++0x03 hide.long 0x00 "D9DESB44,DECO9 Descriptor Buffer Word 44" hgroup.long 0x89AB4++0x03 hide.long 0x00 "D9DESB45,DECO9 Descriptor Buffer Word 45" hgroup.long 0x89AB8++0x03 hide.long 0x00 "D9DESB46,DECO9 Descriptor Buffer Word 46" hgroup.long 0x89ABC++0x03 hide.long 0x00 "D9DESB47,DECO9 Descriptor Buffer Word 47" hgroup.long 0x89AC0++0x03 hide.long 0x00 "D9DESB48,DECO9 Descriptor Buffer Word 48" hgroup.long 0x89AC4++0x03 hide.long 0x00 "D9DESB49,DECO9 Descriptor Buffer Word 49" hgroup.long 0x89AC8++0x03 hide.long 0x00 "D9DESB50,DECO9 Descriptor Buffer Word 50" hgroup.long 0x89ACC++0x03 hide.long 0x00 "D9DESB51,DECO9 Descriptor Buffer Word 51" hgroup.long 0x89AD0++0x03 hide.long 0x00 "D9DESB52,DECO9 Descriptor Buffer Word 52" hgroup.long 0x89AD4++0x03 hide.long 0x00 "D9DESB53,DECO9 Descriptor Buffer Word 53" hgroup.long 0x89AD8++0x03 hide.long 0x00 "D9DESB54,DECO9 Descriptor Buffer Word 54" hgroup.long 0x89ADC++0x03 hide.long 0x00 "D9DESB55,DECO9 Descriptor Buffer Word 55" hgroup.long 0x89AE0++0x03 hide.long 0x00 "D9DESB56,DECO9 Descriptor Buffer Word 56" hgroup.long 0x89AE4++0x03 hide.long 0x00 "D9DESB57,DECO9 Descriptor Buffer Word 57" hgroup.long 0x89AE8++0x03 hide.long 0x00 "D9DESB58,DECO9 Descriptor Buffer Word 58" hgroup.long 0x89AEC++0x03 hide.long 0x00 "D9DESB59,DECO9 Descriptor Buffer Word 59" hgroup.long 0x89AF0++0x03 hide.long 0x00 "D9DESB60,DECO9 Descriptor Buffer Word 60" hgroup.long 0x89AF4++0x03 hide.long 0x00 "D9DESB61,DECO9 Descriptor Buffer Word 61" hgroup.long 0x89AF8++0x03 hide.long 0x00 "D9DESB62,DECO9 Descriptor Buffer Word 62" hgroup.long 0x89AFC++0x03 hide.long 0x00 "D9DESB63,DECO9 Descriptor Buffer Word 63" hgroup.long 0x89B00++0x03 hide.long 0x00 "D9DESB64,DECO9 Descriptor Buffer Word 64" hgroup.long 0x89B04++0x03 hide.long 0x00 "D9DESB65,DECO9 Descriptor Buffer Word 65" hgroup.long 0x89B08++0x03 hide.long 0x00 "D9DESB66,DECO9 Descriptor Buffer Word 66" hgroup.long 0x89B0C++0x03 hide.long 0x00 "D9DESB67,DECO9 Descriptor Buffer Word 67" hgroup.long 0x89B10++0x03 hide.long 0x00 "D9DESB68,DECO9 Descriptor Buffer Word 68" hgroup.long 0x89B14++0x03 hide.long 0x00 "D9DESB69,DECO9 Descriptor Buffer Word 69" hgroup.long 0x89B18++0x03 hide.long 0x00 "D9DESB70,DECO9 Descriptor Buffer Word 70" hgroup.long 0x89B1C++0x03 hide.long 0x00 "D9DESB71,DECO9 Descriptor Buffer Word 71" hgroup.long 0x89B20++0x03 hide.long 0x00 "D9DESB72,DECO9 Descriptor Buffer Word 72" hgroup.long 0x89B24++0x03 hide.long 0x00 "D9DESB73,DECO9 Descriptor Buffer Word 73" hgroup.long 0x89B28++0x03 hide.long 0x00 "D9DESB74,DECO9 Descriptor Buffer Word 74" hgroup.long 0x89B2C++0x03 hide.long 0x00 "D9DESB75,DECO9 Descriptor Buffer Word 75" hgroup.long 0x89B30++0x03 hide.long 0x00 "D9DESB76,DECO9 Descriptor Buffer Word 76" hgroup.long 0x89B34++0x03 hide.long 0x00 "D9DESB77,DECO9 Descriptor Buffer Word 77" hgroup.long 0x89B38++0x03 hide.long 0x00 "D9DESB78,DECO9 Descriptor Buffer Word 78" hgroup.long 0x89B3C++0x03 hide.long 0x00 "D9DESB79,DECO9 Descriptor Buffer Word 79" hgroup.long 0x89B40++0x03 hide.long 0x00 "D9DESB80,DECO9 Descriptor Buffer Word 80" hgroup.long 0x89B44++0x03 hide.long 0x00 "D9DESB81,DECO9 Descriptor Buffer Word 81" hgroup.long 0x89B48++0x03 hide.long 0x00 "D9DESB82,DECO9 Descriptor Buffer Word 82" hgroup.long 0x89B4C++0x03 hide.long 0x00 "D9DESB83,DECO9 Descriptor Buffer Word 83" hgroup.long 0x89B50++0x03 hide.long 0x00 "D9DESB84,DECO9 Descriptor Buffer Word 84" hgroup.long 0x89B54++0x03 hide.long 0x00 "D9DESB85,DECO9 Descriptor Buffer Word 85" hgroup.long 0x89B58++0x03 hide.long 0x00 "D9DESB86,DECO9 Descriptor Buffer Word 86" hgroup.long 0x89B5C++0x03 hide.long 0x00 "D9DESB87,DECO9 Descriptor Buffer Word 87" hgroup.long 0x89B60++0x03 hide.long 0x00 "D9DESB88,DECO9 Descriptor Buffer Word 88" hgroup.long 0x89B64++0x03 hide.long 0x00 "D9DESB89,DECO9 Descriptor Buffer Word 89" hgroup.long 0x89B68++0x03 hide.long 0x00 "D9DESB90,DECO9 Descriptor Buffer Word 90" hgroup.long 0x89B6C++0x03 hide.long 0x00 "D9DESB91,DECO9 Descriptor Buffer Word 91" hgroup.long 0x89B70++0x03 hide.long 0x00 "D9DESB92,DECO9 Descriptor Buffer Word 92" hgroup.long 0x89B74++0x03 hide.long 0x00 "D9DESB93,DECO9 Descriptor Buffer Word 93" hgroup.long 0x89B78++0x03 hide.long 0x00 "D9DESB94,DECO9 Descriptor Buffer Word 94" hgroup.long 0x89B7C++0x03 hide.long 0x00 "D9DESB95,DECO9 Descriptor Buffer Word 95" hgroup.long 0x89B80++0x03 hide.long 0x00 "D9DESB96,DECO9 Descriptor Buffer Word 96" hgroup.long 0x89B84++0x03 hide.long 0x00 "D9DESB97,DECO9 Descriptor Buffer Word 97" hgroup.long 0x89B88++0x03 hide.long 0x00 "D9DESB98,DECO9 Descriptor Buffer Word 98" hgroup.long 0x89B8C++0x03 hide.long 0x00 "D9DESB99,DECO9 Descriptor Buffer Word 99" hgroup.long 0x89B90++0x03 hide.long 0x00 "D9DESB100,DECO9 Descriptor Buffer Word 100" hgroup.long 0x89B94++0x03 hide.long 0x00 "D9DESB101,DECO9 Descriptor Buffer Word 101" hgroup.long 0x89B98++0x03 hide.long 0x00 "D9DESB102,DECO9 Descriptor Buffer Word 102" hgroup.long 0x89B9C++0x03 hide.long 0x00 "D9DESB103,DECO9 Descriptor Buffer Word 103" hgroup.long 0x89BA0++0x03 hide.long 0x00 "D9DESB104,DECO9 Descriptor Buffer Word 104" hgroup.long 0x89BA4++0x03 hide.long 0x00 "D9DESB105,DECO9 Descriptor Buffer Word 105" hgroup.long 0x89BA8++0x03 hide.long 0x00 "D9DESB106,DECO9 Descriptor Buffer Word 106" hgroup.long 0x89BAC++0x03 hide.long 0x00 "D9DESB107,DECO9 Descriptor Buffer Word 107" hgroup.long 0x89BB0++0x03 hide.long 0x00 "D9DESB108,DECO9 Descriptor Buffer Word 108" hgroup.long 0x89BB4++0x03 hide.long 0x00 "D9DESB109,DECO9 Descriptor Buffer Word 109" hgroup.long 0x89BB8++0x03 hide.long 0x00 "D9DESB110,DECO9 Descriptor Buffer Word 110" hgroup.long 0x89BBC++0x03 hide.long 0x00 "D9DESB111,DECO9 Descriptor Buffer Word 111" hgroup.long 0x89BC0++0x03 hide.long 0x00 "D9DESB112,DECO9 Descriptor Buffer Word 112" hgroup.long 0x89BC4++0x03 hide.long 0x00 "D9DESB113,DECO9 Descriptor Buffer Word 113" hgroup.long 0x89BC8++0x03 hide.long 0x00 "D9DESB114,DECO9 Descriptor Buffer Word 114" hgroup.long 0x89BCC++0x03 hide.long 0x00 "D9DESB115,DECO9 Descriptor Buffer Word 115" hgroup.long 0x89BD0++0x03 hide.long 0x00 "D9DESB116,DECO9 Descriptor Buffer Word 116" hgroup.long 0x89BD4++0x03 hide.long 0x00 "D9DESB117,DECO9 Descriptor Buffer Word 117" hgroup.long 0x89BD8++0x03 hide.long 0x00 "D9DESB118,DECO9 Descriptor Buffer Word 118" hgroup.long 0x89BDC++0x03 hide.long 0x00 "D9DESB119,DECO9 Descriptor Buffer Word 119" hgroup.long 0x89BE0++0x03 hide.long 0x00 "D9DESB120,DECO9 Descriptor Buffer Word 120" hgroup.long 0x89BE4++0x03 hide.long 0x00 "D9DESB121,DECO9 Descriptor Buffer Word 121" hgroup.long 0x89BE8++0x03 hide.long 0x00 "D9DESB122,DECO9 Descriptor Buffer Word 122" hgroup.long 0x89BEC++0x03 hide.long 0x00 "D9DESB123,DECO9 Descriptor Buffer Word 123" hgroup.long 0x89BF0++0x03 hide.long 0x00 "D9DESB124,DECO9 Descriptor Buffer Word 124" hgroup.long 0x89BF4++0x03 hide.long 0x00 "D9DESB125,DECO9 Descriptor Buffer Word 125" hgroup.long 0x89BF8++0x03 hide.long 0x00 "D9DESB126,DECO9 Descriptor Buffer Word 126" hgroup.long 0x89BFC++0x03 hide.long 0x00 "D9DESB127,DECO9 Descriptor Buffer Word 127" hgroup.long 0x8AA00++0x03 hide.long 0x00 "D10DESB0,DECO10 Descriptor Buffer Word 0" hgroup.long 0x8AA04++0x03 hide.long 0x00 "D10DESB1,DECO10 Descriptor Buffer Word 1" hgroup.long 0x8AA08++0x03 hide.long 0x00 "D10DESB2,DECO10 Descriptor Buffer Word 2" hgroup.long 0x8AA0C++0x03 hide.long 0x00 "D10DESB3,DECO10 Descriptor Buffer Word 3" hgroup.long 0x8AA10++0x03 hide.long 0x00 "D10DESB4,DECO10 Descriptor Buffer Word 4" hgroup.long 0x8AA14++0x03 hide.long 0x00 "D10DESB5,DECO10 Descriptor Buffer Word 5" hgroup.long 0x8AA18++0x03 hide.long 0x00 "D10DESB6,DECO10 Descriptor Buffer Word 6" hgroup.long 0x8AA1C++0x03 hide.long 0x00 "D10DESB7,DECO10 Descriptor Buffer Word 7" hgroup.long 0x8AA20++0x03 hide.long 0x00 "D10DESB8,DECO10 Descriptor Buffer Word 8" hgroup.long 0x8AA24++0x03 hide.long 0x00 "D10DESB9,DECO10 Descriptor Buffer Word 9" hgroup.long 0x8AA28++0x03 hide.long 0x00 "D10DESB10,DECO10 Descriptor Buffer Word 10" hgroup.long 0x8AA2C++0x03 hide.long 0x00 "D10DESB11,DECO10 Descriptor Buffer Word 11" hgroup.long 0x8AA30++0x03 hide.long 0x00 "D10DESB12,DECO10 Descriptor Buffer Word 12" hgroup.long 0x8AA34++0x03 hide.long 0x00 "D10DESB13,DECO10 Descriptor Buffer Word 13" hgroup.long 0x8AA38++0x03 hide.long 0x00 "D10DESB14,DECO10 Descriptor Buffer Word 14" hgroup.long 0x8AA3C++0x03 hide.long 0x00 "D10DESB15,DECO10 Descriptor Buffer Word 15" hgroup.long 0x8AA40++0x03 hide.long 0x00 "D10DESB16,DECO10 Descriptor Buffer Word 16" hgroup.long 0x8AA44++0x03 hide.long 0x00 "D10DESB17,DECO10 Descriptor Buffer Word 17" hgroup.long 0x8AA48++0x03 hide.long 0x00 "D10DESB18,DECO10 Descriptor Buffer Word 18" hgroup.long 0x8AA4C++0x03 hide.long 0x00 "D10DESB19,DECO10 Descriptor Buffer Word 19" hgroup.long 0x8AA50++0x03 hide.long 0x00 "D10DESB20,DECO10 Descriptor Buffer Word 20" hgroup.long 0x8AA54++0x03 hide.long 0x00 "D10DESB21,DECO10 Descriptor Buffer Word 21" hgroup.long 0x8AA58++0x03 hide.long 0x00 "D10DESB22,DECO10 Descriptor Buffer Word 22" hgroup.long 0x8AA5C++0x03 hide.long 0x00 "D10DESB23,DECO10 Descriptor Buffer Word 23" hgroup.long 0x8AA60++0x03 hide.long 0x00 "D10DESB24,DECO10 Descriptor Buffer Word 24" hgroup.long 0x8AA64++0x03 hide.long 0x00 "D10DESB25,DECO10 Descriptor Buffer Word 25" hgroup.long 0x8AA68++0x03 hide.long 0x00 "D10DESB26,DECO10 Descriptor Buffer Word 26" hgroup.long 0x8AA6C++0x03 hide.long 0x00 "D10DESB27,DECO10 Descriptor Buffer Word 27" hgroup.long 0x8AA70++0x03 hide.long 0x00 "D10DESB28,DECO10 Descriptor Buffer Word 28" hgroup.long 0x8AA74++0x03 hide.long 0x00 "D10DESB29,DECO10 Descriptor Buffer Word 29" hgroup.long 0x8AA78++0x03 hide.long 0x00 "D10DESB30,DECO10 Descriptor Buffer Word 30" hgroup.long 0x8AA7C++0x03 hide.long 0x00 "D10DESB31,DECO10 Descriptor Buffer Word 31" hgroup.long 0x8AA80++0x03 hide.long 0x00 "D10DESB32,DECO10 Descriptor Buffer Word 32" hgroup.long 0x8AA84++0x03 hide.long 0x00 "D10DESB33,DECO10 Descriptor Buffer Word 33" hgroup.long 0x8AA88++0x03 hide.long 0x00 "D10DESB34,DECO10 Descriptor Buffer Word 34" hgroup.long 0x8AA8C++0x03 hide.long 0x00 "D10DESB35,DECO10 Descriptor Buffer Word 35" hgroup.long 0x8AA90++0x03 hide.long 0x00 "D10DESB36,DECO10 Descriptor Buffer Word 36" hgroup.long 0x8AA94++0x03 hide.long 0x00 "D10DESB37,DECO10 Descriptor Buffer Word 37" hgroup.long 0x8AA98++0x03 hide.long 0x00 "D10DESB38,DECO10 Descriptor Buffer Word 38" hgroup.long 0x8AA9C++0x03 hide.long 0x00 "D10DESB39,DECO10 Descriptor Buffer Word 39" hgroup.long 0x8AAA0++0x03 hide.long 0x00 "D10DESB40,DECO10 Descriptor Buffer Word 40" hgroup.long 0x8AAA4++0x03 hide.long 0x00 "D10DESB41,DECO10 Descriptor Buffer Word 41" hgroup.long 0x8AAA8++0x03 hide.long 0x00 "D10DESB42,DECO10 Descriptor Buffer Word 42" hgroup.long 0x8AAAC++0x03 hide.long 0x00 "D10DESB43,DECO10 Descriptor Buffer Word 43" hgroup.long 0x8AAB0++0x03 hide.long 0x00 "D10DESB44,DECO10 Descriptor Buffer Word 44" hgroup.long 0x8AAB4++0x03 hide.long 0x00 "D10DESB45,DECO10 Descriptor Buffer Word 45" hgroup.long 0x8AAB8++0x03 hide.long 0x00 "D10DESB46,DECO10 Descriptor Buffer Word 46" hgroup.long 0x8AABC++0x03 hide.long 0x00 "D10DESB47,DECO10 Descriptor Buffer Word 47" hgroup.long 0x8AAC0++0x03 hide.long 0x00 "D10DESB48,DECO10 Descriptor Buffer Word 48" hgroup.long 0x8AAC4++0x03 hide.long 0x00 "D10DESB49,DECO10 Descriptor Buffer Word 49" hgroup.long 0x8AAC8++0x03 hide.long 0x00 "D10DESB50,DECO10 Descriptor Buffer Word 50" hgroup.long 0x8AACC++0x03 hide.long 0x00 "D10DESB51,DECO10 Descriptor Buffer Word 51" hgroup.long 0x8AAD0++0x03 hide.long 0x00 "D10DESB52,DECO10 Descriptor Buffer Word 52" hgroup.long 0x8AAD4++0x03 hide.long 0x00 "D10DESB53,DECO10 Descriptor Buffer Word 53" hgroup.long 0x8AAD8++0x03 hide.long 0x00 "D10DESB54,DECO10 Descriptor Buffer Word 54" hgroup.long 0x8AADC++0x03 hide.long 0x00 "D10DESB55,DECO10 Descriptor Buffer Word 55" hgroup.long 0x8AAE0++0x03 hide.long 0x00 "D10DESB56,DECO10 Descriptor Buffer Word 56" hgroup.long 0x8AAE4++0x03 hide.long 0x00 "D10DESB57,DECO10 Descriptor Buffer Word 57" hgroup.long 0x8AAE8++0x03 hide.long 0x00 "D10DESB58,DECO10 Descriptor Buffer Word 58" hgroup.long 0x8AAEC++0x03 hide.long 0x00 "D10DESB59,DECO10 Descriptor Buffer Word 59" hgroup.long 0x8AAF0++0x03 hide.long 0x00 "D10DESB60,DECO10 Descriptor Buffer Word 60" hgroup.long 0x8AAF4++0x03 hide.long 0x00 "D10DESB61,DECO10 Descriptor Buffer Word 61" hgroup.long 0x8AAF8++0x03 hide.long 0x00 "D10DESB62,DECO10 Descriptor Buffer Word 62" hgroup.long 0x8AAFC++0x03 hide.long 0x00 "D10DESB63,DECO10 Descriptor Buffer Word 63" hgroup.long 0x8AB00++0x03 hide.long 0x00 "D10DESB64,DECO10 Descriptor Buffer Word 64" hgroup.long 0x8AB04++0x03 hide.long 0x00 "D10DESB65,DECO10 Descriptor Buffer Word 65" hgroup.long 0x8AB08++0x03 hide.long 0x00 "D10DESB66,DECO10 Descriptor Buffer Word 66" hgroup.long 0x8AB0C++0x03 hide.long 0x00 "D10DESB67,DECO10 Descriptor Buffer Word 67" hgroup.long 0x8AB10++0x03 hide.long 0x00 "D10DESB68,DECO10 Descriptor Buffer Word 68" hgroup.long 0x8AB14++0x03 hide.long 0x00 "D10DESB69,DECO10 Descriptor Buffer Word 69" hgroup.long 0x8AB18++0x03 hide.long 0x00 "D10DESB70,DECO10 Descriptor Buffer Word 70" hgroup.long 0x8AB1C++0x03 hide.long 0x00 "D10DESB71,DECO10 Descriptor Buffer Word 71" hgroup.long 0x8AB20++0x03 hide.long 0x00 "D10DESB72,DECO10 Descriptor Buffer Word 72" hgroup.long 0x8AB24++0x03 hide.long 0x00 "D10DESB73,DECO10 Descriptor Buffer Word 73" hgroup.long 0x8AB28++0x03 hide.long 0x00 "D10DESB74,DECO10 Descriptor Buffer Word 74" hgroup.long 0x8AB2C++0x03 hide.long 0x00 "D10DESB75,DECO10 Descriptor Buffer Word 75" hgroup.long 0x8AB30++0x03 hide.long 0x00 "D10DESB76,DECO10 Descriptor Buffer Word 76" hgroup.long 0x8AB34++0x03 hide.long 0x00 "D10DESB77,DECO10 Descriptor Buffer Word 77" hgroup.long 0x8AB38++0x03 hide.long 0x00 "D10DESB78,DECO10 Descriptor Buffer Word 78" hgroup.long 0x8AB3C++0x03 hide.long 0x00 "D10DESB79,DECO10 Descriptor Buffer Word 79" hgroup.long 0x8AB40++0x03 hide.long 0x00 "D10DESB80,DECO10 Descriptor Buffer Word 80" hgroup.long 0x8AB44++0x03 hide.long 0x00 "D10DESB81,DECO10 Descriptor Buffer Word 81" hgroup.long 0x8AB48++0x03 hide.long 0x00 "D10DESB82,DECO10 Descriptor Buffer Word 82" hgroup.long 0x8AB4C++0x03 hide.long 0x00 "D10DESB83,DECO10 Descriptor Buffer Word 83" hgroup.long 0x8AB50++0x03 hide.long 0x00 "D10DESB84,DECO10 Descriptor Buffer Word 84" hgroup.long 0x8AB54++0x03 hide.long 0x00 "D10DESB85,DECO10 Descriptor Buffer Word 85" hgroup.long 0x8AB58++0x03 hide.long 0x00 "D10DESB86,DECO10 Descriptor Buffer Word 86" hgroup.long 0x8AB5C++0x03 hide.long 0x00 "D10DESB87,DECO10 Descriptor Buffer Word 87" hgroup.long 0x8AB60++0x03 hide.long 0x00 "D10DESB88,DECO10 Descriptor Buffer Word 88" hgroup.long 0x8AB64++0x03 hide.long 0x00 "D10DESB89,DECO10 Descriptor Buffer Word 89" hgroup.long 0x8AB68++0x03 hide.long 0x00 "D10DESB90,DECO10 Descriptor Buffer Word 90" hgroup.long 0x8AB6C++0x03 hide.long 0x00 "D10DESB91,DECO10 Descriptor Buffer Word 91" hgroup.long 0x8AB70++0x03 hide.long 0x00 "D10DESB92,DECO10 Descriptor Buffer Word 92" hgroup.long 0x8AB74++0x03 hide.long 0x00 "D10DESB93,DECO10 Descriptor Buffer Word 93" hgroup.long 0x8AB78++0x03 hide.long 0x00 "D10DESB94,DECO10 Descriptor Buffer Word 94" hgroup.long 0x8AB7C++0x03 hide.long 0x00 "D10DESB95,DECO10 Descriptor Buffer Word 95" hgroup.long 0x8AB80++0x03 hide.long 0x00 "D10DESB96,DECO10 Descriptor Buffer Word 96" hgroup.long 0x8AB84++0x03 hide.long 0x00 "D10DESB97,DECO10 Descriptor Buffer Word 97" hgroup.long 0x8AB88++0x03 hide.long 0x00 "D10DESB98,DECO10 Descriptor Buffer Word 98" hgroup.long 0x8AB8C++0x03 hide.long 0x00 "D10DESB99,DECO10 Descriptor Buffer Word 99" hgroup.long 0x8AB90++0x03 hide.long 0x00 "D10DESB100,DECO10 Descriptor Buffer Word 100" hgroup.long 0x8AB94++0x03 hide.long 0x00 "D10DESB101,DECO10 Descriptor Buffer Word 101" hgroup.long 0x8AB98++0x03 hide.long 0x00 "D10DESB102,DECO10 Descriptor Buffer Word 102" hgroup.long 0x8AB9C++0x03 hide.long 0x00 "D10DESB103,DECO10 Descriptor Buffer Word 103" hgroup.long 0x8ABA0++0x03 hide.long 0x00 "D10DESB104,DECO10 Descriptor Buffer Word 104" hgroup.long 0x8ABA4++0x03 hide.long 0x00 "D10DESB105,DECO10 Descriptor Buffer Word 105" hgroup.long 0x8ABA8++0x03 hide.long 0x00 "D10DESB106,DECO10 Descriptor Buffer Word 106" hgroup.long 0x8ABAC++0x03 hide.long 0x00 "D10DESB107,DECO10 Descriptor Buffer Word 107" hgroup.long 0x8ABB0++0x03 hide.long 0x00 "D10DESB108,DECO10 Descriptor Buffer Word 108" hgroup.long 0x8ABB4++0x03 hide.long 0x00 "D10DESB109,DECO10 Descriptor Buffer Word 109" hgroup.long 0x8ABB8++0x03 hide.long 0x00 "D10DESB110,DECO10 Descriptor Buffer Word 110" hgroup.long 0x8ABBC++0x03 hide.long 0x00 "D10DESB111,DECO10 Descriptor Buffer Word 111" hgroup.long 0x8ABC0++0x03 hide.long 0x00 "D10DESB112,DECO10 Descriptor Buffer Word 112" hgroup.long 0x8ABC4++0x03 hide.long 0x00 "D10DESB113,DECO10 Descriptor Buffer Word 113" hgroup.long 0x8ABC8++0x03 hide.long 0x00 "D10DESB114,DECO10 Descriptor Buffer Word 114" hgroup.long 0x8ABCC++0x03 hide.long 0x00 "D10DESB115,DECO10 Descriptor Buffer Word 115" hgroup.long 0x8ABD0++0x03 hide.long 0x00 "D10DESB116,DECO10 Descriptor Buffer Word 116" hgroup.long 0x8ABD4++0x03 hide.long 0x00 "D10DESB117,DECO10 Descriptor Buffer Word 117" hgroup.long 0x8ABD8++0x03 hide.long 0x00 "D10DESB118,DECO10 Descriptor Buffer Word 118" hgroup.long 0x8ABDC++0x03 hide.long 0x00 "D10DESB119,DECO10 Descriptor Buffer Word 119" hgroup.long 0x8ABE0++0x03 hide.long 0x00 "D10DESB120,DECO10 Descriptor Buffer Word 120" hgroup.long 0x8ABE4++0x03 hide.long 0x00 "D10DESB121,DECO10 Descriptor Buffer Word 121" hgroup.long 0x8ABE8++0x03 hide.long 0x00 "D10DESB122,DECO10 Descriptor Buffer Word 122" hgroup.long 0x8ABEC++0x03 hide.long 0x00 "D10DESB123,DECO10 Descriptor Buffer Word 123" hgroup.long 0x8ABF0++0x03 hide.long 0x00 "D10DESB124,DECO10 Descriptor Buffer Word 124" hgroup.long 0x8ABF4++0x03 hide.long 0x00 "D10DESB125,DECO10 Descriptor Buffer Word 125" hgroup.long 0x8ABF8++0x03 hide.long 0x00 "D10DESB126,DECO10 Descriptor Buffer Word 126" hgroup.long 0x8ABFC++0x03 hide.long 0x00 "D10DESB127,DECO10 Descriptor Buffer Word 127" hgroup.long 0x8BA00++0x03 hide.long 0x00 "D11DESB0,DECO11 Descriptor Buffer Word 0" hgroup.long 0x8BA04++0x03 hide.long 0x00 "D11DESB1,DECO11 Descriptor Buffer Word 1" hgroup.long 0x8BA08++0x03 hide.long 0x00 "D11DESB2,DECO11 Descriptor Buffer Word 2" hgroup.long 0x8BA0C++0x03 hide.long 0x00 "D11DESB3,DECO11 Descriptor Buffer Word 3" hgroup.long 0x8BA10++0x03 hide.long 0x00 "D11DESB4,DECO11 Descriptor Buffer Word 4" hgroup.long 0x8BA14++0x03 hide.long 0x00 "D11DESB5,DECO11 Descriptor Buffer Word 5" hgroup.long 0x8BA18++0x03 hide.long 0x00 "D11DESB6,DECO11 Descriptor Buffer Word 6" hgroup.long 0x8BA1C++0x03 hide.long 0x00 "D11DESB7,DECO11 Descriptor Buffer Word 7" hgroup.long 0x8BA20++0x03 hide.long 0x00 "D11DESB8,DECO11 Descriptor Buffer Word 8" hgroup.long 0x8BA24++0x03 hide.long 0x00 "D11DESB9,DECO11 Descriptor Buffer Word 9" hgroup.long 0x8BA28++0x03 hide.long 0x00 "D11DESB10,DECO11 Descriptor Buffer Word 10" hgroup.long 0x8BA2C++0x03 hide.long 0x00 "D11DESB11,DECO11 Descriptor Buffer Word 11" hgroup.long 0x8BA30++0x03 hide.long 0x00 "D11DESB12,DECO11 Descriptor Buffer Word 12" hgroup.long 0x8BA34++0x03 hide.long 0x00 "D11DESB13,DECO11 Descriptor Buffer Word 13" hgroup.long 0x8BA38++0x03 hide.long 0x00 "D11DESB14,DECO11 Descriptor Buffer Word 14" hgroup.long 0x8BA3C++0x03 hide.long 0x00 "D11DESB15,DECO11 Descriptor Buffer Word 15" hgroup.long 0x8BA40++0x03 hide.long 0x00 "D11DESB16,DECO11 Descriptor Buffer Word 16" hgroup.long 0x8BA44++0x03 hide.long 0x00 "D11DESB17,DECO11 Descriptor Buffer Word 17" hgroup.long 0x8BA48++0x03 hide.long 0x00 "D11DESB18,DECO11 Descriptor Buffer Word 18" hgroup.long 0x8BA4C++0x03 hide.long 0x00 "D11DESB19,DECO11 Descriptor Buffer Word 19" hgroup.long 0x8BA50++0x03 hide.long 0x00 "D11DESB20,DECO11 Descriptor Buffer Word 20" hgroup.long 0x8BA54++0x03 hide.long 0x00 "D11DESB21,DECO11 Descriptor Buffer Word 21" hgroup.long 0x8BA58++0x03 hide.long 0x00 "D11DESB22,DECO11 Descriptor Buffer Word 22" hgroup.long 0x8BA5C++0x03 hide.long 0x00 "D11DESB23,DECO11 Descriptor Buffer Word 23" hgroup.long 0x8BA60++0x03 hide.long 0x00 "D11DESB24,DECO11 Descriptor Buffer Word 24" hgroup.long 0x8BA64++0x03 hide.long 0x00 "D11DESB25,DECO11 Descriptor Buffer Word 25" hgroup.long 0x8BA68++0x03 hide.long 0x00 "D11DESB26,DECO11 Descriptor Buffer Word 26" hgroup.long 0x8BA6C++0x03 hide.long 0x00 "D11DESB27,DECO11 Descriptor Buffer Word 27" hgroup.long 0x8BA70++0x03 hide.long 0x00 "D11DESB28,DECO11 Descriptor Buffer Word 28" hgroup.long 0x8BA74++0x03 hide.long 0x00 "D11DESB29,DECO11 Descriptor Buffer Word 29" hgroup.long 0x8BA78++0x03 hide.long 0x00 "D11DESB30,DECO11 Descriptor Buffer Word 30" hgroup.long 0x8BA7C++0x03 hide.long 0x00 "D11DESB31,DECO11 Descriptor Buffer Word 31" hgroup.long 0x8BA80++0x03 hide.long 0x00 "D11DESB32,DECO11 Descriptor Buffer Word 32" hgroup.long 0x8BA84++0x03 hide.long 0x00 "D11DESB33,DECO11 Descriptor Buffer Word 33" hgroup.long 0x8BA88++0x03 hide.long 0x00 "D11DESB34,DECO11 Descriptor Buffer Word 34" hgroup.long 0x8BA8C++0x03 hide.long 0x00 "D11DESB35,DECO11 Descriptor Buffer Word 35" hgroup.long 0x8BA90++0x03 hide.long 0x00 "D11DESB36,DECO11 Descriptor Buffer Word 36" hgroup.long 0x8BA94++0x03 hide.long 0x00 "D11DESB37,DECO11 Descriptor Buffer Word 37" hgroup.long 0x8BA98++0x03 hide.long 0x00 "D11DESB38,DECO11 Descriptor Buffer Word 38" hgroup.long 0x8BA9C++0x03 hide.long 0x00 "D11DESB39,DECO11 Descriptor Buffer Word 39" hgroup.long 0x8BAA0++0x03 hide.long 0x00 "D11DESB40,DECO11 Descriptor Buffer Word 40" hgroup.long 0x8BAA4++0x03 hide.long 0x00 "D11DESB41,DECO11 Descriptor Buffer Word 41" hgroup.long 0x8BAA8++0x03 hide.long 0x00 "D11DESB42,DECO11 Descriptor Buffer Word 42" hgroup.long 0x8BAAC++0x03 hide.long 0x00 "D11DESB43,DECO11 Descriptor Buffer Word 43" hgroup.long 0x8BAB0++0x03 hide.long 0x00 "D11DESB44,DECO11 Descriptor Buffer Word 44" hgroup.long 0x8BAB4++0x03 hide.long 0x00 "D11DESB45,DECO11 Descriptor Buffer Word 45" hgroup.long 0x8BAB8++0x03 hide.long 0x00 "D11DESB46,DECO11 Descriptor Buffer Word 46" hgroup.long 0x8BABC++0x03 hide.long 0x00 "D11DESB47,DECO11 Descriptor Buffer Word 47" hgroup.long 0x8BAC0++0x03 hide.long 0x00 "D11DESB48,DECO11 Descriptor Buffer Word 48" hgroup.long 0x8BAC4++0x03 hide.long 0x00 "D11DESB49,DECO11 Descriptor Buffer Word 49" hgroup.long 0x8BAC8++0x03 hide.long 0x00 "D11DESB50,DECO11 Descriptor Buffer Word 50" hgroup.long 0x8BACC++0x03 hide.long 0x00 "D11DESB51,DECO11 Descriptor Buffer Word 51" hgroup.long 0x8BAD0++0x03 hide.long 0x00 "D11DESB52,DECO11 Descriptor Buffer Word 52" hgroup.long 0x8BAD4++0x03 hide.long 0x00 "D11DESB53,DECO11 Descriptor Buffer Word 53" hgroup.long 0x8BAD8++0x03 hide.long 0x00 "D11DESB54,DECO11 Descriptor Buffer Word 54" hgroup.long 0x8BADC++0x03 hide.long 0x00 "D11DESB55,DECO11 Descriptor Buffer Word 55" hgroup.long 0x8BAE0++0x03 hide.long 0x00 "D11DESB56,DECO11 Descriptor Buffer Word 56" hgroup.long 0x8BAE4++0x03 hide.long 0x00 "D11DESB57,DECO11 Descriptor Buffer Word 57" hgroup.long 0x8BAE8++0x03 hide.long 0x00 "D11DESB58,DECO11 Descriptor Buffer Word 58" hgroup.long 0x8BAEC++0x03 hide.long 0x00 "D11DESB59,DECO11 Descriptor Buffer Word 59" hgroup.long 0x8BAF0++0x03 hide.long 0x00 "D11DESB60,DECO11 Descriptor Buffer Word 60" hgroup.long 0x8BAF4++0x03 hide.long 0x00 "D11DESB61,DECO11 Descriptor Buffer Word 61" hgroup.long 0x8BAF8++0x03 hide.long 0x00 "D11DESB62,DECO11 Descriptor Buffer Word 62" hgroup.long 0x8BAFC++0x03 hide.long 0x00 "D11DESB63,DECO11 Descriptor Buffer Word 63" hgroup.long 0x8BB00++0x03 hide.long 0x00 "D11DESB64,DECO11 Descriptor Buffer Word 64" hgroup.long 0x8BB04++0x03 hide.long 0x00 "D11DESB65,DECO11 Descriptor Buffer Word 65" hgroup.long 0x8BB08++0x03 hide.long 0x00 "D11DESB66,DECO11 Descriptor Buffer Word 66" hgroup.long 0x8BB0C++0x03 hide.long 0x00 "D11DESB67,DECO11 Descriptor Buffer Word 67" hgroup.long 0x8BB10++0x03 hide.long 0x00 "D11DESB68,DECO11 Descriptor Buffer Word 68" hgroup.long 0x8BB14++0x03 hide.long 0x00 "D11DESB69,DECO11 Descriptor Buffer Word 69" hgroup.long 0x8BB18++0x03 hide.long 0x00 "D11DESB70,DECO11 Descriptor Buffer Word 70" hgroup.long 0x8BB1C++0x03 hide.long 0x00 "D11DESB71,DECO11 Descriptor Buffer Word 71" hgroup.long 0x8BB20++0x03 hide.long 0x00 "D11DESB72,DECO11 Descriptor Buffer Word 72" hgroup.long 0x8BB24++0x03 hide.long 0x00 "D11DESB73,DECO11 Descriptor Buffer Word 73" hgroup.long 0x8BB28++0x03 hide.long 0x00 "D11DESB74,DECO11 Descriptor Buffer Word 74" hgroup.long 0x8BB2C++0x03 hide.long 0x00 "D11DESB75,DECO11 Descriptor Buffer Word 75" hgroup.long 0x8BB30++0x03 hide.long 0x00 "D11DESB76,DECO11 Descriptor Buffer Word 76" hgroup.long 0x8BB34++0x03 hide.long 0x00 "D11DESB77,DECO11 Descriptor Buffer Word 77" hgroup.long 0x8BB38++0x03 hide.long 0x00 "D11DESB78,DECO11 Descriptor Buffer Word 78" hgroup.long 0x8BB3C++0x03 hide.long 0x00 "D11DESB79,DECO11 Descriptor Buffer Word 79" hgroup.long 0x8BB40++0x03 hide.long 0x00 "D11DESB80,DECO11 Descriptor Buffer Word 80" hgroup.long 0x8BB44++0x03 hide.long 0x00 "D11DESB81,DECO11 Descriptor Buffer Word 81" hgroup.long 0x8BB48++0x03 hide.long 0x00 "D11DESB82,DECO11 Descriptor Buffer Word 82" hgroup.long 0x8BB4C++0x03 hide.long 0x00 "D11DESB83,DECO11 Descriptor Buffer Word 83" hgroup.long 0x8BB50++0x03 hide.long 0x00 "D11DESB84,DECO11 Descriptor Buffer Word 84" hgroup.long 0x8BB54++0x03 hide.long 0x00 "D11DESB85,DECO11 Descriptor Buffer Word 85" hgroup.long 0x8BB58++0x03 hide.long 0x00 "D11DESB86,DECO11 Descriptor Buffer Word 86" hgroup.long 0x8BB5C++0x03 hide.long 0x00 "D11DESB87,DECO11 Descriptor Buffer Word 87" hgroup.long 0x8BB60++0x03 hide.long 0x00 "D11DESB88,DECO11 Descriptor Buffer Word 88" hgroup.long 0x8BB64++0x03 hide.long 0x00 "D11DESB89,DECO11 Descriptor Buffer Word 89" hgroup.long 0x8BB68++0x03 hide.long 0x00 "D11DESB90,DECO11 Descriptor Buffer Word 90" hgroup.long 0x8BB6C++0x03 hide.long 0x00 "D11DESB91,DECO11 Descriptor Buffer Word 91" hgroup.long 0x8BB70++0x03 hide.long 0x00 "D11DESB92,DECO11 Descriptor Buffer Word 92" hgroup.long 0x8BB74++0x03 hide.long 0x00 "D11DESB93,DECO11 Descriptor Buffer Word 93" hgroup.long 0x8BB78++0x03 hide.long 0x00 "D11DESB94,DECO11 Descriptor Buffer Word 94" hgroup.long 0x8BB7C++0x03 hide.long 0x00 "D11DESB95,DECO11 Descriptor Buffer Word 95" hgroup.long 0x8BB80++0x03 hide.long 0x00 "D11DESB96,DECO11 Descriptor Buffer Word 96" hgroup.long 0x8BB84++0x03 hide.long 0x00 "D11DESB97,DECO11 Descriptor Buffer Word 97" hgroup.long 0x8BB88++0x03 hide.long 0x00 "D11DESB98,DECO11 Descriptor Buffer Word 98" hgroup.long 0x8BB8C++0x03 hide.long 0x00 "D11DESB99,DECO11 Descriptor Buffer Word 99" hgroup.long 0x8BB90++0x03 hide.long 0x00 "D11DESB100,DECO11 Descriptor Buffer Word 100" hgroup.long 0x8BB94++0x03 hide.long 0x00 "D11DESB101,DECO11 Descriptor Buffer Word 101" hgroup.long 0x8BB98++0x03 hide.long 0x00 "D11DESB102,DECO11 Descriptor Buffer Word 102" hgroup.long 0x8BB9C++0x03 hide.long 0x00 "D11DESB103,DECO11 Descriptor Buffer Word 103" hgroup.long 0x8BBA0++0x03 hide.long 0x00 "D11DESB104,DECO11 Descriptor Buffer Word 104" hgroup.long 0x8BBA4++0x03 hide.long 0x00 "D11DESB105,DECO11 Descriptor Buffer Word 105" hgroup.long 0x8BBA8++0x03 hide.long 0x00 "D11DESB106,DECO11 Descriptor Buffer Word 106" hgroup.long 0x8BBAC++0x03 hide.long 0x00 "D11DESB107,DECO11 Descriptor Buffer Word 107" hgroup.long 0x8BBB0++0x03 hide.long 0x00 "D11DESB108,DECO11 Descriptor Buffer Word 108" hgroup.long 0x8BBB4++0x03 hide.long 0x00 "D11DESB109,DECO11 Descriptor Buffer Word 109" hgroup.long 0x8BBB8++0x03 hide.long 0x00 "D11DESB110,DECO11 Descriptor Buffer Word 110" hgroup.long 0x8BBBC++0x03 hide.long 0x00 "D11DESB111,DECO11 Descriptor Buffer Word 111" hgroup.long 0x8BBC0++0x03 hide.long 0x00 "D11DESB112,DECO11 Descriptor Buffer Word 112" hgroup.long 0x8BBC4++0x03 hide.long 0x00 "D11DESB113,DECO11 Descriptor Buffer Word 113" hgroup.long 0x8BBC8++0x03 hide.long 0x00 "D11DESB114,DECO11 Descriptor Buffer Word 114" hgroup.long 0x8BBCC++0x03 hide.long 0x00 "D11DESB115,DECO11 Descriptor Buffer Word 115" hgroup.long 0x8BBD0++0x03 hide.long 0x00 "D11DESB116,DECO11 Descriptor Buffer Word 116" hgroup.long 0x8BBD4++0x03 hide.long 0x00 "D11DESB117,DECO11 Descriptor Buffer Word 117" hgroup.long 0x8BBD8++0x03 hide.long 0x00 "D11DESB118,DECO11 Descriptor Buffer Word 118" hgroup.long 0x8BBDC++0x03 hide.long 0x00 "D11DESB119,DECO11 Descriptor Buffer Word 119" hgroup.long 0x8BBE0++0x03 hide.long 0x00 "D11DESB120,DECO11 Descriptor Buffer Word 120" hgroup.long 0x8BBE4++0x03 hide.long 0x00 "D11DESB121,DECO11 Descriptor Buffer Word 121" hgroup.long 0x8BBE8++0x03 hide.long 0x00 "D11DESB122,DECO11 Descriptor Buffer Word 122" hgroup.long 0x8BBEC++0x03 hide.long 0x00 "D11DESB123,DECO11 Descriptor Buffer Word 123" hgroup.long 0x8BBF0++0x03 hide.long 0x00 "D11DESB124,DECO11 Descriptor Buffer Word 124" hgroup.long 0x8BBF4++0x03 hide.long 0x00 "D11DESB125,DECO11 Descriptor Buffer Word 125" hgroup.long 0x8BBF8++0x03 hide.long 0x00 "D11DESB126,DECO11 Descriptor Buffer Word 126" hgroup.long 0x8BBFC++0x03 hide.long 0x00 "D11DESB127,DECO11 Descriptor Buffer Word 127" hgroup.long 0x8CA00++0x03 hide.long 0x00 "D12DESB0,DECO12 Descriptor Buffer Word 0" hgroup.long 0x8CA04++0x03 hide.long 0x00 "D12DESB1,DECO12 Descriptor Buffer Word 1" hgroup.long 0x8CA08++0x03 hide.long 0x00 "D12DESB2,DECO12 Descriptor Buffer Word 2" hgroup.long 0x8CA0C++0x03 hide.long 0x00 "D12DESB3,DECO12 Descriptor Buffer Word 3" hgroup.long 0x8CA10++0x03 hide.long 0x00 "D12DESB4,DECO12 Descriptor Buffer Word 4" hgroup.long 0x8CA14++0x03 hide.long 0x00 "D12DESB5,DECO12 Descriptor Buffer Word 5" hgroup.long 0x8CA18++0x03 hide.long 0x00 "D12DESB6,DECO12 Descriptor Buffer Word 6" hgroup.long 0x8CA1C++0x03 hide.long 0x00 "D12DESB7,DECO12 Descriptor Buffer Word 7" hgroup.long 0x8CA20++0x03 hide.long 0x00 "D12DESB8,DECO12 Descriptor Buffer Word 8" hgroup.long 0x8CA24++0x03 hide.long 0x00 "D12DESB9,DECO12 Descriptor Buffer Word 9" hgroup.long 0x8CA28++0x03 hide.long 0x00 "D12DESB10,DECO12 Descriptor Buffer Word 10" hgroup.long 0x8CA2C++0x03 hide.long 0x00 "D12DESB11,DECO12 Descriptor Buffer Word 11" hgroup.long 0x8CA30++0x03 hide.long 0x00 "D12DESB12,DECO12 Descriptor Buffer Word 12" hgroup.long 0x8CA34++0x03 hide.long 0x00 "D12DESB13,DECO12 Descriptor Buffer Word 13" hgroup.long 0x8CA38++0x03 hide.long 0x00 "D12DESB14,DECO12 Descriptor Buffer Word 14" hgroup.long 0x8CA3C++0x03 hide.long 0x00 "D12DESB15,DECO12 Descriptor Buffer Word 15" hgroup.long 0x8CA40++0x03 hide.long 0x00 "D12DESB16,DECO12 Descriptor Buffer Word 16" hgroup.long 0x8CA44++0x03 hide.long 0x00 "D12DESB17,DECO12 Descriptor Buffer Word 17" hgroup.long 0x8CA48++0x03 hide.long 0x00 "D12DESB18,DECO12 Descriptor Buffer Word 18" hgroup.long 0x8CA4C++0x03 hide.long 0x00 "D12DESB19,DECO12 Descriptor Buffer Word 19" hgroup.long 0x8CA50++0x03 hide.long 0x00 "D12DESB20,DECO12 Descriptor Buffer Word 20" hgroup.long 0x8CA54++0x03 hide.long 0x00 "D12DESB21,DECO12 Descriptor Buffer Word 21" hgroup.long 0x8CA58++0x03 hide.long 0x00 "D12DESB22,DECO12 Descriptor Buffer Word 22" hgroup.long 0x8CA5C++0x03 hide.long 0x00 "D12DESB23,DECO12 Descriptor Buffer Word 23" hgroup.long 0x8CA60++0x03 hide.long 0x00 "D12DESB24,DECO12 Descriptor Buffer Word 24" hgroup.long 0x8CA64++0x03 hide.long 0x00 "D12DESB25,DECO12 Descriptor Buffer Word 25" hgroup.long 0x8CA68++0x03 hide.long 0x00 "D12DESB26,DECO12 Descriptor Buffer Word 26" hgroup.long 0x8CA6C++0x03 hide.long 0x00 "D12DESB27,DECO12 Descriptor Buffer Word 27" hgroup.long 0x8CA70++0x03 hide.long 0x00 "D12DESB28,DECO12 Descriptor Buffer Word 28" hgroup.long 0x8CA74++0x03 hide.long 0x00 "D12DESB29,DECO12 Descriptor Buffer Word 29" hgroup.long 0x8CA78++0x03 hide.long 0x00 "D12DESB30,DECO12 Descriptor Buffer Word 30" hgroup.long 0x8CA7C++0x03 hide.long 0x00 "D12DESB31,DECO12 Descriptor Buffer Word 31" hgroup.long 0x8CA80++0x03 hide.long 0x00 "D12DESB32,DECO12 Descriptor Buffer Word 32" hgroup.long 0x8CA84++0x03 hide.long 0x00 "D12DESB33,DECO12 Descriptor Buffer Word 33" hgroup.long 0x8CA88++0x03 hide.long 0x00 "D12DESB34,DECO12 Descriptor Buffer Word 34" hgroup.long 0x8CA8C++0x03 hide.long 0x00 "D12DESB35,DECO12 Descriptor Buffer Word 35" hgroup.long 0x8CA90++0x03 hide.long 0x00 "D12DESB36,DECO12 Descriptor Buffer Word 36" hgroup.long 0x8CA94++0x03 hide.long 0x00 "D12DESB37,DECO12 Descriptor Buffer Word 37" hgroup.long 0x8CA98++0x03 hide.long 0x00 "D12DESB38,DECO12 Descriptor Buffer Word 38" hgroup.long 0x8CA9C++0x03 hide.long 0x00 "D12DESB39,DECO12 Descriptor Buffer Word 39" hgroup.long 0x8CAA0++0x03 hide.long 0x00 "D12DESB40,DECO12 Descriptor Buffer Word 40" hgroup.long 0x8CAA4++0x03 hide.long 0x00 "D12DESB41,DECO12 Descriptor Buffer Word 41" hgroup.long 0x8CAA8++0x03 hide.long 0x00 "D12DESB42,DECO12 Descriptor Buffer Word 42" hgroup.long 0x8CAAC++0x03 hide.long 0x00 "D12DESB43,DECO12 Descriptor Buffer Word 43" hgroup.long 0x8CAB0++0x03 hide.long 0x00 "D12DESB44,DECO12 Descriptor Buffer Word 44" hgroup.long 0x8CAB4++0x03 hide.long 0x00 "D12DESB45,DECO12 Descriptor Buffer Word 45" hgroup.long 0x8CAB8++0x03 hide.long 0x00 "D12DESB46,DECO12 Descriptor Buffer Word 46" hgroup.long 0x8CABC++0x03 hide.long 0x00 "D12DESB47,DECO12 Descriptor Buffer Word 47" hgroup.long 0x8CAC0++0x03 hide.long 0x00 "D12DESB48,DECO12 Descriptor Buffer Word 48" hgroup.long 0x8CAC4++0x03 hide.long 0x00 "D12DESB49,DECO12 Descriptor Buffer Word 49" hgroup.long 0x8CAC8++0x03 hide.long 0x00 "D12DESB50,DECO12 Descriptor Buffer Word 50" hgroup.long 0x8CACC++0x03 hide.long 0x00 "D12DESB51,DECO12 Descriptor Buffer Word 51" hgroup.long 0x8CAD0++0x03 hide.long 0x00 "D12DESB52,DECO12 Descriptor Buffer Word 52" hgroup.long 0x8CAD4++0x03 hide.long 0x00 "D12DESB53,DECO12 Descriptor Buffer Word 53" hgroup.long 0x8CAD8++0x03 hide.long 0x00 "D12DESB54,DECO12 Descriptor Buffer Word 54" hgroup.long 0x8CADC++0x03 hide.long 0x00 "D12DESB55,DECO12 Descriptor Buffer Word 55" hgroup.long 0x8CAE0++0x03 hide.long 0x00 "D12DESB56,DECO12 Descriptor Buffer Word 56" hgroup.long 0x8CAE4++0x03 hide.long 0x00 "D12DESB57,DECO12 Descriptor Buffer Word 57" hgroup.long 0x8CAE8++0x03 hide.long 0x00 "D12DESB58,DECO12 Descriptor Buffer Word 58" hgroup.long 0x8CAEC++0x03 hide.long 0x00 "D12DESB59,DECO12 Descriptor Buffer Word 59" hgroup.long 0x8CAF0++0x03 hide.long 0x00 "D12DESB60,DECO12 Descriptor Buffer Word 60" hgroup.long 0x8CAF4++0x03 hide.long 0x00 "D12DESB61,DECO12 Descriptor Buffer Word 61" hgroup.long 0x8CAF8++0x03 hide.long 0x00 "D12DESB62,DECO12 Descriptor Buffer Word 62" hgroup.long 0x8CAFC++0x03 hide.long 0x00 "D12DESB63,DECO12 Descriptor Buffer Word 63" hgroup.long 0x8CB00++0x03 hide.long 0x00 "D12DESB64,DECO12 Descriptor Buffer Word 64" hgroup.long 0x8CB04++0x03 hide.long 0x00 "D12DESB65,DECO12 Descriptor Buffer Word 65" hgroup.long 0x8CB08++0x03 hide.long 0x00 "D12DESB66,DECO12 Descriptor Buffer Word 66" hgroup.long 0x8CB0C++0x03 hide.long 0x00 "D12DESB67,DECO12 Descriptor Buffer Word 67" hgroup.long 0x8CB10++0x03 hide.long 0x00 "D12DESB68,DECO12 Descriptor Buffer Word 68" hgroup.long 0x8CB14++0x03 hide.long 0x00 "D12DESB69,DECO12 Descriptor Buffer Word 69" hgroup.long 0x8CB18++0x03 hide.long 0x00 "D12DESB70,DECO12 Descriptor Buffer Word 70" hgroup.long 0x8CB1C++0x03 hide.long 0x00 "D12DESB71,DECO12 Descriptor Buffer Word 71" hgroup.long 0x8CB20++0x03 hide.long 0x00 "D12DESB72,DECO12 Descriptor Buffer Word 72" hgroup.long 0x8CB24++0x03 hide.long 0x00 "D12DESB73,DECO12 Descriptor Buffer Word 73" hgroup.long 0x8CB28++0x03 hide.long 0x00 "D12DESB74,DECO12 Descriptor Buffer Word 74" hgroup.long 0x8CB2C++0x03 hide.long 0x00 "D12DESB75,DECO12 Descriptor Buffer Word 75" hgroup.long 0x8CB30++0x03 hide.long 0x00 "D12DESB76,DECO12 Descriptor Buffer Word 76" hgroup.long 0x8CB34++0x03 hide.long 0x00 "D12DESB77,DECO12 Descriptor Buffer Word 77" hgroup.long 0x8CB38++0x03 hide.long 0x00 "D12DESB78,DECO12 Descriptor Buffer Word 78" hgroup.long 0x8CB3C++0x03 hide.long 0x00 "D12DESB79,DECO12 Descriptor Buffer Word 79" hgroup.long 0x8CB40++0x03 hide.long 0x00 "D12DESB80,DECO12 Descriptor Buffer Word 80" hgroup.long 0x8CB44++0x03 hide.long 0x00 "D12DESB81,DECO12 Descriptor Buffer Word 81" hgroup.long 0x8CB48++0x03 hide.long 0x00 "D12DESB82,DECO12 Descriptor Buffer Word 82" hgroup.long 0x8CB4C++0x03 hide.long 0x00 "D12DESB83,DECO12 Descriptor Buffer Word 83" hgroup.long 0x8CB50++0x03 hide.long 0x00 "D12DESB84,DECO12 Descriptor Buffer Word 84" hgroup.long 0x8CB54++0x03 hide.long 0x00 "D12DESB85,DECO12 Descriptor Buffer Word 85" hgroup.long 0x8CB58++0x03 hide.long 0x00 "D12DESB86,DECO12 Descriptor Buffer Word 86" hgroup.long 0x8CB5C++0x03 hide.long 0x00 "D12DESB87,DECO12 Descriptor Buffer Word 87" hgroup.long 0x8CB60++0x03 hide.long 0x00 "D12DESB88,DECO12 Descriptor Buffer Word 88" hgroup.long 0x8CB64++0x03 hide.long 0x00 "D12DESB89,DECO12 Descriptor Buffer Word 89" hgroup.long 0x8CB68++0x03 hide.long 0x00 "D12DESB90,DECO12 Descriptor Buffer Word 90" hgroup.long 0x8CB6C++0x03 hide.long 0x00 "D12DESB91,DECO12 Descriptor Buffer Word 91" hgroup.long 0x8CB70++0x03 hide.long 0x00 "D12DESB92,DECO12 Descriptor Buffer Word 92" hgroup.long 0x8CB74++0x03 hide.long 0x00 "D12DESB93,DECO12 Descriptor Buffer Word 93" hgroup.long 0x8CB78++0x03 hide.long 0x00 "D12DESB94,DECO12 Descriptor Buffer Word 94" hgroup.long 0x8CB7C++0x03 hide.long 0x00 "D12DESB95,DECO12 Descriptor Buffer Word 95" hgroup.long 0x8CB80++0x03 hide.long 0x00 "D12DESB96,DECO12 Descriptor Buffer Word 96" hgroup.long 0x8CB84++0x03 hide.long 0x00 "D12DESB97,DECO12 Descriptor Buffer Word 97" hgroup.long 0x8CB88++0x03 hide.long 0x00 "D12DESB98,DECO12 Descriptor Buffer Word 98" hgroup.long 0x8CB8C++0x03 hide.long 0x00 "D12DESB99,DECO12 Descriptor Buffer Word 99" hgroup.long 0x8CB90++0x03 hide.long 0x00 "D12DESB100,DECO12 Descriptor Buffer Word 100" hgroup.long 0x8CB94++0x03 hide.long 0x00 "D12DESB101,DECO12 Descriptor Buffer Word 101" hgroup.long 0x8CB98++0x03 hide.long 0x00 "D12DESB102,DECO12 Descriptor Buffer Word 102" hgroup.long 0x8CB9C++0x03 hide.long 0x00 "D12DESB103,DECO12 Descriptor Buffer Word 103" hgroup.long 0x8CBA0++0x03 hide.long 0x00 "D12DESB104,DECO12 Descriptor Buffer Word 104" hgroup.long 0x8CBA4++0x03 hide.long 0x00 "D12DESB105,DECO12 Descriptor Buffer Word 105" hgroup.long 0x8CBA8++0x03 hide.long 0x00 "D12DESB106,DECO12 Descriptor Buffer Word 106" hgroup.long 0x8CBAC++0x03 hide.long 0x00 "D12DESB107,DECO12 Descriptor Buffer Word 107" hgroup.long 0x8CBB0++0x03 hide.long 0x00 "D12DESB108,DECO12 Descriptor Buffer Word 108" hgroup.long 0x8CBB4++0x03 hide.long 0x00 "D12DESB109,DECO12 Descriptor Buffer Word 109" hgroup.long 0x8CBB8++0x03 hide.long 0x00 "D12DESB110,DECO12 Descriptor Buffer Word 110" hgroup.long 0x8CBBC++0x03 hide.long 0x00 "D12DESB111,DECO12 Descriptor Buffer Word 111" hgroup.long 0x8CBC0++0x03 hide.long 0x00 "D12DESB112,DECO12 Descriptor Buffer Word 112" hgroup.long 0x8CBC4++0x03 hide.long 0x00 "D12DESB113,DECO12 Descriptor Buffer Word 113" hgroup.long 0x8CBC8++0x03 hide.long 0x00 "D12DESB114,DECO12 Descriptor Buffer Word 114" hgroup.long 0x8CBCC++0x03 hide.long 0x00 "D12DESB115,DECO12 Descriptor Buffer Word 115" hgroup.long 0x8CBD0++0x03 hide.long 0x00 "D12DESB116,DECO12 Descriptor Buffer Word 116" hgroup.long 0x8CBD4++0x03 hide.long 0x00 "D12DESB117,DECO12 Descriptor Buffer Word 117" hgroup.long 0x8CBD8++0x03 hide.long 0x00 "D12DESB118,DECO12 Descriptor Buffer Word 118" hgroup.long 0x8CBDC++0x03 hide.long 0x00 "D12DESB119,DECO12 Descriptor Buffer Word 119" hgroup.long 0x8CBE0++0x03 hide.long 0x00 "D12DESB120,DECO12 Descriptor Buffer Word 120" hgroup.long 0x8CBE4++0x03 hide.long 0x00 "D12DESB121,DECO12 Descriptor Buffer Word 121" hgroup.long 0x8CBE8++0x03 hide.long 0x00 "D12DESB122,DECO12 Descriptor Buffer Word 122" hgroup.long 0x8CBEC++0x03 hide.long 0x00 "D12DESB123,DECO12 Descriptor Buffer Word 123" hgroup.long 0x8CBF0++0x03 hide.long 0x00 "D12DESB124,DECO12 Descriptor Buffer Word 124" hgroup.long 0x8CBF4++0x03 hide.long 0x00 "D12DESB125,DECO12 Descriptor Buffer Word 125" hgroup.long 0x8CBF8++0x03 hide.long 0x00 "D12DESB126,DECO12 Descriptor Buffer Word 126" hgroup.long 0x8CBFC++0x03 hide.long 0x00 "D12DESB127,DECO12 Descriptor Buffer Word 127" hgroup.long 0x8DA00++0x03 hide.long 0x00 "D13DESB0,DECO13 Descriptor Buffer Word 0" hgroup.long 0x8DA04++0x03 hide.long 0x00 "D13DESB1,DECO13 Descriptor Buffer Word 1" hgroup.long 0x8DA08++0x03 hide.long 0x00 "D13DESB2,DECO13 Descriptor Buffer Word 2" hgroup.long 0x8DA0C++0x03 hide.long 0x00 "D13DESB3,DECO13 Descriptor Buffer Word 3" hgroup.long 0x8DA10++0x03 hide.long 0x00 "D13DESB4,DECO13 Descriptor Buffer Word 4" hgroup.long 0x8DA14++0x03 hide.long 0x00 "D13DESB5,DECO13 Descriptor Buffer Word 5" hgroup.long 0x8DA18++0x03 hide.long 0x00 "D13DESB6,DECO13 Descriptor Buffer Word 6" hgroup.long 0x8DA1C++0x03 hide.long 0x00 "D13DESB7,DECO13 Descriptor Buffer Word 7" hgroup.long 0x8DA20++0x03 hide.long 0x00 "D13DESB8,DECO13 Descriptor Buffer Word 8" hgroup.long 0x8DA24++0x03 hide.long 0x00 "D13DESB9,DECO13 Descriptor Buffer Word 9" hgroup.long 0x8DA28++0x03 hide.long 0x00 "D13DESB10,DECO13 Descriptor Buffer Word 10" hgroup.long 0x8DA2C++0x03 hide.long 0x00 "D13DESB11,DECO13 Descriptor Buffer Word 11" hgroup.long 0x8DA30++0x03 hide.long 0x00 "D13DESB12,DECO13 Descriptor Buffer Word 12" hgroup.long 0x8DA34++0x03 hide.long 0x00 "D13DESB13,DECO13 Descriptor Buffer Word 13" hgroup.long 0x8DA38++0x03 hide.long 0x00 "D13DESB14,DECO13 Descriptor Buffer Word 14" hgroup.long 0x8DA3C++0x03 hide.long 0x00 "D13DESB15,DECO13 Descriptor Buffer Word 15" hgroup.long 0x8DA40++0x03 hide.long 0x00 "D13DESB16,DECO13 Descriptor Buffer Word 16" hgroup.long 0x8DA44++0x03 hide.long 0x00 "D13DESB17,DECO13 Descriptor Buffer Word 17" hgroup.long 0x8DA48++0x03 hide.long 0x00 "D13DESB18,DECO13 Descriptor Buffer Word 18" hgroup.long 0x8DA4C++0x03 hide.long 0x00 "D13DESB19,DECO13 Descriptor Buffer Word 19" hgroup.long 0x8DA50++0x03 hide.long 0x00 "D13DESB20,DECO13 Descriptor Buffer Word 20" hgroup.long 0x8DA54++0x03 hide.long 0x00 "D13DESB21,DECO13 Descriptor Buffer Word 21" hgroup.long 0x8DA58++0x03 hide.long 0x00 "D13DESB22,DECO13 Descriptor Buffer Word 22" hgroup.long 0x8DA5C++0x03 hide.long 0x00 "D13DESB23,DECO13 Descriptor Buffer Word 23" hgroup.long 0x8DA60++0x03 hide.long 0x00 "D13DESB24,DECO13 Descriptor Buffer Word 24" hgroup.long 0x8DA64++0x03 hide.long 0x00 "D13DESB25,DECO13 Descriptor Buffer Word 25" hgroup.long 0x8DA68++0x03 hide.long 0x00 "D13DESB26,DECO13 Descriptor Buffer Word 26" hgroup.long 0x8DA6C++0x03 hide.long 0x00 "D13DESB27,DECO13 Descriptor Buffer Word 27" hgroup.long 0x8DA70++0x03 hide.long 0x00 "D13DESB28,DECO13 Descriptor Buffer Word 28" hgroup.long 0x8DA74++0x03 hide.long 0x00 "D13DESB29,DECO13 Descriptor Buffer Word 29" hgroup.long 0x8DA78++0x03 hide.long 0x00 "D13DESB30,DECO13 Descriptor Buffer Word 30" hgroup.long 0x8DA7C++0x03 hide.long 0x00 "D13DESB31,DECO13 Descriptor Buffer Word 31" hgroup.long 0x8DA80++0x03 hide.long 0x00 "D13DESB32,DECO13 Descriptor Buffer Word 32" hgroup.long 0x8DA84++0x03 hide.long 0x00 "D13DESB33,DECO13 Descriptor Buffer Word 33" hgroup.long 0x8DA88++0x03 hide.long 0x00 "D13DESB34,DECO13 Descriptor Buffer Word 34" hgroup.long 0x8DA8C++0x03 hide.long 0x00 "D13DESB35,DECO13 Descriptor Buffer Word 35" hgroup.long 0x8DA90++0x03 hide.long 0x00 "D13DESB36,DECO13 Descriptor Buffer Word 36" hgroup.long 0x8DA94++0x03 hide.long 0x00 "D13DESB37,DECO13 Descriptor Buffer Word 37" hgroup.long 0x8DA98++0x03 hide.long 0x00 "D13DESB38,DECO13 Descriptor Buffer Word 38" hgroup.long 0x8DA9C++0x03 hide.long 0x00 "D13DESB39,DECO13 Descriptor Buffer Word 39" hgroup.long 0x8DAA0++0x03 hide.long 0x00 "D13DESB40,DECO13 Descriptor Buffer Word 40" hgroup.long 0x8DAA4++0x03 hide.long 0x00 "D13DESB41,DECO13 Descriptor Buffer Word 41" hgroup.long 0x8DAA8++0x03 hide.long 0x00 "D13DESB42,DECO13 Descriptor Buffer Word 42" hgroup.long 0x8DAAC++0x03 hide.long 0x00 "D13DESB43,DECO13 Descriptor Buffer Word 43" hgroup.long 0x8DAB0++0x03 hide.long 0x00 "D13DESB44,DECO13 Descriptor Buffer Word 44" hgroup.long 0x8DAB4++0x03 hide.long 0x00 "D13DESB45,DECO13 Descriptor Buffer Word 45" hgroup.long 0x8DAB8++0x03 hide.long 0x00 "D13DESB46,DECO13 Descriptor Buffer Word 46" hgroup.long 0x8DABC++0x03 hide.long 0x00 "D13DESB47,DECO13 Descriptor Buffer Word 47" hgroup.long 0x8DAC0++0x03 hide.long 0x00 "D13DESB48,DECO13 Descriptor Buffer Word 48" hgroup.long 0x8DAC4++0x03 hide.long 0x00 "D13DESB49,DECO13 Descriptor Buffer Word 49" hgroup.long 0x8DAC8++0x03 hide.long 0x00 "D13DESB50,DECO13 Descriptor Buffer Word 50" hgroup.long 0x8DACC++0x03 hide.long 0x00 "D13DESB51,DECO13 Descriptor Buffer Word 51" hgroup.long 0x8DAD0++0x03 hide.long 0x00 "D13DESB52,DECO13 Descriptor Buffer Word 52" hgroup.long 0x8DAD4++0x03 hide.long 0x00 "D13DESB53,DECO13 Descriptor Buffer Word 53" hgroup.long 0x8DAD8++0x03 hide.long 0x00 "D13DESB54,DECO13 Descriptor Buffer Word 54" hgroup.long 0x8DADC++0x03 hide.long 0x00 "D13DESB55,DECO13 Descriptor Buffer Word 55" hgroup.long 0x8DAE0++0x03 hide.long 0x00 "D13DESB56,DECO13 Descriptor Buffer Word 56" hgroup.long 0x8DAE4++0x03 hide.long 0x00 "D13DESB57,DECO13 Descriptor Buffer Word 57" hgroup.long 0x8DAE8++0x03 hide.long 0x00 "D13DESB58,DECO13 Descriptor Buffer Word 58" hgroup.long 0x8DAEC++0x03 hide.long 0x00 "D13DESB59,DECO13 Descriptor Buffer Word 59" hgroup.long 0x8DAF0++0x03 hide.long 0x00 "D13DESB60,DECO13 Descriptor Buffer Word 60" hgroup.long 0x8DAF4++0x03 hide.long 0x00 "D13DESB61,DECO13 Descriptor Buffer Word 61" hgroup.long 0x8DAF8++0x03 hide.long 0x00 "D13DESB62,DECO13 Descriptor Buffer Word 62" hgroup.long 0x8DAFC++0x03 hide.long 0x00 "D13DESB63,DECO13 Descriptor Buffer Word 63" hgroup.long 0x8DB00++0x03 hide.long 0x00 "D13DESB64,DECO13 Descriptor Buffer Word 64" hgroup.long 0x8DB04++0x03 hide.long 0x00 "D13DESB65,DECO13 Descriptor Buffer Word 65" hgroup.long 0x8DB08++0x03 hide.long 0x00 "D13DESB66,DECO13 Descriptor Buffer Word 66" hgroup.long 0x8DB0C++0x03 hide.long 0x00 "D13DESB67,DECO13 Descriptor Buffer Word 67" hgroup.long 0x8DB10++0x03 hide.long 0x00 "D13DESB68,DECO13 Descriptor Buffer Word 68" hgroup.long 0x8DB14++0x03 hide.long 0x00 "D13DESB69,DECO13 Descriptor Buffer Word 69" hgroup.long 0x8DB18++0x03 hide.long 0x00 "D13DESB70,DECO13 Descriptor Buffer Word 70" hgroup.long 0x8DB1C++0x03 hide.long 0x00 "D13DESB71,DECO13 Descriptor Buffer Word 71" hgroup.long 0x8DB20++0x03 hide.long 0x00 "D13DESB72,DECO13 Descriptor Buffer Word 72" hgroup.long 0x8DB24++0x03 hide.long 0x00 "D13DESB73,DECO13 Descriptor Buffer Word 73" hgroup.long 0x8DB28++0x03 hide.long 0x00 "D13DESB74,DECO13 Descriptor Buffer Word 74" hgroup.long 0x8DB2C++0x03 hide.long 0x00 "D13DESB75,DECO13 Descriptor Buffer Word 75" hgroup.long 0x8DB30++0x03 hide.long 0x00 "D13DESB76,DECO13 Descriptor Buffer Word 76" hgroup.long 0x8DB34++0x03 hide.long 0x00 "D13DESB77,DECO13 Descriptor Buffer Word 77" hgroup.long 0x8DB38++0x03 hide.long 0x00 "D13DESB78,DECO13 Descriptor Buffer Word 78" hgroup.long 0x8DB3C++0x03 hide.long 0x00 "D13DESB79,DECO13 Descriptor Buffer Word 79" hgroup.long 0x8DB40++0x03 hide.long 0x00 "D13DESB80,DECO13 Descriptor Buffer Word 80" hgroup.long 0x8DB44++0x03 hide.long 0x00 "D13DESB81,DECO13 Descriptor Buffer Word 81" hgroup.long 0x8DB48++0x03 hide.long 0x00 "D13DESB82,DECO13 Descriptor Buffer Word 82" hgroup.long 0x8DB4C++0x03 hide.long 0x00 "D13DESB83,DECO13 Descriptor Buffer Word 83" hgroup.long 0x8DB50++0x03 hide.long 0x00 "D13DESB84,DECO13 Descriptor Buffer Word 84" hgroup.long 0x8DB54++0x03 hide.long 0x00 "D13DESB85,DECO13 Descriptor Buffer Word 85" hgroup.long 0x8DB58++0x03 hide.long 0x00 "D13DESB86,DECO13 Descriptor Buffer Word 86" hgroup.long 0x8DB5C++0x03 hide.long 0x00 "D13DESB87,DECO13 Descriptor Buffer Word 87" hgroup.long 0x8DB60++0x03 hide.long 0x00 "D13DESB88,DECO13 Descriptor Buffer Word 88" hgroup.long 0x8DB64++0x03 hide.long 0x00 "D13DESB89,DECO13 Descriptor Buffer Word 89" hgroup.long 0x8DB68++0x03 hide.long 0x00 "D13DESB90,DECO13 Descriptor Buffer Word 90" hgroup.long 0x8DB6C++0x03 hide.long 0x00 "D13DESB91,DECO13 Descriptor Buffer Word 91" hgroup.long 0x8DB70++0x03 hide.long 0x00 "D13DESB92,DECO13 Descriptor Buffer Word 92" hgroup.long 0x8DB74++0x03 hide.long 0x00 "D13DESB93,DECO13 Descriptor Buffer Word 93" hgroup.long 0x8DB78++0x03 hide.long 0x00 "D13DESB94,DECO13 Descriptor Buffer Word 94" hgroup.long 0x8DB7C++0x03 hide.long 0x00 "D13DESB95,DECO13 Descriptor Buffer Word 95" hgroup.long 0x8DB80++0x03 hide.long 0x00 "D13DESB96,DECO13 Descriptor Buffer Word 96" hgroup.long 0x8DB84++0x03 hide.long 0x00 "D13DESB97,DECO13 Descriptor Buffer Word 97" hgroup.long 0x8DB88++0x03 hide.long 0x00 "D13DESB98,DECO13 Descriptor Buffer Word 98" hgroup.long 0x8DB8C++0x03 hide.long 0x00 "D13DESB99,DECO13 Descriptor Buffer Word 99" hgroup.long 0x8DB90++0x03 hide.long 0x00 "D13DESB100,DECO13 Descriptor Buffer Word 100" hgroup.long 0x8DB94++0x03 hide.long 0x00 "D13DESB101,DECO13 Descriptor Buffer Word 101" hgroup.long 0x8DB98++0x03 hide.long 0x00 "D13DESB102,DECO13 Descriptor Buffer Word 102" hgroup.long 0x8DB9C++0x03 hide.long 0x00 "D13DESB103,DECO13 Descriptor Buffer Word 103" hgroup.long 0x8DBA0++0x03 hide.long 0x00 "D13DESB104,DECO13 Descriptor Buffer Word 104" hgroup.long 0x8DBA4++0x03 hide.long 0x00 "D13DESB105,DECO13 Descriptor Buffer Word 105" hgroup.long 0x8DBA8++0x03 hide.long 0x00 "D13DESB106,DECO13 Descriptor Buffer Word 106" hgroup.long 0x8DBAC++0x03 hide.long 0x00 "D13DESB107,DECO13 Descriptor Buffer Word 107" hgroup.long 0x8DBB0++0x03 hide.long 0x00 "D13DESB108,DECO13 Descriptor Buffer Word 108" hgroup.long 0x8DBB4++0x03 hide.long 0x00 "D13DESB109,DECO13 Descriptor Buffer Word 109" hgroup.long 0x8DBB8++0x03 hide.long 0x00 "D13DESB110,DECO13 Descriptor Buffer Word 110" hgroup.long 0x8DBBC++0x03 hide.long 0x00 "D13DESB111,DECO13 Descriptor Buffer Word 111" hgroup.long 0x8DBC0++0x03 hide.long 0x00 "D13DESB112,DECO13 Descriptor Buffer Word 112" hgroup.long 0x8DBC4++0x03 hide.long 0x00 "D13DESB113,DECO13 Descriptor Buffer Word 113" hgroup.long 0x8DBC8++0x03 hide.long 0x00 "D13DESB114,DECO13 Descriptor Buffer Word 114" hgroup.long 0x8DBCC++0x03 hide.long 0x00 "D13DESB115,DECO13 Descriptor Buffer Word 115" hgroup.long 0x8DBD0++0x03 hide.long 0x00 "D13DESB116,DECO13 Descriptor Buffer Word 116" hgroup.long 0x8DBD4++0x03 hide.long 0x00 "D13DESB117,DECO13 Descriptor Buffer Word 117" hgroup.long 0x8DBD8++0x03 hide.long 0x00 "D13DESB118,DECO13 Descriptor Buffer Word 118" hgroup.long 0x8DBDC++0x03 hide.long 0x00 "D13DESB119,DECO13 Descriptor Buffer Word 119" hgroup.long 0x8DBE0++0x03 hide.long 0x00 "D13DESB120,DECO13 Descriptor Buffer Word 120" hgroup.long 0x8DBE4++0x03 hide.long 0x00 "D13DESB121,DECO13 Descriptor Buffer Word 121" hgroup.long 0x8DBE8++0x03 hide.long 0x00 "D13DESB122,DECO13 Descriptor Buffer Word 122" hgroup.long 0x8DBEC++0x03 hide.long 0x00 "D13DESB123,DECO13 Descriptor Buffer Word 123" hgroup.long 0x8DBF0++0x03 hide.long 0x00 "D13DESB124,DECO13 Descriptor Buffer Word 124" hgroup.long 0x8DBF4++0x03 hide.long 0x00 "D13DESB125,DECO13 Descriptor Buffer Word 125" hgroup.long 0x8DBF8++0x03 hide.long 0x00 "D13DESB126,DECO13 Descriptor Buffer Word 126" hgroup.long 0x8DBFC++0x03 hide.long 0x00 "D13DESB127,DECO13 Descriptor Buffer Word 127" hgroup.long 0x8EA00++0x03 hide.long 0x00 "D14DESB0,DECO14 Descriptor Buffer Word 0" hgroup.long 0x8EA04++0x03 hide.long 0x00 "D14DESB1,DECO14 Descriptor Buffer Word 1" hgroup.long 0x8EA08++0x03 hide.long 0x00 "D14DESB2,DECO14 Descriptor Buffer Word 2" hgroup.long 0x8EA0C++0x03 hide.long 0x00 "D14DESB3,DECO14 Descriptor Buffer Word 3" hgroup.long 0x8EA10++0x03 hide.long 0x00 "D14DESB4,DECO14 Descriptor Buffer Word 4" hgroup.long 0x8EA14++0x03 hide.long 0x00 "D14DESB5,DECO14 Descriptor Buffer Word 5" hgroup.long 0x8EA18++0x03 hide.long 0x00 "D14DESB6,DECO14 Descriptor Buffer Word 6" hgroup.long 0x8EA1C++0x03 hide.long 0x00 "D14DESB7,DECO14 Descriptor Buffer Word 7" hgroup.long 0x8EA20++0x03 hide.long 0x00 "D14DESB8,DECO14 Descriptor Buffer Word 8" hgroup.long 0x8EA24++0x03 hide.long 0x00 "D14DESB9,DECO14 Descriptor Buffer Word 9" hgroup.long 0x8EA28++0x03 hide.long 0x00 "D14DESB10,DECO14 Descriptor Buffer Word 10" hgroup.long 0x8EA2C++0x03 hide.long 0x00 "D14DESB11,DECO14 Descriptor Buffer Word 11" hgroup.long 0x8EA30++0x03 hide.long 0x00 "D14DESB12,DECO14 Descriptor Buffer Word 12" hgroup.long 0x8EA34++0x03 hide.long 0x00 "D14DESB13,DECO14 Descriptor Buffer Word 13" hgroup.long 0x8EA38++0x03 hide.long 0x00 "D14DESB14,DECO14 Descriptor Buffer Word 14" hgroup.long 0x8EA3C++0x03 hide.long 0x00 "D14DESB15,DECO14 Descriptor Buffer Word 15" hgroup.long 0x8EA40++0x03 hide.long 0x00 "D14DESB16,DECO14 Descriptor Buffer Word 16" hgroup.long 0x8EA44++0x03 hide.long 0x00 "D14DESB17,DECO14 Descriptor Buffer Word 17" hgroup.long 0x8EA48++0x03 hide.long 0x00 "D14DESB18,DECO14 Descriptor Buffer Word 18" hgroup.long 0x8EA4C++0x03 hide.long 0x00 "D14DESB19,DECO14 Descriptor Buffer Word 19" hgroup.long 0x8EA50++0x03 hide.long 0x00 "D14DESB20,DECO14 Descriptor Buffer Word 20" hgroup.long 0x8EA54++0x03 hide.long 0x00 "D14DESB21,DECO14 Descriptor Buffer Word 21" hgroup.long 0x8EA58++0x03 hide.long 0x00 "D14DESB22,DECO14 Descriptor Buffer Word 22" hgroup.long 0x8EA5C++0x03 hide.long 0x00 "D14DESB23,DECO14 Descriptor Buffer Word 23" hgroup.long 0x8EA60++0x03 hide.long 0x00 "D14DESB24,DECO14 Descriptor Buffer Word 24" hgroup.long 0x8EA64++0x03 hide.long 0x00 "D14DESB25,DECO14 Descriptor Buffer Word 25" hgroup.long 0x8EA68++0x03 hide.long 0x00 "D14DESB26,DECO14 Descriptor Buffer Word 26" hgroup.long 0x8EA6C++0x03 hide.long 0x00 "D14DESB27,DECO14 Descriptor Buffer Word 27" hgroup.long 0x8EA70++0x03 hide.long 0x00 "D14DESB28,DECO14 Descriptor Buffer Word 28" hgroup.long 0x8EA74++0x03 hide.long 0x00 "D14DESB29,DECO14 Descriptor Buffer Word 29" hgroup.long 0x8EA78++0x03 hide.long 0x00 "D14DESB30,DECO14 Descriptor Buffer Word 30" hgroup.long 0x8EA7C++0x03 hide.long 0x00 "D14DESB31,DECO14 Descriptor Buffer Word 31" hgroup.long 0x8EA80++0x03 hide.long 0x00 "D14DESB32,DECO14 Descriptor Buffer Word 32" hgroup.long 0x8EA84++0x03 hide.long 0x00 "D14DESB33,DECO14 Descriptor Buffer Word 33" hgroup.long 0x8EA88++0x03 hide.long 0x00 "D14DESB34,DECO14 Descriptor Buffer Word 34" hgroup.long 0x8EA8C++0x03 hide.long 0x00 "D14DESB35,DECO14 Descriptor Buffer Word 35" hgroup.long 0x8EA90++0x03 hide.long 0x00 "D14DESB36,DECO14 Descriptor Buffer Word 36" hgroup.long 0x8EA94++0x03 hide.long 0x00 "D14DESB37,DECO14 Descriptor Buffer Word 37" hgroup.long 0x8EA98++0x03 hide.long 0x00 "D14DESB38,DECO14 Descriptor Buffer Word 38" hgroup.long 0x8EA9C++0x03 hide.long 0x00 "D14DESB39,DECO14 Descriptor Buffer Word 39" hgroup.long 0x8EAA0++0x03 hide.long 0x00 "D14DESB40,DECO14 Descriptor Buffer Word 40" hgroup.long 0x8EAA4++0x03 hide.long 0x00 "D14DESB41,DECO14 Descriptor Buffer Word 41" hgroup.long 0x8EAA8++0x03 hide.long 0x00 "D14DESB42,DECO14 Descriptor Buffer Word 42" hgroup.long 0x8EAAC++0x03 hide.long 0x00 "D14DESB43,DECO14 Descriptor Buffer Word 43" hgroup.long 0x8EAB0++0x03 hide.long 0x00 "D14DESB44,DECO14 Descriptor Buffer Word 44" hgroup.long 0x8EAB4++0x03 hide.long 0x00 "D14DESB45,DECO14 Descriptor Buffer Word 45" hgroup.long 0x8EAB8++0x03 hide.long 0x00 "D14DESB46,DECO14 Descriptor Buffer Word 46" hgroup.long 0x8EABC++0x03 hide.long 0x00 "D14DESB47,DECO14 Descriptor Buffer Word 47" hgroup.long 0x8EAC0++0x03 hide.long 0x00 "D14DESB48,DECO14 Descriptor Buffer Word 48" hgroup.long 0x8EAC4++0x03 hide.long 0x00 "D14DESB49,DECO14 Descriptor Buffer Word 49" hgroup.long 0x8EAC8++0x03 hide.long 0x00 "D14DESB50,DECO14 Descriptor Buffer Word 50" hgroup.long 0x8EACC++0x03 hide.long 0x00 "D14DESB51,DECO14 Descriptor Buffer Word 51" hgroup.long 0x8EAD0++0x03 hide.long 0x00 "D14DESB52,DECO14 Descriptor Buffer Word 52" hgroup.long 0x8EAD4++0x03 hide.long 0x00 "D14DESB53,DECO14 Descriptor Buffer Word 53" hgroup.long 0x8EAD8++0x03 hide.long 0x00 "D14DESB54,DECO14 Descriptor Buffer Word 54" hgroup.long 0x8EADC++0x03 hide.long 0x00 "D14DESB55,DECO14 Descriptor Buffer Word 55" hgroup.long 0x8EAE0++0x03 hide.long 0x00 "D14DESB56,DECO14 Descriptor Buffer Word 56" hgroup.long 0x8EAE4++0x03 hide.long 0x00 "D14DESB57,DECO14 Descriptor Buffer Word 57" hgroup.long 0x8EAE8++0x03 hide.long 0x00 "D14DESB58,DECO14 Descriptor Buffer Word 58" hgroup.long 0x8EAEC++0x03 hide.long 0x00 "D14DESB59,DECO14 Descriptor Buffer Word 59" hgroup.long 0x8EAF0++0x03 hide.long 0x00 "D14DESB60,DECO14 Descriptor Buffer Word 60" hgroup.long 0x8EAF4++0x03 hide.long 0x00 "D14DESB61,DECO14 Descriptor Buffer Word 61" hgroup.long 0x8EAF8++0x03 hide.long 0x00 "D14DESB62,DECO14 Descriptor Buffer Word 62" hgroup.long 0x8EAFC++0x03 hide.long 0x00 "D14DESB63,DECO14 Descriptor Buffer Word 63" hgroup.long 0x8EB00++0x03 hide.long 0x00 "D14DESB64,DECO14 Descriptor Buffer Word 64" hgroup.long 0x8EB04++0x03 hide.long 0x00 "D14DESB65,DECO14 Descriptor Buffer Word 65" hgroup.long 0x8EB08++0x03 hide.long 0x00 "D14DESB66,DECO14 Descriptor Buffer Word 66" hgroup.long 0x8EB0C++0x03 hide.long 0x00 "D14DESB67,DECO14 Descriptor Buffer Word 67" hgroup.long 0x8EB10++0x03 hide.long 0x00 "D14DESB68,DECO14 Descriptor Buffer Word 68" hgroup.long 0x8EB14++0x03 hide.long 0x00 "D14DESB69,DECO14 Descriptor Buffer Word 69" hgroup.long 0x8EB18++0x03 hide.long 0x00 "D14DESB70,DECO14 Descriptor Buffer Word 70" hgroup.long 0x8EB1C++0x03 hide.long 0x00 "D14DESB71,DECO14 Descriptor Buffer Word 71" hgroup.long 0x8EB20++0x03 hide.long 0x00 "D14DESB72,DECO14 Descriptor Buffer Word 72" hgroup.long 0x8EB24++0x03 hide.long 0x00 "D14DESB73,DECO14 Descriptor Buffer Word 73" hgroup.long 0x8EB28++0x03 hide.long 0x00 "D14DESB74,DECO14 Descriptor Buffer Word 74" hgroup.long 0x8EB2C++0x03 hide.long 0x00 "D14DESB75,DECO14 Descriptor Buffer Word 75" hgroup.long 0x8EB30++0x03 hide.long 0x00 "D14DESB76,DECO14 Descriptor Buffer Word 76" hgroup.long 0x8EB34++0x03 hide.long 0x00 "D14DESB77,DECO14 Descriptor Buffer Word 77" hgroup.long 0x8EB38++0x03 hide.long 0x00 "D14DESB78,DECO14 Descriptor Buffer Word 78" hgroup.long 0x8EB3C++0x03 hide.long 0x00 "D14DESB79,DECO14 Descriptor Buffer Word 79" hgroup.long 0x8EB40++0x03 hide.long 0x00 "D14DESB80,DECO14 Descriptor Buffer Word 80" hgroup.long 0x8EB44++0x03 hide.long 0x00 "D14DESB81,DECO14 Descriptor Buffer Word 81" hgroup.long 0x8EB48++0x03 hide.long 0x00 "D14DESB82,DECO14 Descriptor Buffer Word 82" hgroup.long 0x8EB4C++0x03 hide.long 0x00 "D14DESB83,DECO14 Descriptor Buffer Word 83" hgroup.long 0x8EB50++0x03 hide.long 0x00 "D14DESB84,DECO14 Descriptor Buffer Word 84" hgroup.long 0x8EB54++0x03 hide.long 0x00 "D14DESB85,DECO14 Descriptor Buffer Word 85" hgroup.long 0x8EB58++0x03 hide.long 0x00 "D14DESB86,DECO14 Descriptor Buffer Word 86" hgroup.long 0x8EB5C++0x03 hide.long 0x00 "D14DESB87,DECO14 Descriptor Buffer Word 87" hgroup.long 0x8EB60++0x03 hide.long 0x00 "D14DESB88,DECO14 Descriptor Buffer Word 88" hgroup.long 0x8EB64++0x03 hide.long 0x00 "D14DESB89,DECO14 Descriptor Buffer Word 89" hgroup.long 0x8EB68++0x03 hide.long 0x00 "D14DESB90,DECO14 Descriptor Buffer Word 90" hgroup.long 0x8EB6C++0x03 hide.long 0x00 "D14DESB91,DECO14 Descriptor Buffer Word 91" hgroup.long 0x8EB70++0x03 hide.long 0x00 "D14DESB92,DECO14 Descriptor Buffer Word 92" hgroup.long 0x8EB74++0x03 hide.long 0x00 "D14DESB93,DECO14 Descriptor Buffer Word 93" hgroup.long 0x8EB78++0x03 hide.long 0x00 "D14DESB94,DECO14 Descriptor Buffer Word 94" hgroup.long 0x8EB7C++0x03 hide.long 0x00 "D14DESB95,DECO14 Descriptor Buffer Word 95" hgroup.long 0x8EB80++0x03 hide.long 0x00 "D14DESB96,DECO14 Descriptor Buffer Word 96" hgroup.long 0x8EB84++0x03 hide.long 0x00 "D14DESB97,DECO14 Descriptor Buffer Word 97" hgroup.long 0x8EB88++0x03 hide.long 0x00 "D14DESB98,DECO14 Descriptor Buffer Word 98" hgroup.long 0x8EB8C++0x03 hide.long 0x00 "D14DESB99,DECO14 Descriptor Buffer Word 99" hgroup.long 0x8EB90++0x03 hide.long 0x00 "D14DESB100,DECO14 Descriptor Buffer Word 100" hgroup.long 0x8EB94++0x03 hide.long 0x00 "D14DESB101,DECO14 Descriptor Buffer Word 101" hgroup.long 0x8EB98++0x03 hide.long 0x00 "D14DESB102,DECO14 Descriptor Buffer Word 102" hgroup.long 0x8EB9C++0x03 hide.long 0x00 "D14DESB103,DECO14 Descriptor Buffer Word 103" hgroup.long 0x8EBA0++0x03 hide.long 0x00 "D14DESB104,DECO14 Descriptor Buffer Word 104" hgroup.long 0x8EBA4++0x03 hide.long 0x00 "D14DESB105,DECO14 Descriptor Buffer Word 105" hgroup.long 0x8EBA8++0x03 hide.long 0x00 "D14DESB106,DECO14 Descriptor Buffer Word 106" hgroup.long 0x8EBAC++0x03 hide.long 0x00 "D14DESB107,DECO14 Descriptor Buffer Word 107" hgroup.long 0x8EBB0++0x03 hide.long 0x00 "D14DESB108,DECO14 Descriptor Buffer Word 108" hgroup.long 0x8EBB4++0x03 hide.long 0x00 "D14DESB109,DECO14 Descriptor Buffer Word 109" hgroup.long 0x8EBB8++0x03 hide.long 0x00 "D14DESB110,DECO14 Descriptor Buffer Word 110" hgroup.long 0x8EBBC++0x03 hide.long 0x00 "D14DESB111,DECO14 Descriptor Buffer Word 111" hgroup.long 0x8EBC0++0x03 hide.long 0x00 "D14DESB112,DECO14 Descriptor Buffer Word 112" hgroup.long 0x8EBC4++0x03 hide.long 0x00 "D14DESB113,DECO14 Descriptor Buffer Word 113" hgroup.long 0x8EBC8++0x03 hide.long 0x00 "D14DESB114,DECO14 Descriptor Buffer Word 114" hgroup.long 0x8EBCC++0x03 hide.long 0x00 "D14DESB115,DECO14 Descriptor Buffer Word 115" hgroup.long 0x8EBD0++0x03 hide.long 0x00 "D14DESB116,DECO14 Descriptor Buffer Word 116" hgroup.long 0x8EBD4++0x03 hide.long 0x00 "D14DESB117,DECO14 Descriptor Buffer Word 117" hgroup.long 0x8EBD8++0x03 hide.long 0x00 "D14DESB118,DECO14 Descriptor Buffer Word 118" hgroup.long 0x8EBDC++0x03 hide.long 0x00 "D14DESB119,DECO14 Descriptor Buffer Word 119" hgroup.long 0x8EBE0++0x03 hide.long 0x00 "D14DESB120,DECO14 Descriptor Buffer Word 120" hgroup.long 0x8EBE4++0x03 hide.long 0x00 "D14DESB121,DECO14 Descriptor Buffer Word 121" hgroup.long 0x8EBE8++0x03 hide.long 0x00 "D14DESB122,DECO14 Descriptor Buffer Word 122" hgroup.long 0x8EBEC++0x03 hide.long 0x00 "D14DESB123,DECO14 Descriptor Buffer Word 123" hgroup.long 0x8EBF0++0x03 hide.long 0x00 "D14DESB124,DECO14 Descriptor Buffer Word 124" hgroup.long 0x8EBF4++0x03 hide.long 0x00 "D14DESB125,DECO14 Descriptor Buffer Word 125" hgroup.long 0x8EBF8++0x03 hide.long 0x00 "D14DESB126,DECO14 Descriptor Buffer Word 126" hgroup.long 0x8EBFC++0x03 hide.long 0x00 "D14DESB127,DECO14 Descriptor Buffer Word 127" hgroup.long 0x8FA00++0x03 hide.long 0x00 "D15DESB0,DECO15 Descriptor Buffer Word 0" hgroup.long 0x8FA04++0x03 hide.long 0x00 "D15DESB1,DECO15 Descriptor Buffer Word 1" hgroup.long 0x8FA08++0x03 hide.long 0x00 "D15DESB2,DECO15 Descriptor Buffer Word 2" hgroup.long 0x8FA0C++0x03 hide.long 0x00 "D15DESB3,DECO15 Descriptor Buffer Word 3" hgroup.long 0x8FA10++0x03 hide.long 0x00 "D15DESB4,DECO15 Descriptor Buffer Word 4" hgroup.long 0x8FA14++0x03 hide.long 0x00 "D15DESB5,DECO15 Descriptor Buffer Word 5" hgroup.long 0x8FA18++0x03 hide.long 0x00 "D15DESB6,DECO15 Descriptor Buffer Word 6" hgroup.long 0x8FA1C++0x03 hide.long 0x00 "D15DESB7,DECO15 Descriptor Buffer Word 7" hgroup.long 0x8FA20++0x03 hide.long 0x00 "D15DESB8,DECO15 Descriptor Buffer Word 8" hgroup.long 0x8FA24++0x03 hide.long 0x00 "D15DESB9,DECO15 Descriptor Buffer Word 9" hgroup.long 0x8FA28++0x03 hide.long 0x00 "D15DESB10,DECO15 Descriptor Buffer Word 10" hgroup.long 0x8FA2C++0x03 hide.long 0x00 "D15DESB11,DECO15 Descriptor Buffer Word 11" hgroup.long 0x8FA30++0x03 hide.long 0x00 "D15DESB12,DECO15 Descriptor Buffer Word 12" hgroup.long 0x8FA34++0x03 hide.long 0x00 "D15DESB13,DECO15 Descriptor Buffer Word 13" hgroup.long 0x8FA38++0x03 hide.long 0x00 "D15DESB14,DECO15 Descriptor Buffer Word 14" hgroup.long 0x8FA3C++0x03 hide.long 0x00 "D15DESB15,DECO15 Descriptor Buffer Word 15" hgroup.long 0x8FA40++0x03 hide.long 0x00 "D15DESB16,DECO15 Descriptor Buffer Word 16" hgroup.long 0x8FA44++0x03 hide.long 0x00 "D15DESB17,DECO15 Descriptor Buffer Word 17" hgroup.long 0x8FA48++0x03 hide.long 0x00 "D15DESB18,DECO15 Descriptor Buffer Word 18" hgroup.long 0x8FA4C++0x03 hide.long 0x00 "D15DESB19,DECO15 Descriptor Buffer Word 19" hgroup.long 0x8FA50++0x03 hide.long 0x00 "D15DESB20,DECO15 Descriptor Buffer Word 20" hgroup.long 0x8FA54++0x03 hide.long 0x00 "D15DESB21,DECO15 Descriptor Buffer Word 21" hgroup.long 0x8FA58++0x03 hide.long 0x00 "D15DESB22,DECO15 Descriptor Buffer Word 22" hgroup.long 0x8FA5C++0x03 hide.long 0x00 "D15DESB23,DECO15 Descriptor Buffer Word 23" hgroup.long 0x8FA60++0x03 hide.long 0x00 "D15DESB24,DECO15 Descriptor Buffer Word 24" hgroup.long 0x8FA64++0x03 hide.long 0x00 "D15DESB25,DECO15 Descriptor Buffer Word 25" hgroup.long 0x8FA68++0x03 hide.long 0x00 "D15DESB26,DECO15 Descriptor Buffer Word 26" hgroup.long 0x8FA6C++0x03 hide.long 0x00 "D15DESB27,DECO15 Descriptor Buffer Word 27" hgroup.long 0x8FA70++0x03 hide.long 0x00 "D15DESB28,DECO15 Descriptor Buffer Word 28" hgroup.long 0x8FA74++0x03 hide.long 0x00 "D15DESB29,DECO15 Descriptor Buffer Word 29" hgroup.long 0x8FA78++0x03 hide.long 0x00 "D15DESB30,DECO15 Descriptor Buffer Word 30" hgroup.long 0x8FA7C++0x03 hide.long 0x00 "D15DESB31,DECO15 Descriptor Buffer Word 31" hgroup.long 0x8FA80++0x03 hide.long 0x00 "D15DESB32,DECO15 Descriptor Buffer Word 32" hgroup.long 0x8FA84++0x03 hide.long 0x00 "D15DESB33,DECO15 Descriptor Buffer Word 33" hgroup.long 0x8FA88++0x03 hide.long 0x00 "D15DESB34,DECO15 Descriptor Buffer Word 34" hgroup.long 0x8FA8C++0x03 hide.long 0x00 "D15DESB35,DECO15 Descriptor Buffer Word 35" hgroup.long 0x8FA90++0x03 hide.long 0x00 "D15DESB36,DECO15 Descriptor Buffer Word 36" hgroup.long 0x8FA94++0x03 hide.long 0x00 "D15DESB37,DECO15 Descriptor Buffer Word 37" hgroup.long 0x8FA98++0x03 hide.long 0x00 "D15DESB38,DECO15 Descriptor Buffer Word 38" hgroup.long 0x8FA9C++0x03 hide.long 0x00 "D15DESB39,DECO15 Descriptor Buffer Word 39" hgroup.long 0x8FAA0++0x03 hide.long 0x00 "D15DESB40,DECO15 Descriptor Buffer Word 40" hgroup.long 0x8FAA4++0x03 hide.long 0x00 "D15DESB41,DECO15 Descriptor Buffer Word 41" hgroup.long 0x8FAA8++0x03 hide.long 0x00 "D15DESB42,DECO15 Descriptor Buffer Word 42" hgroup.long 0x8FAAC++0x03 hide.long 0x00 "D15DESB43,DECO15 Descriptor Buffer Word 43" hgroup.long 0x8FAB0++0x03 hide.long 0x00 "D15DESB44,DECO15 Descriptor Buffer Word 44" hgroup.long 0x8FAB4++0x03 hide.long 0x00 "D15DESB45,DECO15 Descriptor Buffer Word 45" hgroup.long 0x8FAB8++0x03 hide.long 0x00 "D15DESB46,DECO15 Descriptor Buffer Word 46" hgroup.long 0x8FABC++0x03 hide.long 0x00 "D15DESB47,DECO15 Descriptor Buffer Word 47" hgroup.long 0x8FAC0++0x03 hide.long 0x00 "D15DESB48,DECO15 Descriptor Buffer Word 48" hgroup.long 0x8FAC4++0x03 hide.long 0x00 "D15DESB49,DECO15 Descriptor Buffer Word 49" hgroup.long 0x8FAC8++0x03 hide.long 0x00 "D15DESB50,DECO15 Descriptor Buffer Word 50" hgroup.long 0x8FACC++0x03 hide.long 0x00 "D15DESB51,DECO15 Descriptor Buffer Word 51" hgroup.long 0x8FAD0++0x03 hide.long 0x00 "D15DESB52,DECO15 Descriptor Buffer Word 52" hgroup.long 0x8FAD4++0x03 hide.long 0x00 "D15DESB53,DECO15 Descriptor Buffer Word 53" hgroup.long 0x8FAD8++0x03 hide.long 0x00 "D15DESB54,DECO15 Descriptor Buffer Word 54" hgroup.long 0x8FADC++0x03 hide.long 0x00 "D15DESB55,DECO15 Descriptor Buffer Word 55" hgroup.long 0x8FAE0++0x03 hide.long 0x00 "D15DESB56,DECO15 Descriptor Buffer Word 56" hgroup.long 0x8FAE4++0x03 hide.long 0x00 "D15DESB57,DECO15 Descriptor Buffer Word 57" hgroup.long 0x8FAE8++0x03 hide.long 0x00 "D15DESB58,DECO15 Descriptor Buffer Word 58" hgroup.long 0x8FAEC++0x03 hide.long 0x00 "D15DESB59,DECO15 Descriptor Buffer Word 59" hgroup.long 0x8FAF0++0x03 hide.long 0x00 "D15DESB60,DECO15 Descriptor Buffer Word 60" hgroup.long 0x8FAF4++0x03 hide.long 0x00 "D15DESB61,DECO15 Descriptor Buffer Word 61" hgroup.long 0x8FAF8++0x03 hide.long 0x00 "D15DESB62,DECO15 Descriptor Buffer Word 62" hgroup.long 0x8FAFC++0x03 hide.long 0x00 "D15DESB63,DECO15 Descriptor Buffer Word 63" hgroup.long 0x8FB00++0x03 hide.long 0x00 "D15DESB64,DECO15 Descriptor Buffer Word 64" hgroup.long 0x8FB04++0x03 hide.long 0x00 "D15DESB65,DECO15 Descriptor Buffer Word 65" hgroup.long 0x8FB08++0x03 hide.long 0x00 "D15DESB66,DECO15 Descriptor Buffer Word 66" hgroup.long 0x8FB0C++0x03 hide.long 0x00 "D15DESB67,DECO15 Descriptor Buffer Word 67" hgroup.long 0x8FB10++0x03 hide.long 0x00 "D15DESB68,DECO15 Descriptor Buffer Word 68" hgroup.long 0x8FB14++0x03 hide.long 0x00 "D15DESB69,DECO15 Descriptor Buffer Word 69" hgroup.long 0x8FB18++0x03 hide.long 0x00 "D15DESB70,DECO15 Descriptor Buffer Word 70" hgroup.long 0x8FB1C++0x03 hide.long 0x00 "D15DESB71,DECO15 Descriptor Buffer Word 71" hgroup.long 0x8FB20++0x03 hide.long 0x00 "D15DESB72,DECO15 Descriptor Buffer Word 72" hgroup.long 0x8FB24++0x03 hide.long 0x00 "D15DESB73,DECO15 Descriptor Buffer Word 73" hgroup.long 0x8FB28++0x03 hide.long 0x00 "D15DESB74,DECO15 Descriptor Buffer Word 74" hgroup.long 0x8FB2C++0x03 hide.long 0x00 "D15DESB75,DECO15 Descriptor Buffer Word 75" hgroup.long 0x8FB30++0x03 hide.long 0x00 "D15DESB76,DECO15 Descriptor Buffer Word 76" hgroup.long 0x8FB34++0x03 hide.long 0x00 "D15DESB77,DECO15 Descriptor Buffer Word 77" hgroup.long 0x8FB38++0x03 hide.long 0x00 "D15DESB78,DECO15 Descriptor Buffer Word 78" hgroup.long 0x8FB3C++0x03 hide.long 0x00 "D15DESB79,DECO15 Descriptor Buffer Word 79" hgroup.long 0x8FB40++0x03 hide.long 0x00 "D15DESB80,DECO15 Descriptor Buffer Word 80" hgroup.long 0x8FB44++0x03 hide.long 0x00 "D15DESB81,DECO15 Descriptor Buffer Word 81" hgroup.long 0x8FB48++0x03 hide.long 0x00 "D15DESB82,DECO15 Descriptor Buffer Word 82" hgroup.long 0x8FB4C++0x03 hide.long 0x00 "D15DESB83,DECO15 Descriptor Buffer Word 83" hgroup.long 0x8FB50++0x03 hide.long 0x00 "D15DESB84,DECO15 Descriptor Buffer Word 84" hgroup.long 0x8FB54++0x03 hide.long 0x00 "D15DESB85,DECO15 Descriptor Buffer Word 85" hgroup.long 0x8FB58++0x03 hide.long 0x00 "D15DESB86,DECO15 Descriptor Buffer Word 86" hgroup.long 0x8FB5C++0x03 hide.long 0x00 "D15DESB87,DECO15 Descriptor Buffer Word 87" hgroup.long 0x8FB60++0x03 hide.long 0x00 "D15DESB88,DECO15 Descriptor Buffer Word 88" hgroup.long 0x8FB64++0x03 hide.long 0x00 "D15DESB89,DECO15 Descriptor Buffer Word 89" hgroup.long 0x8FB68++0x03 hide.long 0x00 "D15DESB90,DECO15 Descriptor Buffer Word 90" hgroup.long 0x8FB6C++0x03 hide.long 0x00 "D15DESB91,DECO15 Descriptor Buffer Word 91" hgroup.long 0x8FB70++0x03 hide.long 0x00 "D15DESB92,DECO15 Descriptor Buffer Word 92" hgroup.long 0x8FB74++0x03 hide.long 0x00 "D15DESB93,DECO15 Descriptor Buffer Word 93" hgroup.long 0x8FB78++0x03 hide.long 0x00 "D15DESB94,DECO15 Descriptor Buffer Word 94" hgroup.long 0x8FB7C++0x03 hide.long 0x00 "D15DESB95,DECO15 Descriptor Buffer Word 95" hgroup.long 0x8FB80++0x03 hide.long 0x00 "D15DESB96,DECO15 Descriptor Buffer Word 96" hgroup.long 0x8FB84++0x03 hide.long 0x00 "D15DESB97,DECO15 Descriptor Buffer Word 97" hgroup.long 0x8FB88++0x03 hide.long 0x00 "D15DESB98,DECO15 Descriptor Buffer Word 98" hgroup.long 0x8FB8C++0x03 hide.long 0x00 "D15DESB99,DECO15 Descriptor Buffer Word 99" hgroup.long 0x8FB90++0x03 hide.long 0x00 "D15DESB100,DECO15 Descriptor Buffer Word 100" hgroup.long 0x8FB94++0x03 hide.long 0x00 "D15DESB101,DECO15 Descriptor Buffer Word 101" hgroup.long 0x8FB98++0x03 hide.long 0x00 "D15DESB102,DECO15 Descriptor Buffer Word 102" hgroup.long 0x8FB9C++0x03 hide.long 0x00 "D15DESB103,DECO15 Descriptor Buffer Word 103" hgroup.long 0x8FBA0++0x03 hide.long 0x00 "D15DESB104,DECO15 Descriptor Buffer Word 104" hgroup.long 0x8FBA4++0x03 hide.long 0x00 "D15DESB105,DECO15 Descriptor Buffer Word 105" hgroup.long 0x8FBA8++0x03 hide.long 0x00 "D15DESB106,DECO15 Descriptor Buffer Word 106" hgroup.long 0x8FBAC++0x03 hide.long 0x00 "D15DESB107,DECO15 Descriptor Buffer Word 107" hgroup.long 0x8FBB0++0x03 hide.long 0x00 "D15DESB108,DECO15 Descriptor Buffer Word 108" hgroup.long 0x8FBB4++0x03 hide.long 0x00 "D15DESB109,DECO15 Descriptor Buffer Word 109" hgroup.long 0x8FBB8++0x03 hide.long 0x00 "D15DESB110,DECO15 Descriptor Buffer Word 110" hgroup.long 0x8FBBC++0x03 hide.long 0x00 "D15DESB111,DECO15 Descriptor Buffer Word 111" hgroup.long 0x8FBC0++0x03 hide.long 0x00 "D15DESB112,DECO15 Descriptor Buffer Word 112" hgroup.long 0x8FBC4++0x03 hide.long 0x00 "D15DESB113,DECO15 Descriptor Buffer Word 113" hgroup.long 0x8FBC8++0x03 hide.long 0x00 "D15DESB114,DECO15 Descriptor Buffer Word 114" hgroup.long 0x8FBCC++0x03 hide.long 0x00 "D15DESB115,DECO15 Descriptor Buffer Word 115" hgroup.long 0x8FBD0++0x03 hide.long 0x00 "D15DESB116,DECO15 Descriptor Buffer Word 116" hgroup.long 0x8FBD4++0x03 hide.long 0x00 "D15DESB117,DECO15 Descriptor Buffer Word 117" hgroup.long 0x8FBD8++0x03 hide.long 0x00 "D15DESB118,DECO15 Descriptor Buffer Word 118" hgroup.long 0x8FBDC++0x03 hide.long 0x00 "D15DESB119,DECO15 Descriptor Buffer Word 119" hgroup.long 0x8FBE0++0x03 hide.long 0x00 "D15DESB120,DECO15 Descriptor Buffer Word 120" hgroup.long 0x8FBE4++0x03 hide.long 0x00 "D15DESB121,DECO15 Descriptor Buffer Word 121" hgroup.long 0x8FBE8++0x03 hide.long 0x00 "D15DESB122,DECO15 Descriptor Buffer Word 122" hgroup.long 0x8FBEC++0x03 hide.long 0x00 "D15DESB123,DECO15 Descriptor Buffer Word 123" hgroup.long 0x8FBF0++0x03 hide.long 0x00 "D15DESB124,DECO15 Descriptor Buffer Word 124" hgroup.long 0x8FBF4++0x03 hide.long 0x00 "D15DESB125,DECO15 Descriptor Buffer Word 125" hgroup.long 0x8FBF8++0x03 hide.long 0x00 "D15DESB126,DECO15 Descriptor Buffer Word 126" hgroup.long 0x8FBFC++0x03 hide.long 0x00 "D15DESB127,DECO15 Descriptor Buffer Word 127" rgroup.long 0x80E00++0x07 line.long 0x00 "D0DJR,DECO0 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D0DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x80E00+0x08)++0x0F line.quad 0x00 "D0DJP,DECO0 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D0SDP,DECO0 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x80E00+0x18)++0x07 line.long 0x00 "D0DIR_MS,DECO0 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D0DIR_LS,DECO0 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<0.)==(0x10001<<0.)) group.long (0x80E00+0x20)++0x1B line.long 0x00 "SOL0,Sequence Output Length Register 0" line.long 0x04 "VSOL0,Variable Sequence Output Length Register 0" line.long 0x08 "SIL0,Sequence Input Length Register 0" line.long 0x0C "VSIL0,Variable Sequence Input Length Register 0" line.long 0x10 "D0POVRD,Protocol Override Register 0" line.long 0x14 "UVSOL0,Variable Sequence Output Length Register 0" line.long 0x18 "IVSIL0,Variable Sequence Input Length Register 0" else hgroup.long (0x80E00+0x20)++0x03 hide.long 0x00 "SOL0,Sequence Output Length Register 0" hgroup.long (0x80E00+0x24)++0x03 hide.long 0x00 "VSOL0,Variable Sequence Output Length Register 0" hgroup.long (0x80E00+0x28)++0x03 hide.long 0x00 "SIL0,Sequence Input Length Register 0" hgroup.long (0x80E00+0x2C)++0x03 hide.long 0x00 "VSIL0,Variable Sequence Input Length Register 0" hgroup.long (0x80E00+0x30)++0x03 hide.long 0x00 "D0POVRD,Protocol Override Register 0" hgroup.long (0x80E00+0x34)++0x03 hide.long 0x00 "UVSOL0,Variable Sequence Output Length Register 0" hgroup.long (0x80E00+0x38)++0x03 hide.long 0x00 "IVSIL0,Variable Sequence Input Length Register 0" endif rgroup.long (0x80E00+0x3C)++0x07 line.long 0x00 "D0DER,DECO0 Debug Execution Register 0" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D0DPR,DECO0 Debug PDB Register 0" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x81E00++0x07 line.long 0x00 "D1DJR,DECO1 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D1DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x81E00+0x08)++0x0F line.quad 0x00 "D1DJP,DECO1 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D1SDP,DECO1 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x81E00+0x18)++0x07 line.long 0x00 "D1DIR_MS,DECO1 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D1DIR_LS,DECO1 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<1.)==(0x10001<<1.)) group.long (0x81E00+0x20)++0x1B line.long 0x00 "SOL1,Sequence Output Length Register 1" line.long 0x04 "VSOL1,Variable Sequence Output Length Register 1" line.long 0x08 "SIL1,Sequence Input Length Register 1" line.long 0x0C "VSIL1,Variable Sequence Input Length Register 1" line.long 0x10 "D1POVRD,Protocol Override Register 1" line.long 0x14 "UVSOL1,Variable Sequence Output Length Register 1" line.long 0x18 "IVSIL1,Variable Sequence Input Length Register 1" else hgroup.long (0x81E00+0x20)++0x03 hide.long 0x00 "SOL1,Sequence Output Length Register 1" hgroup.long (0x81E00+0x24)++0x03 hide.long 0x00 "VSOL1,Variable Sequence Output Length Register 1" hgroup.long (0x81E00+0x28)++0x03 hide.long 0x00 "SIL1,Sequence Input Length Register 1" hgroup.long (0x81E00+0x2C)++0x03 hide.long 0x00 "VSIL1,Variable Sequence Input Length Register 1" hgroup.long (0x81E00+0x30)++0x03 hide.long 0x00 "D1POVRD,Protocol Override Register 1" hgroup.long (0x81E00+0x34)++0x03 hide.long 0x00 "UVSOL1,Variable Sequence Output Length Register 1" hgroup.long (0x81E00+0x38)++0x03 hide.long 0x00 "IVSIL1,Variable Sequence Input Length Register 1" endif rgroup.long (0x81E00+0x3C)++0x07 line.long 0x00 "D1DER,DECO1 Debug Execution Register 1" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D1DPR,DECO1 Debug PDB Register 1" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x82E00++0x07 line.long 0x00 "D2DJR,DECO2 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D2DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x82E00+0x08)++0x0F line.quad 0x00 "D2DJP,DECO2 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D2SDP,DECO2 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x82E00+0x18)++0x07 line.long 0x00 "D2DIR_MS,DECO2 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D2DIR_LS,DECO2 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<2.)==(0x10001<<2.)) group.long (0x82E00+0x20)++0x1B line.long 0x00 "SOL2,Sequence Output Length Register 2" line.long 0x04 "VSOL2,Variable Sequence Output Length Register 2" line.long 0x08 "SIL2,Sequence Input Length Register 2" line.long 0x0C "VSIL2,Variable Sequence Input Length Register 2" line.long 0x10 "D2POVRD,Protocol Override Register 2" line.long 0x14 "UVSOL2,Variable Sequence Output Length Register 2" line.long 0x18 "IVSIL2,Variable Sequence Input Length Register 2" else hgroup.long (0x82E00+0x20)++0x03 hide.long 0x00 "SOL2,Sequence Output Length Register 2" hgroup.long (0x82E00+0x24)++0x03 hide.long 0x00 "VSOL2,Variable Sequence Output Length Register 2" hgroup.long (0x82E00+0x28)++0x03 hide.long 0x00 "SIL2,Sequence Input Length Register 2" hgroup.long (0x82E00+0x2C)++0x03 hide.long 0x00 "VSIL2,Variable Sequence Input Length Register 2" hgroup.long (0x82E00+0x30)++0x03 hide.long 0x00 "D2POVRD,Protocol Override Register 2" hgroup.long (0x82E00+0x34)++0x03 hide.long 0x00 "UVSOL2,Variable Sequence Output Length Register 2" hgroup.long (0x82E00+0x38)++0x03 hide.long 0x00 "IVSIL2,Variable Sequence Input Length Register 2" endif rgroup.long (0x82E00+0x3C)++0x07 line.long 0x00 "D2DER,DECO2 Debug Execution Register 2" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D2DPR,DECO2 Debug PDB Register 2" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x83E00++0x07 line.long 0x00 "D3DJR,DECO3 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D3DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x83E00+0x08)++0x0F line.quad 0x00 "D3DJP,DECO3 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D3SDP,DECO3 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x83E00+0x18)++0x07 line.long 0x00 "D3DIR_MS,DECO3 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D3DIR_LS,DECO3 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<3.)==(0x10001<<3.)) group.long (0x83E00+0x20)++0x1B line.long 0x00 "SOL3,Sequence Output Length Register 3" line.long 0x04 "VSOL3,Variable Sequence Output Length Register 3" line.long 0x08 "SIL3,Sequence Input Length Register 3" line.long 0x0C "VSIL3,Variable Sequence Input Length Register 3" line.long 0x10 "D3POVRD,Protocol Override Register 3" line.long 0x14 "UVSOL3,Variable Sequence Output Length Register 3" line.long 0x18 "IVSIL3,Variable Sequence Input Length Register 3" else hgroup.long (0x83E00+0x20)++0x03 hide.long 0x00 "SOL3,Sequence Output Length Register 3" hgroup.long (0x83E00+0x24)++0x03 hide.long 0x00 "VSOL3,Variable Sequence Output Length Register 3" hgroup.long (0x83E00+0x28)++0x03 hide.long 0x00 "SIL3,Sequence Input Length Register 3" hgroup.long (0x83E00+0x2C)++0x03 hide.long 0x00 "VSIL3,Variable Sequence Input Length Register 3" hgroup.long (0x83E00+0x30)++0x03 hide.long 0x00 "D3POVRD,Protocol Override Register 3" hgroup.long (0x83E00+0x34)++0x03 hide.long 0x00 "UVSOL3,Variable Sequence Output Length Register 3" hgroup.long (0x83E00+0x38)++0x03 hide.long 0x00 "IVSIL3,Variable Sequence Input Length Register 3" endif rgroup.long (0x83E00+0x3C)++0x07 line.long 0x00 "D3DER,DECO3 Debug Execution Register 3" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D3DPR,DECO3 Debug PDB Register 3" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x84E00++0x07 line.long 0x00 "D4DJR,DECO4 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D4DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x84E00+0x08)++0x0F line.quad 0x00 "D4DJP,DECO4 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D4SDP,DECO4 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x84E00+0x18)++0x07 line.long 0x00 "D4DIR_MS,DECO4 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D4DIR_LS,DECO4 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<4.)==(0x10001<<4.)) group.long (0x84E00+0x20)++0x1B line.long 0x00 "SOL4,Sequence Output Length Register 4" line.long 0x04 "VSOL4,Variable Sequence Output Length Register 4" line.long 0x08 "SIL4,Sequence Input Length Register 4" line.long 0x0C "VSIL4,Variable Sequence Input Length Register 4" line.long 0x10 "D4POVRD,Protocol Override Register 4" line.long 0x14 "UVSOL4,Variable Sequence Output Length Register 4" line.long 0x18 "IVSIL4,Variable Sequence Input Length Register 4" else hgroup.long (0x84E00+0x20)++0x03 hide.long 0x00 "SOL4,Sequence Output Length Register 4" hgroup.long (0x84E00+0x24)++0x03 hide.long 0x00 "VSOL4,Variable Sequence Output Length Register 4" hgroup.long (0x84E00+0x28)++0x03 hide.long 0x00 "SIL4,Sequence Input Length Register 4" hgroup.long (0x84E00+0x2C)++0x03 hide.long 0x00 "VSIL4,Variable Sequence Input Length Register 4" hgroup.long (0x84E00+0x30)++0x03 hide.long 0x00 "D4POVRD,Protocol Override Register 4" hgroup.long (0x84E00+0x34)++0x03 hide.long 0x00 "UVSOL4,Variable Sequence Output Length Register 4" hgroup.long (0x84E00+0x38)++0x03 hide.long 0x00 "IVSIL4,Variable Sequence Input Length Register 4" endif rgroup.long (0x84E00+0x3C)++0x07 line.long 0x00 "D4DER,DECO4 Debug Execution Register 4" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D4DPR,DECO4 Debug PDB Register 4" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x85E00++0x07 line.long 0x00 "D5DJR,DECO5 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D5DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x85E00+0x08)++0x0F line.quad 0x00 "D5DJP,DECO5 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D5SDP,DECO5 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x85E00+0x18)++0x07 line.long 0x00 "D5DIR_MS,DECO5 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D5DIR_LS,DECO5 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<5.)==(0x10001<<5.)) group.long (0x85E00+0x20)++0x1B line.long 0x00 "SOL5,Sequence Output Length Register 5" line.long 0x04 "VSOL5,Variable Sequence Output Length Register 5" line.long 0x08 "SIL5,Sequence Input Length Register 5" line.long 0x0C "VSIL5,Variable Sequence Input Length Register 5" line.long 0x10 "D5POVRD,Protocol Override Register 5" line.long 0x14 "UVSOL5,Variable Sequence Output Length Register 5" line.long 0x18 "IVSIL5,Variable Sequence Input Length Register 5" else hgroup.long (0x85E00+0x20)++0x03 hide.long 0x00 "SOL5,Sequence Output Length Register 5" hgroup.long (0x85E00+0x24)++0x03 hide.long 0x00 "VSOL5,Variable Sequence Output Length Register 5" hgroup.long (0x85E00+0x28)++0x03 hide.long 0x00 "SIL5,Sequence Input Length Register 5" hgroup.long (0x85E00+0x2C)++0x03 hide.long 0x00 "VSIL5,Variable Sequence Input Length Register 5" hgroup.long (0x85E00+0x30)++0x03 hide.long 0x00 "D5POVRD,Protocol Override Register 5" hgroup.long (0x85E00+0x34)++0x03 hide.long 0x00 "UVSOL5,Variable Sequence Output Length Register 5" hgroup.long (0x85E00+0x38)++0x03 hide.long 0x00 "IVSIL5,Variable Sequence Input Length Register 5" endif rgroup.long (0x85E00+0x3C)++0x07 line.long 0x00 "D5DER,DECO5 Debug Execution Register 5" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D5DPR,DECO5 Debug PDB Register 5" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x86E00++0x07 line.long 0x00 "D6DJR,DECO6 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D6DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x86E00+0x08)++0x0F line.quad 0x00 "D6DJP,DECO6 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D6SDP,DECO6 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x86E00+0x18)++0x07 line.long 0x00 "D6DIR_MS,DECO6 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D6DIR_LS,DECO6 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<6.)==(0x10001<<6.)) group.long (0x86E00+0x20)++0x1B line.long 0x00 "SOL6,Sequence Output Length Register 6" line.long 0x04 "VSOL6,Variable Sequence Output Length Register 6" line.long 0x08 "SIL6,Sequence Input Length Register 6" line.long 0x0C "VSIL6,Variable Sequence Input Length Register 6" line.long 0x10 "D6POVRD,Protocol Override Register 6" line.long 0x14 "UVSOL6,Variable Sequence Output Length Register 6" line.long 0x18 "IVSIL6,Variable Sequence Input Length Register 6" else hgroup.long (0x86E00+0x20)++0x03 hide.long 0x00 "SOL6,Sequence Output Length Register 6" hgroup.long (0x86E00+0x24)++0x03 hide.long 0x00 "VSOL6,Variable Sequence Output Length Register 6" hgroup.long (0x86E00+0x28)++0x03 hide.long 0x00 "SIL6,Sequence Input Length Register 6" hgroup.long (0x86E00+0x2C)++0x03 hide.long 0x00 "VSIL6,Variable Sequence Input Length Register 6" hgroup.long (0x86E00+0x30)++0x03 hide.long 0x00 "D6POVRD,Protocol Override Register 6" hgroup.long (0x86E00+0x34)++0x03 hide.long 0x00 "UVSOL6,Variable Sequence Output Length Register 6" hgroup.long (0x86E00+0x38)++0x03 hide.long 0x00 "IVSIL6,Variable Sequence Input Length Register 6" endif rgroup.long (0x86E00+0x3C)++0x07 line.long 0x00 "D6DER,DECO6 Debug Execution Register 6" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D6DPR,DECO6 Debug PDB Register 6" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x87E00++0x07 line.long 0x00 "D7DJR,DECO7 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D7DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x87E00+0x08)++0x0F line.quad 0x00 "D7DJP,DECO7 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D7SDP,DECO7 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x87E00+0x18)++0x07 line.long 0x00 "D7DIR_MS,DECO7 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D7DIR_LS,DECO7 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<7.)==(0x10001<<7.)) group.long (0x87E00+0x20)++0x1B line.long 0x00 "SOL7,Sequence Output Length Register 7" line.long 0x04 "VSOL7,Variable Sequence Output Length Register 7" line.long 0x08 "SIL7,Sequence Input Length Register 7" line.long 0x0C "VSIL7,Variable Sequence Input Length Register 7" line.long 0x10 "D7POVRD,Protocol Override Register 7" line.long 0x14 "UVSOL7,Variable Sequence Output Length Register 7" line.long 0x18 "IVSIL7,Variable Sequence Input Length Register 7" else hgroup.long (0x87E00+0x20)++0x03 hide.long 0x00 "SOL7,Sequence Output Length Register 7" hgroup.long (0x87E00+0x24)++0x03 hide.long 0x00 "VSOL7,Variable Sequence Output Length Register 7" hgroup.long (0x87E00+0x28)++0x03 hide.long 0x00 "SIL7,Sequence Input Length Register 7" hgroup.long (0x87E00+0x2C)++0x03 hide.long 0x00 "VSIL7,Variable Sequence Input Length Register 7" hgroup.long (0x87E00+0x30)++0x03 hide.long 0x00 "D7POVRD,Protocol Override Register 7" hgroup.long (0x87E00+0x34)++0x03 hide.long 0x00 "UVSOL7,Variable Sequence Output Length Register 7" hgroup.long (0x87E00+0x38)++0x03 hide.long 0x00 "IVSIL7,Variable Sequence Input Length Register 7" endif rgroup.long (0x87E00+0x3C)++0x07 line.long 0x00 "D7DER,DECO7 Debug Execution Register 7" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D7DPR,DECO7 Debug PDB Register 7" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x88E00++0x07 line.long 0x00 "D8DJR,DECO8 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D8DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x88E00+0x08)++0x0F line.quad 0x00 "D8DJP,DECO8 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D8SDP,DECO8 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x88E00+0x18)++0x07 line.long 0x00 "D8DIR_MS,DECO8 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D8DIR_LS,DECO8 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<8.)==(0x10001<<8.)) group.long (0x88E00+0x20)++0x1B line.long 0x00 "SOL8,Sequence Output Length Register 8" line.long 0x04 "VSOL8,Variable Sequence Output Length Register 8" line.long 0x08 "SIL8,Sequence Input Length Register 8" line.long 0x0C "VSIL8,Variable Sequence Input Length Register 8" line.long 0x10 "D8POVRD,Protocol Override Register 8" line.long 0x14 "UVSOL8,Variable Sequence Output Length Register 8" line.long 0x18 "IVSIL8,Variable Sequence Input Length Register 8" else hgroup.long (0x88E00+0x20)++0x03 hide.long 0x00 "SOL8,Sequence Output Length Register 8" hgroup.long (0x88E00+0x24)++0x03 hide.long 0x00 "VSOL8,Variable Sequence Output Length Register 8" hgroup.long (0x88E00+0x28)++0x03 hide.long 0x00 "SIL8,Sequence Input Length Register 8" hgroup.long (0x88E00+0x2C)++0x03 hide.long 0x00 "VSIL8,Variable Sequence Input Length Register 8" hgroup.long (0x88E00+0x30)++0x03 hide.long 0x00 "D8POVRD,Protocol Override Register 8" hgroup.long (0x88E00+0x34)++0x03 hide.long 0x00 "UVSOL8,Variable Sequence Output Length Register 8" hgroup.long (0x88E00+0x38)++0x03 hide.long 0x00 "IVSIL8,Variable Sequence Input Length Register 8" endif rgroup.long (0x88E00+0x3C)++0x07 line.long 0x00 "D8DER,DECO8 Debug Execution Register 8" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D8DPR,DECO8 Debug PDB Register 8" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x89E00++0x07 line.long 0x00 "D9DJR,DECO9 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D9DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x89E00+0x08)++0x0F line.quad 0x00 "D9DJP,DECO9 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D9SDP,DECO9 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x89E00+0x18)++0x07 line.long 0x00 "D9DIR_MS,DECO9 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D9DIR_LS,DECO9 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<9.)==(0x10001<<9.)) group.long (0x89E00+0x20)++0x1B line.long 0x00 "SOL9,Sequence Output Length Register 9" line.long 0x04 "VSOL9,Variable Sequence Output Length Register 9" line.long 0x08 "SIL9,Sequence Input Length Register 9" line.long 0x0C "VSIL9,Variable Sequence Input Length Register 9" line.long 0x10 "D9POVRD,Protocol Override Register 9" line.long 0x14 "UVSOL9,Variable Sequence Output Length Register 9" line.long 0x18 "IVSIL9,Variable Sequence Input Length Register 9" else hgroup.long (0x89E00+0x20)++0x03 hide.long 0x00 "SOL9,Sequence Output Length Register 9" hgroup.long (0x89E00+0x24)++0x03 hide.long 0x00 "VSOL9,Variable Sequence Output Length Register 9" hgroup.long (0x89E00+0x28)++0x03 hide.long 0x00 "SIL9,Sequence Input Length Register 9" hgroup.long (0x89E00+0x2C)++0x03 hide.long 0x00 "VSIL9,Variable Sequence Input Length Register 9" hgroup.long (0x89E00+0x30)++0x03 hide.long 0x00 "D9POVRD,Protocol Override Register 9" hgroup.long (0x89E00+0x34)++0x03 hide.long 0x00 "UVSOL9,Variable Sequence Output Length Register 9" hgroup.long (0x89E00+0x38)++0x03 hide.long 0x00 "IVSIL9,Variable Sequence Input Length Register 9" endif rgroup.long (0x89E00+0x3C)++0x07 line.long 0x00 "D9DER,DECO9 Debug Execution Register 9" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D9DPR,DECO9 Debug PDB Register 9" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x8AE00++0x07 line.long 0x00 "D10DJR,DECO10 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D10DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x8AE00+0x08)++0x0F line.quad 0x00 "D10DJP,DECO10 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D10SDP,DECO10 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x8AE00+0x18)++0x07 line.long 0x00 "D10DIR_MS,DECO10 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D10DIR_LS,DECO10 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<10.)==(0x10001<<10.)) group.long (0x8AE00+0x20)++0x1B line.long 0x00 "SOL10,Sequence Output Length Register 10" line.long 0x04 "VSOL10,Variable Sequence Output Length Register 10" line.long 0x08 "SIL10,Sequence Input Length Register 10" line.long 0x0C "VSIL10,Variable Sequence Input Length Register 10" line.long 0x10 "D10POVRD,Protocol Override Register 10" line.long 0x14 "UVSOL10,Variable Sequence Output Length Register 10" line.long 0x18 "IVSIL10,Variable Sequence Input Length Register 10" else hgroup.long (0x8AE00+0x20)++0x03 hide.long 0x00 "SOL10,Sequence Output Length Register 10" hgroup.long (0x8AE00+0x24)++0x03 hide.long 0x00 "VSOL10,Variable Sequence Output Length Register 10" hgroup.long (0x8AE00+0x28)++0x03 hide.long 0x00 "SIL10,Sequence Input Length Register 10" hgroup.long (0x8AE00+0x2C)++0x03 hide.long 0x00 "VSIL10,Variable Sequence Input Length Register 10" hgroup.long (0x8AE00+0x30)++0x03 hide.long 0x00 "D10POVRD,Protocol Override Register 10" hgroup.long (0x8AE00+0x34)++0x03 hide.long 0x00 "UVSOL10,Variable Sequence Output Length Register 10" hgroup.long (0x8AE00+0x38)++0x03 hide.long 0x00 "IVSIL10,Variable Sequence Input Length Register 10" endif rgroup.long (0x8AE00+0x3C)++0x07 line.long 0x00 "D10DER,DECO10 Debug Execution Register 10" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D10DPR,DECO10 Debug PDB Register 10" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x8BE00++0x07 line.long 0x00 "D11DJR,DECO11 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D11DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x8BE00+0x08)++0x0F line.quad 0x00 "D11DJP,DECO11 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D11SDP,DECO11 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x8BE00+0x18)++0x07 line.long 0x00 "D11DIR_MS,DECO11 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D11DIR_LS,DECO11 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<11.)==(0x10001<<11.)) group.long (0x8BE00+0x20)++0x1B line.long 0x00 "SOL11,Sequence Output Length Register 11" line.long 0x04 "VSOL11,Variable Sequence Output Length Register 11" line.long 0x08 "SIL11,Sequence Input Length Register 11" line.long 0x0C "VSIL11,Variable Sequence Input Length Register 11" line.long 0x10 "D11POVRD,Protocol Override Register 11" line.long 0x14 "UVSOL11,Variable Sequence Output Length Register 11" line.long 0x18 "IVSIL11,Variable Sequence Input Length Register 11" else hgroup.long (0x8BE00+0x20)++0x03 hide.long 0x00 "SOL11,Sequence Output Length Register 11" hgroup.long (0x8BE00+0x24)++0x03 hide.long 0x00 "VSOL11,Variable Sequence Output Length Register 11" hgroup.long (0x8BE00+0x28)++0x03 hide.long 0x00 "SIL11,Sequence Input Length Register 11" hgroup.long (0x8BE00+0x2C)++0x03 hide.long 0x00 "VSIL11,Variable Sequence Input Length Register 11" hgroup.long (0x8BE00+0x30)++0x03 hide.long 0x00 "D11POVRD,Protocol Override Register 11" hgroup.long (0x8BE00+0x34)++0x03 hide.long 0x00 "UVSOL11,Variable Sequence Output Length Register 11" hgroup.long (0x8BE00+0x38)++0x03 hide.long 0x00 "IVSIL11,Variable Sequence Input Length Register 11" endif rgroup.long (0x8BE00+0x3C)++0x07 line.long 0x00 "D11DER,DECO11 Debug Execution Register 11" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D11DPR,DECO11 Debug PDB Register 11" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x8CE00++0x07 line.long 0x00 "D12DJR,DECO12 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D12DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x8CE00+0x08)++0x0F line.quad 0x00 "D12DJP,DECO12 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D12SDP,DECO12 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x8CE00+0x18)++0x07 line.long 0x00 "D12DIR_MS,DECO12 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D12DIR_LS,DECO12 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<12.)==(0x10001<<12.)) group.long (0x8CE00+0x20)++0x1B line.long 0x00 "SOL12,Sequence Output Length Register 12" line.long 0x04 "VSOL12,Variable Sequence Output Length Register 12" line.long 0x08 "SIL12,Sequence Input Length Register 12" line.long 0x0C "VSIL12,Variable Sequence Input Length Register 12" line.long 0x10 "D12POVRD,Protocol Override Register 12" line.long 0x14 "UVSOL12,Variable Sequence Output Length Register 12" line.long 0x18 "IVSIL12,Variable Sequence Input Length Register 12" else hgroup.long (0x8CE00+0x20)++0x03 hide.long 0x00 "SOL12,Sequence Output Length Register 12" hgroup.long (0x8CE00+0x24)++0x03 hide.long 0x00 "VSOL12,Variable Sequence Output Length Register 12" hgroup.long (0x8CE00+0x28)++0x03 hide.long 0x00 "SIL12,Sequence Input Length Register 12" hgroup.long (0x8CE00+0x2C)++0x03 hide.long 0x00 "VSIL12,Variable Sequence Input Length Register 12" hgroup.long (0x8CE00+0x30)++0x03 hide.long 0x00 "D12POVRD,Protocol Override Register 12" hgroup.long (0x8CE00+0x34)++0x03 hide.long 0x00 "UVSOL12,Variable Sequence Output Length Register 12" hgroup.long (0x8CE00+0x38)++0x03 hide.long 0x00 "IVSIL12,Variable Sequence Input Length Register 12" endif rgroup.long (0x8CE00+0x3C)++0x07 line.long 0x00 "D12DER,DECO12 Debug Execution Register 12" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D12DPR,DECO12 Debug PDB Register 12" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x8DE00++0x07 line.long 0x00 "D13DJR,DECO13 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D13DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x8DE00+0x08)++0x0F line.quad 0x00 "D13DJP,DECO13 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D13SDP,DECO13 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x8DE00+0x18)++0x07 line.long 0x00 "D13DIR_MS,DECO13 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D13DIR_LS,DECO13 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<13.)==(0x10001<<13.)) group.long (0x8DE00+0x20)++0x1B line.long 0x00 "SOL13,Sequence Output Length Register 13" line.long 0x04 "VSOL13,Variable Sequence Output Length Register 13" line.long 0x08 "SIL13,Sequence Input Length Register 13" line.long 0x0C "VSIL13,Variable Sequence Input Length Register 13" line.long 0x10 "D13POVRD,Protocol Override Register 13" line.long 0x14 "UVSOL13,Variable Sequence Output Length Register 13" line.long 0x18 "IVSIL13,Variable Sequence Input Length Register 13" else hgroup.long (0x8DE00+0x20)++0x03 hide.long 0x00 "SOL13,Sequence Output Length Register 13" hgroup.long (0x8DE00+0x24)++0x03 hide.long 0x00 "VSOL13,Variable Sequence Output Length Register 13" hgroup.long (0x8DE00+0x28)++0x03 hide.long 0x00 "SIL13,Sequence Input Length Register 13" hgroup.long (0x8DE00+0x2C)++0x03 hide.long 0x00 "VSIL13,Variable Sequence Input Length Register 13" hgroup.long (0x8DE00+0x30)++0x03 hide.long 0x00 "D13POVRD,Protocol Override Register 13" hgroup.long (0x8DE00+0x34)++0x03 hide.long 0x00 "UVSOL13,Variable Sequence Output Length Register 13" hgroup.long (0x8DE00+0x38)++0x03 hide.long 0x00 "IVSIL13,Variable Sequence Input Length Register 13" endif rgroup.long (0x8DE00+0x3C)++0x07 line.long 0x00 "D13DER,DECO13 Debug Execution Register 13" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D13DPR,DECO13 Debug PDB Register 13" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x8EE00++0x07 line.long 0x00 "D14DJR,DECO14 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D14DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x8EE00+0x08)++0x0F line.quad 0x00 "D14DJP,DECO14 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D14SDP,DECO14 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x8EE00+0x18)++0x07 line.long 0x00 "D14DIR_MS,DECO14 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D14DIR_LS,DECO14 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<14.)==(0x10001<<14.)) group.long (0x8EE00+0x20)++0x1B line.long 0x00 "SOL14,Sequence Output Length Register 14" line.long 0x04 "VSOL14,Variable Sequence Output Length Register 14" line.long 0x08 "SIL14,Sequence Input Length Register 14" line.long 0x0C "VSIL14,Variable Sequence Input Length Register 14" line.long 0x10 "D14POVRD,Protocol Override Register 14" line.long 0x14 "UVSOL14,Variable Sequence Output Length Register 14" line.long 0x18 "IVSIL14,Variable Sequence Input Length Register 14" else hgroup.long (0x8EE00+0x20)++0x03 hide.long 0x00 "SOL14,Sequence Output Length Register 14" hgroup.long (0x8EE00+0x24)++0x03 hide.long 0x00 "VSOL14,Variable Sequence Output Length Register 14" hgroup.long (0x8EE00+0x28)++0x03 hide.long 0x00 "SIL14,Sequence Input Length Register 14" hgroup.long (0x8EE00+0x2C)++0x03 hide.long 0x00 "VSIL14,Variable Sequence Input Length Register 14" hgroup.long (0x8EE00+0x30)++0x03 hide.long 0x00 "D14POVRD,Protocol Override Register 14" hgroup.long (0x8EE00+0x34)++0x03 hide.long 0x00 "UVSOL14,Variable Sequence Output Length Register 14" hgroup.long (0x8EE00+0x38)++0x03 hide.long 0x00 "IVSIL14,Variable Sequence Input Length Register 14" endif rgroup.long (0x8EE00+0x3C)++0x07 line.long 0x00 "D14DER,DECO14 Debug Execution Register 14" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D14DPR,DECO14 Debug PDB Register 14" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x8FE00++0x07 line.long 0x00 "D15DJR,DECO15 Debug Job Register" bitfld.long 0x00 31. " STEP ,Step" "Not executed,Executed" bitfld.long 0x00 30. " SING ,Single step mode" "Not executed,Executed" bitfld.long 0x00 29. " WHL ,Whole descriptor" "Not given,Given" newline bitfld.long 0x00 28. " FOUR ,Four words" "0,1" bitfld.long 0x00 27. " ILE ,Immediate little endian" "Not swapped,Swapped" bitfld.long 0x00 24.--26. " SHR_FROM ,Share from" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. " DWS ,Double word swap" "Not set,Set" bitfld.long 0x00 16. " GSD ,Got shared descriptor" "Not obtained,Obtained" bitfld.long 0x00 15. " AMTD ,Allow make trusted descriptor" "Not set,Set" newline bitfld.long 0x00 14. " JDIS ,Job descriptor ICID select" "Non-SEQ ICID,SEQ ICID" bitfld.long 0x00 8.--10. " SRC ,Job source" "Ring 0,Ring 1,Ring 2,Ring 3,RTIC,Queue manager interface,?..." bitfld.long 0x00 0.--5. " ID ,Job ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "D15DDR,DECO$ Debug DECO Register" bitfld.long 0x04 31. " VALID ,Valid" "Not valid,Valid" bitfld.long 0x04 30. " SD ,Shared descriptor" "Not received,Received" bitfld.long 0x04 15. " PTCL_RUN ,Protocol running" "Not running,Running" newline bitfld.long 0x04 14. " NLJ ,Took non-local JUMP" "Not executed,Executed" bitfld.long 0x04 3. " NC ,No command" "Not available,Available" bitfld.long 0x04 2. " BWB ,Burster write busy" "Not busy,Busy" newline bitfld.long 0x04 1. " BRB ,Burster read busy" "Not busy,Busy" bitfld.long 0x04 0. " CT ,Checking trusted" "Not generated,Generated" rgroup.quad (0x8FE00+0x08)++0x0F line.quad 0x00 "D15DJP,DECO15 Debug Job Pointer" hexmask.quad 0x00 0.--48. 0x01 " JDPTR ,Job descriptor pointer" line.quad 0x08 "D15SDP,DECO15 Debug Shared Pointer" hexmask.quad 0x08 0.--48. 0x01 " SDPTR ,Shared descriptor pointer" rgroup.long (0x8FE00+0x18)++0x07 line.long 0x00 "D15DIR_MS,DECO15 Debug ICID MSH Register" bitfld.long 0x00 31. " DCPL ,DECO control privilege level" "0,1" hexmask.long.byte 0x00 16.--22. 1. " CICID ,DECO control ICID" bitfld.long 0x00 15. " DOPL ,DECO output privilege level" "0,1" newline hexmask.long.byte 0x00 0.--6. 1. " OICID ,DECO output ICID" line.long 0x04 "D15DIR_LS,DECO15 Debug ICID LSH Register" bitfld.long 0x04 31. " TZ ,DECO TrustZone" "NonSecureWorld,SecureWorld" hexmask.long.word 0x04 16.--27. 1. " SDID ,DECO SDID" bitfld.long 0x04 15. " DIPL ,DECO input privilege level" "0,1" newline hexmask.long.byte 0x04 0.--6. 1. " IICID ,DECO input ICID" if ((per.l(ad:0x08000000+0x9C)&0x10001<<15.)==(0x10001<<15.)) group.long (0x8FE00+0x20)++0x1B line.long 0x00 "SOL15,Sequence Output Length Register 15" line.long 0x04 "VSOL15,Variable Sequence Output Length Register 15" line.long 0x08 "SIL15,Sequence Input Length Register 15" line.long 0x0C "VSIL15,Variable Sequence Input Length Register 15" line.long 0x10 "D15POVRD,Protocol Override Register 15" line.long 0x14 "UVSOL15,Variable Sequence Output Length Register 15" line.long 0x18 "IVSIL15,Variable Sequence Input Length Register 15" else hgroup.long (0x8FE00+0x20)++0x03 hide.long 0x00 "SOL15,Sequence Output Length Register 15" hgroup.long (0x8FE00+0x24)++0x03 hide.long 0x00 "VSOL15,Variable Sequence Output Length Register 15" hgroup.long (0x8FE00+0x28)++0x03 hide.long 0x00 "SIL15,Sequence Input Length Register 15" hgroup.long (0x8FE00+0x2C)++0x03 hide.long 0x00 "VSIL15,Variable Sequence Input Length Register 15" hgroup.long (0x8FE00+0x30)++0x03 hide.long 0x00 "D15POVRD,Protocol Override Register 15" hgroup.long (0x8FE00+0x34)++0x03 hide.long 0x00 "UVSOL15,Variable Sequence Output Length Register 15" hgroup.long (0x8FE00+0x38)++0x03 hide.long 0x00 "IVSIL15,Variable Sequence Input Length Register 15" endif rgroup.long (0x8FE00+0x3C)++0x07 line.long 0x00 "D15DER,DECO15 Debug Execution Register 15" bitfld.long 0x00 24.--27. " TRCT ,DMA transaction count" "0,1,2,3,4,5,6,7,?..." bitfld.long 0x00 16.--19. " DECO_STATE ,DECO state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--11. " CMD_STAGE ,Command stage" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 8. " CSA ,Command stage aux" "First,Second" hexmask.long.byte 0x00 0.--7. 1. " CMD_INDEX ,Command index" line.long 0x04 "D15DPR,DECO15 Debug PDB Register 15" bitfld.long 0x04 8.--11. " PDB_WB_ST ,PDB writeback state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PDB_STALL ,PDB stall state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" width 0x0B tree.end tree "DCE (Decompression/Compression Engine)" base ad:0x08120000 width 13. group.long 0x00++0x03 line.long 0x00 "CFG,DCE Configuration Register" bitfld.long 0x00 28. " LSE ,Load spreading enable" "Disabled,Enabled" bitfld.long 0x00 24.--25. " DQL ,Dequeue limit" "16,8,4,2" bitfld.long 0x00 20. " RSD ,Read safe disable" "No,Yes" bitfld.long 0x00 17. " DPMD ,Dynamic power management disable" "No,Yes" newline bitfld.long 0x00 8.--9. " PFQRA ,Private frame queue resource allocation" "Static shared,Static compress,Static decompress,Dynamic shared" bitfld.long 0x00 0.--1. " EN ,Enable level" "Disabled,Pause,Enabled without order,Enabled" group.long 0x14++0x07 line.long 0x00 "OLL,Output Length Limit Register" hexmask.long.word 0x00 0.--15. 1. " LIMIT ,Limit" line.long 0x04 "PFQC,Private Frame Queue Control Register" hexmask.long.byte 0x04 16.--23. 1. " SA ,Starvation avoidance" bitfld.long 0x04 8.--11. " QL ,Queue limit" "Not limited,1/2,1/4,1/8,1/16,1/32,1/64,1/128,?..." bitfld.long 0x04 0.--3. " PS ,Pool size" "Not reduced,1/2,1/4,1/8,1/16,1/32,1/64,1/128,?..." group.long 0x24++0x03 line.long 0x00 "HCL,Hop Count Limit Register" hexmask.long.word 0x00 0.--9. 1. " LIMIT ,Limit" rgroup.long 0x3C++0x03 line.long 0x00 "IDLE,DCE Idle Status Register" bitfld.long 0x00 16. " B ,Busy" "Not busy,Busy" bitfld.long 0x00 8. " S ,Stopped" "Not stopped,Stopped" bitfld.long 0x00 0. " I ,Idle" "Busy,Idle" rgroup.long 0x104++0x03 line.long 0x00 "SRCIDR,Source ID Register" group.long 0x108++0x07 line.long 0x00 "SMRCACR,System Memory Read Cache Attribute Control Register" bitfld.long 0x00 24.--28. " CHRC ,Compression history read cache aware" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. " SCRC ,Stream context record read cache aware" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 8.--12. " FDRC ,Frame data read cache aware" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. " DHRC ,Decompression history read cache aware" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "SMWCACR,System Memory Write Cache Attribute Control Register" bitfld.long 0x04 24.--28. " CHWC ,Compression history write cache aware" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 16.--20. " SCWC ,Stream context record write cache aware" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 8.--12. " FDWC ,Frame data write cache aware" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 0.--4. " DHWC ,Decompression history write cache aware" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" wgroup.long 0x110++0x03 line.long 0x00 "ICIR,Internal Context Invalidate Register" bitfld.long 0x00 0. " ICI ,Invalidate internal context" "0,1" group.long 0x15C++0x03 line.long 0x00 "PFQSR,Private Frame Queue Select Register" bitfld.long 0x00 0.--4. " SLOT ,The slot ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x160++0x0F line.long 0x00 "PFQADQS0,Private Frame Queue Access Dequeue Summary 0 Register" line.long 0x04 "PFQADQS1,Private Frame Queue Access Dequeue Summary 1 Register" line.long 0x08 "PFQADQS2,Private Frame Queue Access Dequeue Summary 2 Register" line.long 0x0C "PFQADQS3,Private Frame Queue Access Dequeue Summary 3 Register" rgroup.long 0x180++0x13 line.long 0x00 "PFQALL,Private Frame Queue Access Linked List Register" hexmask.long.word 0x00 16.--31. 0x01 " TAIL ,Current tail pointer value" hexmask.long.word 0x00 0.--15. 0x01 " HEAD ,Current head pointer value" line.long 0x04 "PFQAL,Private Frame Queue Access Length Register" hexmask.long.word 0x04 0.--15. 1. " LENGTH ,Number of FDs (frames) currently held in the private frame queue" line.long 0x08 "PFQAFL,Private Frame Queue Access Flow Low Register" line.long 0x0C "PFQAFH,Private Frame Queue Access Flow High Register" line.long 0x10 "PFQAFA,Private Frame Queue Access Flow Attributes Register" bitfld.long 0x10 26. " CBMT ,CBMT associated with the flow that is using the private frame queue" "0,1" bitfld.long 0x10 25. " VA ,VA associated with the flow that is using the private frame queue" "0,1" rgroup.long 0x1B0++0x07 line.long 0x00 "FBLL,Free Buffer List Length Register" hexmask.long.word 0x00 0.--15. 1. " LENGTH ,Number of FD buffers currently in the free buffer list" line.long 0x04 "FQLL,Free Queue List Length Register" hexmask.long.byte 0x04 0.--7. 1. " LENGTH ,Number of unused private frame queues" group.long 0x200++0x1F line.long 0x00 "CIBC_L,Compressor Input Bytes Count High Register" line.long 0x04 "CIBC_H,Compressor Input Bytes Count Low Register" line.long 0x08 "COBC_L,Compressor Output Bytes Count High Register" line.long 0x0C "COBC_H,Compressor Output Bytes Count Low Register" line.long 0x10 "DIBC_L,Decompressor Input Bytes Count High Register" line.long 0x14 "DIBC_H,Decompressor Input Bytes Count Low Register" line.long 0x18 "DOBC_L,Decompressor Output Bytes Count High Register" line.long 0x1C "DOBC_H,Decompressor Output Bytes Count Low Register" rgroup.long 0x3F8++0x07 line.long 0x00 "IP_REV_1,DCE IP Block Revision 1 Register" hexmask.long.word 0x00 16.--31. 1. " IP_ID ,IP block ID" hexmask.long.byte 0x00 8.--15. 1. " IP_MJ ,Major revision" hexmask.long.byte 0x00 0.--7. 1. " IP_MN ,Minor revision" line.long 0x04 "IP_REV_2,DCE IP Block Revision 2 Register" hexmask.long.byte 0x04 16.--23. 1. " IP_INT ,Integration options" hexmask.long.byte 0x04 8.--15. 1. " IP_ERR ,Errata revision level" bitfld.long 0x04 6.--7. " IP_CFG[7:6] ,Number of private frame queue slots present" "4,16,32,64" bitfld.long 0x04 3.--5. " [5:3] ,Number of compression modules" "1,2,3,4,5,6,7,8" bitfld.long 0x04 0.--2. " [2:0] ,Number of decompression modules" "1,2,3,4,5,6,7,8" group.long 0x400++0x0F line.long 0x00 "ISR,Interrupt Status Register" eventfld.long 0x00 7. " UWE ,Unreported write error" "Not occurred,Occurred" eventfld.long 0x00 1. " SBE ,Single bit error" "Not set,Set" eventfld.long 0x00 0. " DBE ,Double bit error" "Not set,Set" line.long 0x04 "IER,Interrupt Enable Register" bitfld.long 0x04 7. " UWE ,Unreported write error" "Disabled,Enabled" bitfld.long 0x04 1. " SBE ,Single bit error" "Disabled,Enabled" bitfld.long 0x04 0. " DBE ,Double bit error" "Disabled,Enabled" line.long 0x08 "ISDR,Interrupt Status Disable Register" bitfld.long 0x08 7. " UWE ,Unreported write error" "No,Yes" bitfld.long 0x08 1. " SBE ,Single bit error" "No,Yes" bitfld.long 0x08 0. " DBE ,Double bit error" "No,Yes" line.long 0x0C "IIR,Interrupt Inhibit Register" bitfld.long 0x0C 0. " I ,Inhibit" "Not inhibited,Inhibited" group.long 0x440++0x07 line.long 0x00 "ECC1BES,ECC 1-Bit Error Status Register" eventfld.long 0x00 3. " PFQ ,Private frame queue memory" "Not exceeded,Exceeded" eventfld.long 0x00 2. " CBM ,Compression history memory" "Not exceeded,Exceeded" eventfld.long 0x00 1. " DHM ,Decompression history memory" "Not exceeded,Exceeded" eventfld.long 0x00 0. " CXM ,Internal context memory" "Not exceeded,Exceeded" line.long 0x04 "ECC2BES,ECC 2-Bit Error Status Register" eventfld.long 0x04 3. " PFQ ,Private frame queue memory" "Not detected,Detected" eventfld.long 0x04 2. " CBM ,Internal data memory" "Not detected,Detected" eventfld.long 0x04 1. " DHM ,Decompression history memory" "Not detected,Detected" eventfld.long 0x04 0. " CXM ,Internal context memory" "Not detected,Detected" rgroup.long 0x448++0x03 line.long 0x00 "ECCADDR,ECC Address Register" bitfld.long 0x00 31. " CAP ,Captured error indication" "Not occurred,Occurred" bitfld.long 0x00 30. " CET ,Captured error type" "Single-bit,Multi-bit" bitfld.long 0x00 16.--21. " MEM ,Memory" "DHM0,DHM1,DHM2,DHM3,DHM4,DHM5,DHM6,DHM7,CBM0,CBM1,CBM2,CBM3,CBM4,CBM5,CBM6,CBM7,CXMA0,CXMB0,CXMA1,CXMB1,CXMA2,CXMB2,CXMA3,CXMB3,CXMA4,CXMB4,CXMA5,CXMB5,CXMA6,CXMB6,CXMA7,CXMB7,PFQ0,PFQ1,?..." hexmask.long.word 0x00 0.--15. 0x01 " ADDR ,Address" group.long 0x44C++0x13 line.long 0x00 "ECC1TH,ECC 1-Bit Threshold Register" bitfld.long 0x00 11. " DEPFQM ,Disable ECC generation - checking and correction on private frame queue memory" "No,Yes" bitfld.long 0x00 10. " DECBM ,Disable ECC generation - checking and correction on internal data memory" "No,Yes" bitfld.long 0x00 9. " DEDHM ,Disable ECC generation - checking and correction on decompression history memory" "No,Yes" bitfld.long 0x00 8. " DECXM ,Disable ECC generation - checking and correction on internal context memory" "No,Yes" hexmask.long.byte 0x00 0.--7. 1. " THRESH ,Threshold value" line.long 0x04 "DHECC1EC,Decompression History Memory ECC 1-Bit Error Count Register" hexmask.long.byte 0x04 0.--7. 1. " COUNT ,Count" line.long 0x08 "CXECC1EC,Internal Context Memory ECC 1-Bit Error Count Register" hexmask.long.byte 0x08 0.--7. 1. " COUNT ,Count" line.long 0x0C "CBECC1EC,Internal Data Memory ECC 1-Bit Error Count Register" hexmask.long.byte 0x0C 0.--7. 1. " COUNT ,Count" line.long 0x10 "PFQECC1EC,Private Frame Queue Memory ECC 1-Bit Error Count Register" hexmask.long.byte 0x10 0.--7. 1. " COUNT ,Count" rgroup.long 0x480++0x0B line.long 0x00 "UWE_INFO_H,Unreported Write Error Information High Register" line.long 0x04 "UWE_INFO_L,Unreported Write Error Information Low Register" hexmask.long 0x04 6.--31. 1. " FLC ,FLC" line.long 0x08 "UWE_ICID,Unreported Write Error ICID Register" hexmask.long.word 0x08 0.--15. 1. " ICID ,ICID" width 0x0B tree.end tree.end tree.open "TZ (Trust Zone)" tree "TZC-400 (Address Space Controller)" tree "TZC 1" base ad:0x01100000 width 21. rgroup.long 0x00++0x03 line.long 0x00 "BUILD_CONFIG,Build Configuration Register" bitfld.long 0x00 24.--25. " NO_OF_FILTERS ,Number of filters" "0,1,2,3" hexmask.long.byte 0x00 8.--13. 0x01 " ADDRESS_WIDTH ,Address width minus one" bitfld.long 0x00 0.--4. " NO_OF_REGIONS ,Number of regions minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x04++0x0B line.long 0x00 "ACTION,Action Register" bitfld.long 0x00 0.--1. " REACTION_VALUE ,Failure reaction" "TZCINT LOW/OKAY,TZCINT LOW/DECERR,TZCINT HIGH/OKAY,TZCINT HIGH/DECERR" line.long 0x04 "GATE_KEEPER,Gate Keeper Register" rbitfld.long 0x04 16. " OPEN_STATUS ,Gate keeper open" "Closed,Opened" bitfld.long 0x04 0. " OPEN_REQUEST ,Gate keeper open request" "Not requested,Requested" line.long 0x08 "SPECULATION_CTRL,Speculation Control Register" bitfld.long 0x08 1. " WRITE_SPEC_DISABLE ,Write speculation disable" "No,Yes" bitfld.long 0x08 0. " READ_SPEC_DISABLE ,Read speculation disable" "No,Yes" rgroup.long 0x10++0x03 line.long 0x00 "INT_STATUS,Interrupt Status Register" bitfld.long 0x00 16. " OVERLAP ,Interrupt status overlap" "No overlap,Overlap" bitfld.long 0x00 8. " OVERRUN ,Interrupt status overrun" "No overrun,Overrun" bitfld.long 0x00 0. " STATUS ,Interrupt status" "No interrupt,Interrupt" wgroup.long 0x14++0x03 line.long 0x00 "INT_CLEAR,Interrupt Clear Register" bitfld.long 0x00 0. " CLEAR ,Interrupt clear" "No clear,Clear" rgroup.long 0x20++0x0B line.long 0x00 "FAIL_ADDRESS_LOW_0,Fail Address Low Register" line.long 0x04 "FAIL_ADDRESS_HIGH_0,Fail Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " ADDR_STATUS_HIGH ,Failure access high address" line.long 0x08 "FAIL_CONTROL_0,Fail Control Register" bitfld.long 0x08 24. " DIRECTION ,Failure access direction" "Read,Write" bitfld.long 0x08 21. " NONSECURE ,Failure access security value" "Secure,Non-secure" bitfld.long 0x08 20. " PRIVILEGED ,Failure access privileged value" "Unpriviledged,Priviledged" rgroup.long 0x100++0x0F line.long 0x00 "REGION_BASE_LOW_0,Region 0 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_0 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_0,Region 0 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_0 ,Base address high" line.long 0x08 "REGION_TOP_LOW_0,Region 0 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_0 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_0,Region 0 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_0 ,Top address high" group.long 0x110++0x03 line.long 0x00 "REGION_ATTRIBUTES_0,Region 0 Attribute Register" bitfld.long 0x00 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x00 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" rbitfld.long 0x00 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x120++0x13 line.long 0x00 "REGION_BASE_LOW_1,Region 1 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_1 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_1,Region 1 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_1 ,Base address high" line.long 0x08 "REGION_TOP_LOW_1,Region 1 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_1 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_1,Region 1 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_1 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_1,Region 1 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x140++0x13 line.long 0x00 "REGION_BASE_LOW_2,Region 2 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_2 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_2,Region 2 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_2 ,Base address high" line.long 0x08 "REGION_TOP_LOW_2,Region 2 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_2 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_2,Region 2 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_2 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_2,Region 2 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x160++0x13 line.long 0x00 "REGION_BASE_LOW_3,Region 3 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_3 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_3,Region 3 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_3 ,Base address high" line.long 0x08 "REGION_TOP_LOW_3,Region 3 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_3 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_3,Region 3 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_3 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_3,Region 3 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x180++0x13 line.long 0x00 "REGION_BASE_LOW_4,Region 4 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_4 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_4,Region 4 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_4 ,Base address high" line.long 0x08 "REGION_TOP_LOW_4,Region 4 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_4 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_4,Region 4 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_4 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_4,Region 4 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1A0++0x13 line.long 0x00 "REGION_BASE_LOW_5,Region 5 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_5 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_5,Region 5 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_5 ,Base address high" line.long 0x08 "REGION_TOP_LOW_5,Region 5 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_5 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_5,Region 5 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_5 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_5,Region 5 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1C0++0x13 line.long 0x00 "REGION_BASE_LOW_6,Region 6 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_6 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_6,Region 6 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_6 ,Base address high" line.long 0x08 "REGION_TOP_LOW_6,Region 6 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_6 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_6,Region 6 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_6 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_6,Region 6 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1E0++0x13 line.long 0x00 "REGION_BASE_LOW_7,Region 7 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_7 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_7,Region 7 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_7 ,Base address high" line.long 0x08 "REGION_TOP_LOW_7,Region 7 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_7 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_7,Region 7 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_7 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_7,Region 7 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x200++0x13 line.long 0x00 "REGION_BASE_LOW_8,Region 8 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_8 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_8,Region 8 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_8 ,Base address high" line.long 0x08 "REGION_TOP_LOW_8,Region 8 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_8 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_8,Region 8 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_8 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_8,Region 8 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" rgroup.long 0xFE0++0x0F line.long 0x00 "PID0,Peripheral Identification 0 Register" hexmask.long.byte 0x00 0.--7. 1. " PART_NUMBER_0 ,Part number low" line.long 0x04 "PID1,Peripheral Identification 1 Register" hexmask.long.byte 0x04 4.--7. 1. " JEP106_ID_3_0 ,JEP106 arm identification code low" hexmask.long.byte 0x04 0.--3. 1. " PART_NUMBER_0 ,Part number high" line.long 0x08 "PID2,Peripheral Identification 2 Register" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.long 0x08 3. " JEDEN_USED ,JEP106 manufacturer use of identity code" "0,1" hexmask.long.byte 0x08 0.--2. 1. " JEP106_ID_6_4 ,JEP106 ARM identification code" line.long 0x0C "PID3,Peripheral Identification 3 Register" hexmask.long.byte 0x0C 4.--7. 1. " REVAND ,User modifiable revision number" hexmask.long.byte 0x0C 0.--3. 1. " MOD_NUMBER ,Modification number" rgroup.long 0xFF0++0x03 line.long 0x00 "CID0,Component Identification 0" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_0 ,Component identity 0" rgroup.long 0xFF4++0x03 line.long 0x00 "CID1,Component Identification 1" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_1 ,Component identity 1" rgroup.long 0xFF8++0x03 line.long 0x00 "CID2,Component Identification 2" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_2 ,Component identity 2" rgroup.long 0xFFC++0x03 line.long 0x00 "CID3,Component Identification 3" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_3 ,Component identity 3" width 0x0B tree.end tree "TZC 2" base ad:0x01110000 width 21. rgroup.long 0x00++0x03 line.long 0x00 "BUILD_CONFIG,Build Configuration Register" bitfld.long 0x00 24.--25. " NO_OF_FILTERS ,Number of filters" "0,1,2,3" hexmask.long.byte 0x00 8.--13. 0x01 " ADDRESS_WIDTH ,Address width minus one" bitfld.long 0x00 0.--4. " NO_OF_REGIONS ,Number of regions minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x04++0x0B line.long 0x00 "ACTION,Action Register" bitfld.long 0x00 0.--1. " REACTION_VALUE ,Failure reaction" "TZCINT LOW/OKAY,TZCINT LOW/DECERR,TZCINT HIGH/OKAY,TZCINT HIGH/DECERR" line.long 0x04 "GATE_KEEPER,Gate Keeper Register" rbitfld.long 0x04 16. " OPEN_STATUS ,Gate keeper open" "Closed,Opened" bitfld.long 0x04 0. " OPEN_REQUEST ,Gate keeper open request" "Not requested,Requested" line.long 0x08 "SPECULATION_CTRL,Speculation Control Register" bitfld.long 0x08 1. " WRITE_SPEC_DISABLE ,Write speculation disable" "No,Yes" bitfld.long 0x08 0. " READ_SPEC_DISABLE ,Read speculation disable" "No,Yes" rgroup.long 0x10++0x03 line.long 0x00 "INT_STATUS,Interrupt Status Register" bitfld.long 0x00 16. " OVERLAP ,Interrupt status overlap" "No overlap,Overlap" bitfld.long 0x00 8. " OVERRUN ,Interrupt status overrun" "No overrun,Overrun" bitfld.long 0x00 0. " STATUS ,Interrupt status" "No interrupt,Interrupt" wgroup.long 0x14++0x03 line.long 0x00 "INT_CLEAR,Interrupt Clear Register" bitfld.long 0x00 0. " CLEAR ,Interrupt clear" "No clear,Clear" rgroup.long 0x20++0x0B line.long 0x00 "FAIL_ADDRESS_LOW_0,Fail Address Low Register" line.long 0x04 "FAIL_ADDRESS_HIGH_0,Fail Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " ADDR_STATUS_HIGH ,Failure access high address" line.long 0x08 "FAIL_CONTROL_0,Fail Control Register" bitfld.long 0x08 24. " DIRECTION ,Failure access direction" "Read,Write" bitfld.long 0x08 21. " NONSECURE ,Failure access security value" "Secure,Non-secure" bitfld.long 0x08 20. " PRIVILEGED ,Failure access privileged value" "Unpriviledged,Priviledged" rgroup.long 0x100++0x0F line.long 0x00 "REGION_BASE_LOW_0,Region 0 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_0 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_0,Region 0 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_0 ,Base address high" line.long 0x08 "REGION_TOP_LOW_0,Region 0 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_0 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_0,Region 0 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_0 ,Top address high" group.long 0x110++0x03 line.long 0x00 "REGION_ATTRIBUTES_0,Region 0 Attribute Register" bitfld.long 0x00 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x00 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" rbitfld.long 0x00 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x120++0x13 line.long 0x00 "REGION_BASE_LOW_1,Region 1 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_1 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_1,Region 1 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_1 ,Base address high" line.long 0x08 "REGION_TOP_LOW_1,Region 1 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_1 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_1,Region 1 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_1 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_1,Region 1 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x140++0x13 line.long 0x00 "REGION_BASE_LOW_2,Region 2 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_2 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_2,Region 2 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_2 ,Base address high" line.long 0x08 "REGION_TOP_LOW_2,Region 2 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_2 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_2,Region 2 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_2 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_2,Region 2 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x160++0x13 line.long 0x00 "REGION_BASE_LOW_3,Region 3 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_3 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_3,Region 3 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_3 ,Base address high" line.long 0x08 "REGION_TOP_LOW_3,Region 3 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_3 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_3,Region 3 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_3 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_3,Region 3 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x180++0x13 line.long 0x00 "REGION_BASE_LOW_4,Region 4 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_4 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_4,Region 4 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_4 ,Base address high" line.long 0x08 "REGION_TOP_LOW_4,Region 4 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_4 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_4,Region 4 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_4 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_4,Region 4 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1A0++0x13 line.long 0x00 "REGION_BASE_LOW_5,Region 5 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_5 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_5,Region 5 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_5 ,Base address high" line.long 0x08 "REGION_TOP_LOW_5,Region 5 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_5 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_5,Region 5 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_5 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_5,Region 5 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1C0++0x13 line.long 0x00 "REGION_BASE_LOW_6,Region 6 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_6 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_6,Region 6 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_6 ,Base address high" line.long 0x08 "REGION_TOP_LOW_6,Region 6 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_6 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_6,Region 6 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_6 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_6,Region 6 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1E0++0x13 line.long 0x00 "REGION_BASE_LOW_7,Region 7 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_7 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_7,Region 7 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_7 ,Base address high" line.long 0x08 "REGION_TOP_LOW_7,Region 7 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_7 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_7,Region 7 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_7 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_7,Region 7 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x200++0x13 line.long 0x00 "REGION_BASE_LOW_8,Region 8 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_8 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_8,Region 8 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_8 ,Base address high" line.long 0x08 "REGION_TOP_LOW_8,Region 8 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_8 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_8,Region 8 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_8 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_8,Region 8 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" rgroup.long 0xFE0++0x0F line.long 0x00 "PID0,Peripheral Identification 0 Register" hexmask.long.byte 0x00 0.--7. 1. " PART_NUMBER_0 ,Part number low" line.long 0x04 "PID1,Peripheral Identification 1 Register" hexmask.long.byte 0x04 4.--7. 1. " JEP106_ID_3_0 ,JEP106 arm identification code low" hexmask.long.byte 0x04 0.--3. 1. " PART_NUMBER_0 ,Part number high" line.long 0x08 "PID2,Peripheral Identification 2 Register" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.long 0x08 3. " JEDEN_USED ,JEP106 manufacturer use of identity code" "0,1" hexmask.long.byte 0x08 0.--2. 1. " JEP106_ID_6_4 ,JEP106 ARM identification code" line.long 0x0C "PID3,Peripheral Identification 3 Register" hexmask.long.byte 0x0C 4.--7. 1. " REVAND ,User modifiable revision number" hexmask.long.byte 0x0C 0.--3. 1. " MOD_NUMBER ,Modification number" rgroup.long 0xFF0++0x03 line.long 0x00 "CID0,Component Identification 0" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_0 ,Component identity 0" rgroup.long 0xFF4++0x03 line.long 0x00 "CID1,Component Identification 1" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_1 ,Component identity 1" rgroup.long 0xFF8++0x03 line.long 0x00 "CID2,Component Identification 2" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_2 ,Component identity 2" rgroup.long 0xFFC++0x03 line.long 0x00 "CID3,Component Identification 3" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_3 ,Component identity 3" width 0x0B tree.end sif !cpuis("LX2??2A") tree "TZC 3" base ad:0x01120000 width 21. rgroup.long 0x00++0x03 line.long 0x00 "BUILD_CONFIG,Build Configuration Register" bitfld.long 0x00 24.--25. " NO_OF_FILTERS ,Number of filters" "0,1,2,3" hexmask.long.byte 0x00 8.--13. 0x01 " ADDRESS_WIDTH ,Address width minus one" bitfld.long 0x00 0.--4. " NO_OF_REGIONS ,Number of regions minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x04++0x0B line.long 0x00 "ACTION,Action Register" bitfld.long 0x00 0.--1. " REACTION_VALUE ,Failure reaction" "TZCINT LOW/OKAY,TZCINT LOW/DECERR,TZCINT HIGH/OKAY,TZCINT HIGH/DECERR" line.long 0x04 "GATE_KEEPER,Gate Keeper Register" rbitfld.long 0x04 16. " OPEN_STATUS ,Gate keeper open" "Closed,Opened" bitfld.long 0x04 0. " OPEN_REQUEST ,Gate keeper open request" "Not requested,Requested" line.long 0x08 "SPECULATION_CTRL,Speculation Control Register" bitfld.long 0x08 1. " WRITE_SPEC_DISABLE ,Write speculation disable" "No,Yes" bitfld.long 0x08 0. " READ_SPEC_DISABLE ,Read speculation disable" "No,Yes" rgroup.long 0x10++0x03 line.long 0x00 "INT_STATUS,Interrupt Status Register" bitfld.long 0x00 16. " OVERLAP ,Interrupt status overlap" "No overlap,Overlap" bitfld.long 0x00 8. " OVERRUN ,Interrupt status overrun" "No overrun,Overrun" bitfld.long 0x00 0. " STATUS ,Interrupt status" "No interrupt,Interrupt" wgroup.long 0x14++0x03 line.long 0x00 "INT_CLEAR,Interrupt Clear Register" bitfld.long 0x00 0. " CLEAR ,Interrupt clear" "No clear,Clear" rgroup.long 0x20++0x0B line.long 0x00 "FAIL_ADDRESS_LOW_0,Fail Address Low Register" line.long 0x04 "FAIL_ADDRESS_HIGH_0,Fail Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " ADDR_STATUS_HIGH ,Failure access high address" line.long 0x08 "FAIL_CONTROL_0,Fail Control Register" bitfld.long 0x08 24. " DIRECTION ,Failure access direction" "Read,Write" bitfld.long 0x08 21. " NONSECURE ,Failure access security value" "Secure,Non-secure" bitfld.long 0x08 20. " PRIVILEGED ,Failure access privileged value" "Unpriviledged,Priviledged" rgroup.long 0x100++0x0F line.long 0x00 "REGION_BASE_LOW_0,Region 0 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_0 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_0,Region 0 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_0 ,Base address high" line.long 0x08 "REGION_TOP_LOW_0,Region 0 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_0 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_0,Region 0 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_0 ,Top address high" group.long 0x110++0x03 line.long 0x00 "REGION_ATTRIBUTES_0,Region 0 Attribute Register" bitfld.long 0x00 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x00 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" rbitfld.long 0x00 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x120++0x13 line.long 0x00 "REGION_BASE_LOW_1,Region 1 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_1 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_1,Region 1 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_1 ,Base address high" line.long 0x08 "REGION_TOP_LOW_1,Region 1 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_1 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_1,Region 1 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_1 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_1,Region 1 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x140++0x13 line.long 0x00 "REGION_BASE_LOW_2,Region 2 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_2 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_2,Region 2 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_2 ,Base address high" line.long 0x08 "REGION_TOP_LOW_2,Region 2 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_2 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_2,Region 2 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_2 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_2,Region 2 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x160++0x13 line.long 0x00 "REGION_BASE_LOW_3,Region 3 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_3 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_3,Region 3 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_3 ,Base address high" line.long 0x08 "REGION_TOP_LOW_3,Region 3 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_3 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_3,Region 3 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_3 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_3,Region 3 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x180++0x13 line.long 0x00 "REGION_BASE_LOW_4,Region 4 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_4 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_4,Region 4 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_4 ,Base address high" line.long 0x08 "REGION_TOP_LOW_4,Region 4 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_4 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_4,Region 4 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_4 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_4,Region 4 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1A0++0x13 line.long 0x00 "REGION_BASE_LOW_5,Region 5 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_5 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_5,Region 5 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_5 ,Base address high" line.long 0x08 "REGION_TOP_LOW_5,Region 5 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_5 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_5,Region 5 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_5 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_5,Region 5 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1C0++0x13 line.long 0x00 "REGION_BASE_LOW_6,Region 6 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_6 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_6,Region 6 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_6 ,Base address high" line.long 0x08 "REGION_TOP_LOW_6,Region 6 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_6 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_6,Region 6 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_6 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_6,Region 6 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1E0++0x13 line.long 0x00 "REGION_BASE_LOW_7,Region 7 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_7 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_7,Region 7 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_7 ,Base address high" line.long 0x08 "REGION_TOP_LOW_7,Region 7 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_7 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_7,Region 7 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_7 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_7,Region 7 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x200++0x13 line.long 0x00 "REGION_BASE_LOW_8,Region 8 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_8 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_8,Region 8 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_8 ,Base address high" line.long 0x08 "REGION_TOP_LOW_8,Region 8 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_8 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_8,Region 8 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_8 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_8,Region 8 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" rgroup.long 0xFE0++0x0F line.long 0x00 "PID0,Peripheral Identification 0 Register" hexmask.long.byte 0x00 0.--7. 1. " PART_NUMBER_0 ,Part number low" line.long 0x04 "PID1,Peripheral Identification 1 Register" hexmask.long.byte 0x04 4.--7. 1. " JEP106_ID_3_0 ,JEP106 arm identification code low" hexmask.long.byte 0x04 0.--3. 1. " PART_NUMBER_0 ,Part number high" line.long 0x08 "PID2,Peripheral Identification 2 Register" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.long 0x08 3. " JEDEN_USED ,JEP106 manufacturer use of identity code" "0,1" hexmask.long.byte 0x08 0.--2. 1. " JEP106_ID_6_4 ,JEP106 ARM identification code" line.long 0x0C "PID3,Peripheral Identification 3 Register" hexmask.long.byte 0x0C 4.--7. 1. " REVAND ,User modifiable revision number" hexmask.long.byte 0x0C 0.--3. 1. " MOD_NUMBER ,Modification number" rgroup.long 0xFF0++0x03 line.long 0x00 "CID0,Component Identification 0" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_0 ,Component identity 0" rgroup.long 0xFF4++0x03 line.long 0x00 "CID1,Component Identification 1" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_1 ,Component identity 1" rgroup.long 0xFF8++0x03 line.long 0x00 "CID2,Component Identification 2" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_2 ,Component identity 2" rgroup.long 0xFFC++0x03 line.long 0x00 "CID3,Component Identification 3" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_3 ,Component identity 3" width 0x0B tree.end tree "TZC 4" base ad:0x01130000 width 21. rgroup.long 0x00++0x03 line.long 0x00 "BUILD_CONFIG,Build Configuration Register" bitfld.long 0x00 24.--25. " NO_OF_FILTERS ,Number of filters" "0,1,2,3" hexmask.long.byte 0x00 8.--13. 0x01 " ADDRESS_WIDTH ,Address width minus one" bitfld.long 0x00 0.--4. " NO_OF_REGIONS ,Number of regions minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x04++0x0B line.long 0x00 "ACTION,Action Register" bitfld.long 0x00 0.--1. " REACTION_VALUE ,Failure reaction" "TZCINT LOW/OKAY,TZCINT LOW/DECERR,TZCINT HIGH/OKAY,TZCINT HIGH/DECERR" line.long 0x04 "GATE_KEEPER,Gate Keeper Register" rbitfld.long 0x04 16. " OPEN_STATUS ,Gate keeper open" "Closed,Opened" bitfld.long 0x04 0. " OPEN_REQUEST ,Gate keeper open request" "Not requested,Requested" line.long 0x08 "SPECULATION_CTRL,Speculation Control Register" bitfld.long 0x08 1. " WRITE_SPEC_DISABLE ,Write speculation disable" "No,Yes" bitfld.long 0x08 0. " READ_SPEC_DISABLE ,Read speculation disable" "No,Yes" rgroup.long 0x10++0x03 line.long 0x00 "INT_STATUS,Interrupt Status Register" bitfld.long 0x00 16. " OVERLAP ,Interrupt status overlap" "No overlap,Overlap" bitfld.long 0x00 8. " OVERRUN ,Interrupt status overrun" "No overrun,Overrun" bitfld.long 0x00 0. " STATUS ,Interrupt status" "No interrupt,Interrupt" wgroup.long 0x14++0x03 line.long 0x00 "INT_CLEAR,Interrupt Clear Register" bitfld.long 0x00 0. " CLEAR ,Interrupt clear" "No clear,Clear" rgroup.long 0x20++0x0B line.long 0x00 "FAIL_ADDRESS_LOW_0,Fail Address Low Register" line.long 0x04 "FAIL_ADDRESS_HIGH_0,Fail Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " ADDR_STATUS_HIGH ,Failure access high address" line.long 0x08 "FAIL_CONTROL_0,Fail Control Register" bitfld.long 0x08 24. " DIRECTION ,Failure access direction" "Read,Write" bitfld.long 0x08 21. " NONSECURE ,Failure access security value" "Secure,Non-secure" bitfld.long 0x08 20. " PRIVILEGED ,Failure access privileged value" "Unpriviledged,Priviledged" rgroup.long 0x100++0x0F line.long 0x00 "REGION_BASE_LOW_0,Region 0 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_0 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_0,Region 0 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_0 ,Base address high" line.long 0x08 "REGION_TOP_LOW_0,Region 0 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_0 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_0,Region 0 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_0 ,Top address high" group.long 0x110++0x03 line.long 0x00 "REGION_ATTRIBUTES_0,Region 0 Attribute Register" bitfld.long 0x00 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x00 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" rbitfld.long 0x00 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x120++0x13 line.long 0x00 "REGION_BASE_LOW_1,Region 1 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_1 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_1,Region 1 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_1 ,Base address high" line.long 0x08 "REGION_TOP_LOW_1,Region 1 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_1 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_1,Region 1 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_1 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_1,Region 1 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x140++0x13 line.long 0x00 "REGION_BASE_LOW_2,Region 2 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_2 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_2,Region 2 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_2 ,Base address high" line.long 0x08 "REGION_TOP_LOW_2,Region 2 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_2 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_2,Region 2 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_2 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_2,Region 2 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x160++0x13 line.long 0x00 "REGION_BASE_LOW_3,Region 3 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_3 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_3,Region 3 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_3 ,Base address high" line.long 0x08 "REGION_TOP_LOW_3,Region 3 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_3 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_3,Region 3 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_3 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_3,Region 3 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x180++0x13 line.long 0x00 "REGION_BASE_LOW_4,Region 4 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_4 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_4,Region 4 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_4 ,Base address high" line.long 0x08 "REGION_TOP_LOW_4,Region 4 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_4 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_4,Region 4 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_4 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_4,Region 4 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1A0++0x13 line.long 0x00 "REGION_BASE_LOW_5,Region 5 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_5 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_5,Region 5 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_5 ,Base address high" line.long 0x08 "REGION_TOP_LOW_5,Region 5 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_5 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_5,Region 5 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_5 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_5,Region 5 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1C0++0x13 line.long 0x00 "REGION_BASE_LOW_6,Region 6 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_6 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_6,Region 6 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_6 ,Base address high" line.long 0x08 "REGION_TOP_LOW_6,Region 6 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_6 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_6,Region 6 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_6 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_6,Region 6 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x1E0++0x13 line.long 0x00 "REGION_BASE_LOW_7,Region 7 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_7 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_7,Region 7 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_7 ,Base address high" line.long 0x08 "REGION_TOP_LOW_7,Region 7 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_7 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_7,Region 7 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_7 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_7,Region 7 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" group.long 0x200++0x13 line.long 0x00 "REGION_BASE_LOW_8,Region 8 Base Address Low Register" hexmask.long.tbyte 0x00 12.--31. 0x10 " BASE_ADDRESS_LOW_8 ,Base address low" line.long 0x04 "REGION_BASE_HIGH_8,Region 8 Base Address High Register" hexmask.long.byte 0x04 0.--7. 0x01 " BASE_ADDRESS_HIGH_8 ,Base address high" line.long 0x08 "REGION_TOP_LOW_8,Region 8 Top Address Low Register" hexmask.long.tbyte 0x08 12.--31. 0x10 " TOP_ADDRESS_LOW_8 ,Top address low" hexmask.long.word 0x08 0.--11. 1. " HIGH_4KB ,HIGH_4KB" line.long 0x0C "REGION_TOP_HIGH_8,Region 8 Top Address High Register" hexmask.long.byte 0x0C 0.--7. 0x01 " TOP_ADDRESS_HIGH_8 ,Top address high" line.long 0x10 "REGION_ATTRIBUTES_8,Region 8 Attribute Register" bitfld.long 0x10 31. " S_WR_EN ,Secure write enable" "Disabled,Enabled" bitfld.long 0x10 30. " S_RD_EN ,Secure read enable" "Disabled,Enabled" bitfld.long 0x10 0. " FILTER_EN ,Filter enable" "Disabled,Enabled" rgroup.long 0xFE0++0x0F line.long 0x00 "PID0,Peripheral Identification 0 Register" hexmask.long.byte 0x00 0.--7. 1. " PART_NUMBER_0 ,Part number low" line.long 0x04 "PID1,Peripheral Identification 1 Register" hexmask.long.byte 0x04 4.--7. 1. " JEP106_ID_3_0 ,JEP106 arm identification code low" hexmask.long.byte 0x04 0.--3. 1. " PART_NUMBER_0 ,Part number high" line.long 0x08 "PID2,Peripheral Identification 2 Register" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.long 0x08 3. " JEDEN_USED ,JEP106 manufacturer use of identity code" "0,1" hexmask.long.byte 0x08 0.--2. 1. " JEP106_ID_6_4 ,JEP106 ARM identification code" line.long 0x0C "PID3,Peripheral Identification 3 Register" hexmask.long.byte 0x0C 4.--7. 1. " REVAND ,User modifiable revision number" hexmask.long.byte 0x0C 0.--3. 1. " MOD_NUMBER ,Modification number" rgroup.long 0xFF0++0x03 line.long 0x00 "CID0,Component Identification 0" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_0 ,Component identity 0" rgroup.long 0xFF4++0x03 line.long 0x00 "CID1,Component Identification 1" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_1 ,Component identity 1" rgroup.long 0xFF8++0x03 line.long 0x00 "CID2,Component Identification 2" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_2 ,Component identity 2" rgroup.long 0xFFC++0x03 line.long 0x00 "CID3,Component Identification 3" hexmask.long.byte 0x00 0.--7. 1. " COMP_ID_3 ,Component identity 3" width 0x0B tree.end endif tree.end tree "TZPC (Protection Controller)" base ad:0x02200000 width 20. group.long 0x00++0x03 line.long 0x00 "TZPCR0SIZE,Secure RAM Region Size Register" hexmask.long.word 0x00 0.--9. 1. " R0SIZE ,Secure RAM region size in 4 KB steps" group.long 0x800++0x03 line.long 0x00 "TZDECPROT0_SET/CLR,TZDECPROT Register 0 Set/Clear" setclrfld.long 0x00 7. 0x04 7. 0x08 7. " DDRC ,DDRC configuration register lock" "Locked,Unlocked" setclrfld.long 0x00 4. 0x04 4. 0x08 4. " UART_1 ,UART 1 secure" "Secure,Non-secure" setclrfld.long 0x00 3. 0x04 3. 0x08 3. " DCFG_RESET ,Protected registers allowed transaction type" "NS=0,All" setclrfld.long 0x00 2. 0x04 2. 0x08 2. " DSPI ,DSPI secure" "Secure,Non-secure" newline setclrfld.long 0x00 1. 0x04 1. 0x08 1. " I2C_1 ,I2C 1 secure" "Secure,Non-secure" group.long 0x80C++0x03 line.long 0x00 "TZDECPROT1_SET/CLR,TZDECPROT Register 1 Set/Clear" setclrfld.long 0x00 5. 0x04 5. 0x08 5. " TZ_WDT_CTL ,TZ allow debug halt state halting and RSTRQ_DIS request blocking" "Not allowed,Allowed" setclrfld.long 0x00 1. 0x04 1. 0x08 1. " SPIDEN ,Global secure privileged invasive debug enable" "Disabled,Enabled" setclrfld.long 0x00 0. 0x04 0. 0x08 0. " SPNIDEN ,Global secure privileged non-invasive debug enable" "Disabled,Enabled" group.long 0x818++0x03 line.long 0x00 "TZDECPROT2_SET/CLR,TZDECPROT Register 2 Set/Clear" setclrfld.long 0x00 7. 0x820 7. 0x820 7. " I2C_2 ,I2C_2 secure" "Secure,Non-secure" setclrfld.long 0x00 6. 0x820 6. 0x820 6. " I2C_3 ,I2C_3 secure" "Secure,Non-secure" setclrfld.long 0x00 5. 0x820 5. 0x820 5. " I2C_4 ,I2C_4 secure" "Secure,Non-secure" setclrfld.long 0x00 4. 0x820 4. 0x820 4. " I2C_5 ,I2C_5 secure" "Secure,Non-secure" newline setclrfld.long 0x00 3. 0x820 3. 0x820 3. " I2C_6 ,I2C_6 secure" "Secure,Non-secure" setclrfld.long 0x00 2. 0x820 2. 0x820 2. " UART_2 ,UART_2 secure" "Secure,Non-secure" setclrfld.long 0x00 1. 0x820 1. 0x820 1. " DSPI_2 ,DSPI_2 secure" "Secure,Non-secure" rgroup.long 0xFE0++0x0F line.long 0x00 "TZPCPERIPHID0,TZPC Peripheral Identification Register 0" hexmask.long.byte 0x00 0.--7. 1. " PARTNUMBER0 ,Part number 0" line.long 0x04 "TZPCPERIPHID1,TZPC Peripheral Identification Register 1" hexmask.long.byte 0x04 4.--7. 1. " DESIGNER0 ,Designer 0" hexmask.long.byte 0x04 0.--3. 1. " PARTNUMBER1 ,Part number 1" line.long 0x08 "TZPCPERIPHID2,TZPC Peripheral Identification Register 2" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision number" hexmask.long.byte 0x08 0.--3. 1. " DESIGNER1 ,Designer 1" line.long 0x0C "TZPCPERIPHID3,TZPC Peripheral Identification Register 3" hexmask.long.byte 0x0C 0.--7. 1. " CONFIGURATION ,Configuration" rgroup.long 0xFF0++0x03 line.long 0x00 "TZPCPCELLID0,TZPC Identification Register 0" hexmask.long.byte 0x00 0.--7. 1. " TZPCPCELLID0 ,TZPC cell ID 0" rgroup.long 0xFF4++0x03 line.long 0x00 "TZPCPCELLID1,TZPC Identification Register 1" hexmask.long.byte 0x00 0.--7. 1. " TZPCPCELLID1 ,TZPC cell ID 1" rgroup.long 0xFF8++0x03 line.long 0x00 "TZPCPCELLID2,TZPC Identification Register 2" hexmask.long.byte 0x00 0.--7. 1. " TZPCPCELLID2 ,TZPC cell ID 2" rgroup.long 0xFFC++0x03 line.long 0x00 "TZPCPCELLID3,TZPC Identification Register 3" hexmask.long.byte 0x00 0.--7. 1. " TZPCPCELLID3 ,TZPC cell ID 3" width 0x0B tree.end tree.end tree.open "CCN-508 (CCN-508 Module)" tree "MN Subregion" base ad:0x04000000 width 25. group.quad 0x00++0x0F line.quad 0x00 "SECURE_ACCESS,Secure Access Register" bitfld.quad 0x00 2. " SECURE_DEBUG_DISABLE ,Secure debug disable" "No,Yes" bitfld.quad 0x00 0. " SECURE_ACCESS ,Enables non-secure access to secure registers" "Disabled,Enabled" line.quad 0x08 "ERRINT_STATUS,Error Interrupt Status Register" bitfld.quad 0x08 7. " DATA_INT_STATUS3 ,Disable interrupt for PMU event" "No,Yes" bitfld.quad 0x08 6. " DATA_INT_STATUS2 ,Disable interrupt for corrected error" "No,Yes" bitfld.quad 0x08 5. " DATA_INT_STATUS1 ,Disable interrupt for all errors including corrected errors" "No,Yes" newline bitfld.quad 0x08 4. " DATA_INT_STATUS0 ,INTREQ interrupt disable" "No,Yes" bitfld.quad 0x08 3. " WRITE_MASK_INT_STATUS3 ,Write to data_int_status[7] enable" "Disabled,Enabled" bitfld.quad 0x08 2. " WRITE_MASK_INT_STATUS2 ,Write to data_int_status[6] enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " WRITE_MASK_INT_STATUS1 ,Write to data_int_status[5] enable" "Disabled,Enabled" bitfld.quad 0x08 0. " WRITE_MASK_INT_STATUS0 ,Write to data_int_status[4] enable" "Disabled,Enabled" rgroup.quad 0x180++0x07 line.quad 0x00 "RN_F_NODE_ID,RN-F Node ID Register" bitfld.quad 0x00 63. " OLY_RNF_NODEID_LIST[63] ,RN-F node ID 63 presence" "Not present,Present" bitfld.quad 0x00 62. " [62] ,RN-F node ID 62 presence" "Not present,Present" bitfld.quad 0x00 61. " [61] ,RN-F node ID 61 presence" "Not present,Present" newline bitfld.quad 0x00 60. " [60] ,RN-F node ID 60 presence" "Not present,Present" bitfld.quad 0x00 59. " [59] ,RN-F node ID 59 presence" "Not present,Present" bitfld.quad 0x00 58. " [58] ,RN-F node ID 58 presence" "Not present,Present" newline bitfld.quad 0x00 57. " [57] ,RN-F node ID 57 presence" "Not present,Present" bitfld.quad 0x00 56. " [56] ,RN-F node ID 56 presence" "Not present,Present" bitfld.quad 0x00 55. " [55] ,RN-F node ID 55 presence" "Not present,Present" newline bitfld.quad 0x00 54. " [54] ,RN-F node ID 54 presence" "Not present,Present" bitfld.quad 0x00 53. " [53] ,RN-F node ID 53 presence" "Not present,Present" bitfld.quad 0x00 52. " [52] ,RN-F node ID 52 presence" "Not present,Present" newline bitfld.quad 0x00 51. " [51] ,RN-F node ID 51 presence" "Not present,Present" bitfld.quad 0x00 50. " [50] ,RN-F node ID 50 presence" "Not present,Present" bitfld.quad 0x00 49. " [49] ,RN-F node ID 49 presence" "Not present,Present" newline bitfld.quad 0x00 48. " [48] ,RN-F node ID 48 presence" "Not present,Present" bitfld.quad 0x00 47. " [47] ,RN-F node ID 47 presence" "Not present,Present" bitfld.quad 0x00 46. " [46] ,RN-F node ID 46 presence" "Not present,Present" newline bitfld.quad 0x00 45. " [45] ,RN-F node ID 45 presence" "Not present,Present" bitfld.quad 0x00 44. " [44] ,RN-F node ID 44 presence" "Not present,Present" bitfld.quad 0x00 43. " [43] ,RN-F node ID 43 presence" "Not present,Present" newline bitfld.quad 0x00 42. " [42] ,RN-F node ID 42 presence" "Not present,Present" bitfld.quad 0x00 41. " [41] ,RN-F node ID 41 presence" "Not present,Present" bitfld.quad 0x00 40. " [40] ,RN-F node ID 40 presence" "Not present,Present" newline bitfld.quad 0x00 39. " [39] ,RN-F node ID 39 presence" "Not present,Present" bitfld.quad 0x00 38. " [38] ,RN-F node ID 38 presence" "Not present,Present" bitfld.quad 0x00 37. " [37] ,RN-F node ID 37 presence" "Not present,Present" newline bitfld.quad 0x00 36. " [36] ,RN-F node ID 36 presence" "Not present,Present" bitfld.quad 0x00 35. " [35] ,RN-F node ID 35 presence" "Not present,Present" bitfld.quad 0x00 34. " [34] ,RN-F node ID 34 presence" "Not present,Present" newline bitfld.quad 0x00 33. " [33] ,RN-F node ID 33 presence" "Not present,Present" bitfld.quad 0x00 32. " [32] ,RN-F node ID 32 presence" "Not present,Present" bitfld.quad 0x00 31. " [31] ,RN-F node ID 31 presence" "Not present,Present" newline bitfld.quad 0x00 30. " [30] ,RN-F node ID 30 presence" "Not present,Present" bitfld.quad 0x00 29. " [29] ,RN-F node ID 29 presence" "Not present,Present" bitfld.quad 0x00 28. " [28] ,RN-F node ID 28 presence" "Not present,Present" newline bitfld.quad 0x00 27. " [27] ,RN-F node ID 27 presence" "Not present,Present" bitfld.quad 0x00 26. " [26] ,RN-F node ID 26 presence" "Not present,Present" bitfld.quad 0x00 25. " [25] ,RN-F node ID 25 presence" "Not present,Present" newline bitfld.quad 0x00 24. " [24] ,RN-F node ID 24 presence" "Not present,Present" bitfld.quad 0x00 23. " [23] ,RN-F node ID 23 presence" "Not present,Present" bitfld.quad 0x00 22. " [22] ,RN-F node ID 22 presence" "Not present,Present" newline bitfld.quad 0x00 21. " [21] ,RN-F node ID 21 presence" "Not present,Present" bitfld.quad 0x00 20. " [20] ,RN-F node ID 20 presence" "Not present,Present" bitfld.quad 0x00 19. " [19] ,RN-F node ID 19 presence" "Not present,Present" newline bitfld.quad 0x00 18. " [18] ,RN-F node ID 18 presence" "Not present,Present" bitfld.quad 0x00 17. " [17] ,RN-F node ID 17 presence" "Not present,Present" bitfld.quad 0x00 16. " [16] ,RN-F node ID 16 presence" "Not present,Present" newline bitfld.quad 0x00 15. " [15] ,RN-F node ID 15 presence" "Not present,Present" bitfld.quad 0x00 14. " [14] ,RN-F node ID 14 presence" "Not present,Present" bitfld.quad 0x00 13. " [13] ,RN-F node ID 13 presence" "Not present,Present" newline bitfld.quad 0x00 12. " [12] ,RN-F node ID 12 presence" "Not present,Present" bitfld.quad 0x00 11. " [11] ,RN-F node ID 11 presence" "Not present,Present" bitfld.quad 0x00 10. " [10] ,RN-F node ID 10 presence" "Not present,Present" newline bitfld.quad 0x00 9. " [9] ,RN-F node ID 9 presence" "Not present,Present" bitfld.quad 0x00 8. " [8] ,RN-F node ID 8 presence" "Not present,Present" bitfld.quad 0x00 7. " [7] ,RN-F node ID 7 presence" "Not present,Present" newline bitfld.quad 0x00 6. " [6] ,RN-F node ID 6 presence" "Not present,Present" bitfld.quad 0x00 5. " [5] ,RN-F node ID 5 presence" "Not present,Present" bitfld.quad 0x00 4. " [4] ,RN-F node ID 4 presence" "Not present,Present" newline bitfld.quad 0x00 3. " [3] ,RN-F node ID 3 presence" "Not present,Present" bitfld.quad 0x00 2. " [2] ,RN-F node ID 2 presence" "Not present,Present" bitfld.quad 0x00 1. " [1] ,RN-F node ID 1 presence" "Not present,Present" newline bitfld.quad 0x00 0. " [0] ,RN-F node ID 0 presence" "Not present,Present" rgroup.quad 0x190++0x07 line.quad 0x00 "RN_I_NODE_ID,RN-I Node ID Register" bitfld.quad 0x00 63. " OLY_RNI_NODEID_LIST[63] ,RN-I node ID 63 presence" "Not present,Present" bitfld.quad 0x00 62. " [62] ,RN-I node ID 62 presence" "Not present,Present" bitfld.quad 0x00 61. " [61] ,RN-I node ID 61 presence" "Not present,Present" newline bitfld.quad 0x00 60. " [60] ,RN-I node ID 60 presence" "Not present,Present" bitfld.quad 0x00 59. " [59] ,RN-I node ID 59 presence" "Not present,Present" bitfld.quad 0x00 58. " [58] ,RN-I node ID 58 presence" "Not present,Present" newline bitfld.quad 0x00 57. " [57] ,RN-I node ID 57 presence" "Not present,Present" bitfld.quad 0x00 56. " [56] ,RN-I node ID 56 presence" "Not present,Present" bitfld.quad 0x00 55. " [55] ,RN-I node ID 55 presence" "Not present,Present" newline bitfld.quad 0x00 54. " [54] ,RN-I node ID 54 presence" "Not present,Present" bitfld.quad 0x00 53. " [53] ,RN-I node ID 53 presence" "Not present,Present" bitfld.quad 0x00 52. " [52] ,RN-I node ID 52 presence" "Not present,Present" newline bitfld.quad 0x00 51. " [51] ,RN-I node ID 51 presence" "Not present,Present" bitfld.quad 0x00 50. " [50] ,RN-I node ID 50 presence" "Not present,Present" bitfld.quad 0x00 49. " [49] ,RN-I node ID 49 presence" "Not present,Present" newline bitfld.quad 0x00 48. " [48] ,RN-I node ID 48 presence" "Not present,Present" bitfld.quad 0x00 47. " [47] ,RN-I node ID 47 presence" "Not present,Present" bitfld.quad 0x00 46. " [46] ,RN-I node ID 46 presence" "Not present,Present" newline bitfld.quad 0x00 45. " [45] ,RN-I node ID 45 presence" "Not present,Present" bitfld.quad 0x00 44. " [44] ,RN-I node ID 44 presence" "Not present,Present" bitfld.quad 0x00 43. " [43] ,RN-I node ID 43 presence" "Not present,Present" newline bitfld.quad 0x00 42. " [42] ,RN-I node ID 42 presence" "Not present,Present" bitfld.quad 0x00 41. " [41] ,RN-I node ID 41 presence" "Not present,Present" bitfld.quad 0x00 40. " [40] ,RN-I node ID 40 presence" "Not present,Present" newline bitfld.quad 0x00 39. " [39] ,RN-I node ID 39 presence" "Not present,Present" bitfld.quad 0x00 38. " [38] ,RN-I node ID 38 presence" "Not present,Present" bitfld.quad 0x00 37. " [37] ,RN-I node ID 37 presence" "Not present,Present" newline bitfld.quad 0x00 36. " [36] ,RN-I node ID 36 presence" "Not present,Present" bitfld.quad 0x00 35. " [35] ,RN-I node ID 35 presence" "Not present,Present" bitfld.quad 0x00 34. " [34] ,RN-I node ID 34 presence" "Not present,Present" newline bitfld.quad 0x00 33. " [33] ,RN-I node ID 33 presence" "Not present,Present" bitfld.quad 0x00 32. " [32] ,RN-I node ID 32 presence" "Not present,Present" bitfld.quad 0x00 31. " [31] ,RN-I node ID 31 presence" "Not present,Present" newline bitfld.quad 0x00 30. " [30] ,RN-I node ID 30 presence" "Not present,Present" bitfld.quad 0x00 29. " [29] ,RN-I node ID 29 presence" "Not present,Present" bitfld.quad 0x00 28. " [28] ,RN-I node ID 28 presence" "Not present,Present" newline bitfld.quad 0x00 27. " [27] ,RN-I node ID 27 presence" "Not present,Present" bitfld.quad 0x00 26. " [26] ,RN-I node ID 26 presence" "Not present,Present" bitfld.quad 0x00 25. " [25] ,RN-I node ID 25 presence" "Not present,Present" newline bitfld.quad 0x00 24. " [24] ,RN-I node ID 24 presence" "Not present,Present" bitfld.quad 0x00 23. " [23] ,RN-I node ID 23 presence" "Not present,Present" bitfld.quad 0x00 22. " [22] ,RN-I node ID 22 presence" "Not present,Present" newline bitfld.quad 0x00 21. " [21] ,RN-I node ID 21 presence" "Not present,Present" bitfld.quad 0x00 20. " [20] ,RN-I node ID 20 presence" "Not present,Present" bitfld.quad 0x00 19. " [19] ,RN-I node ID 19 presence" "Not present,Present" newline bitfld.quad 0x00 18. " [18] ,RN-I node ID 18 presence" "Not present,Present" bitfld.quad 0x00 17. " [17] ,RN-I node ID 17 presence" "Not present,Present" bitfld.quad 0x00 16. " [16] ,RN-I node ID 16 presence" "Not present,Present" newline bitfld.quad 0x00 15. " [15] ,RN-I node ID 15 presence" "Not present,Present" bitfld.quad 0x00 14. " [14] ,RN-I node ID 14 presence" "Not present,Present" bitfld.quad 0x00 13. " [13] ,RN-I node ID 13 presence" "Not present,Present" newline bitfld.quad 0x00 12. " [12] ,RN-I node ID 12 presence" "Not present,Present" bitfld.quad 0x00 11. " [11] ,RN-I node ID 11 presence" "Not present,Present" bitfld.quad 0x00 10. " [10] ,RN-I node ID 10 presence" "Not present,Present" newline bitfld.quad 0x00 9. " [9] ,RN-I node ID 9 presence" "Not present,Present" bitfld.quad 0x00 8. " [8] ,RN-I node ID 8 presence" "Not present,Present" bitfld.quad 0x00 7. " [7] ,RN-I node ID 7 presence" "Not present,Present" newline bitfld.quad 0x00 6. " [6] ,RN-I node ID 6 presence" "Not present,Present" bitfld.quad 0x00 5. " [5] ,RN-I node ID 5 presence" "Not present,Present" bitfld.quad 0x00 4. " [4] ,RN-I node ID 4 presence" "Not present,Present" newline bitfld.quad 0x00 3. " [3] ,RN-I node ID 3 presence" "Not present,Present" bitfld.quad 0x00 2. " [2] ,RN-I node ID 2 presence" "Not present,Present" bitfld.quad 0x00 1. " [1] ,RN-I node ID 1 presence" "Not present,Present" newline bitfld.quad 0x00 0. " [0] ,RN-I node ID 0 presence" "Not present,Present" rgroup.quad 0x1A0++0x07 line.quad 0x00 "RN_D_NODE_ID_LIST,RN-D Node ID Register" bitfld.quad 0x00 63. " OLY_RNIDVM_NODEID_LIST[63] ,RN-D node ID 63 presence" "Not present,Present" bitfld.quad 0x00 62. " [62] ,RN-D node ID 62 presence" "Not present,Present" bitfld.quad 0x00 61. " [61] ,RN-D node ID 61 presence" "Not present,Present" newline bitfld.quad 0x00 60. " [60] ,RN-D node ID 60 presence" "Not present,Present" bitfld.quad 0x00 59. " [59] ,RN-D node ID 59 presence" "Not present,Present" bitfld.quad 0x00 58. " [58] ,RN-D node ID 58 presence" "Not present,Present" newline bitfld.quad 0x00 57. " [57] ,RN-D node ID 57 presence" "Not present,Present" bitfld.quad 0x00 56. " [56] ,RN-D node ID 56 presence" "Not present,Present" bitfld.quad 0x00 55. " [55] ,RN-D node ID 55 presence" "Not present,Present" newline bitfld.quad 0x00 54. " [54] ,RN-D node ID 54 presence" "Not present,Present" bitfld.quad 0x00 53. " [53] ,RN-D node ID 53 presence" "Not present,Present" bitfld.quad 0x00 52. " [52] ,RN-D node ID 52 presence" "Not present,Present" newline bitfld.quad 0x00 51. " [51] ,RN-D node ID 51 presence" "Not present,Present" bitfld.quad 0x00 50. " [50] ,RN-D node ID 50 presence" "Not present,Present" bitfld.quad 0x00 49. " [49] ,RN-D node ID 49 presence" "Not present,Present" newline bitfld.quad 0x00 48. " [48] ,RN-D node ID 48 presence" "Not present,Present" bitfld.quad 0x00 47. " [47] ,RN-D node ID 47 presence" "Not present,Present" bitfld.quad 0x00 46. " [46] ,RN-D node ID 46 presence" "Not present,Present" newline bitfld.quad 0x00 45. " [45] ,RN-D node ID 45 presence" "Not present,Present" bitfld.quad 0x00 44. " [44] ,RN-D node ID 44 presence" "Not present,Present" bitfld.quad 0x00 43. " [43] ,RN-D node ID 43 presence" "Not present,Present" newline bitfld.quad 0x00 42. " [42] ,RN-D node ID 42 presence" "Not present,Present" bitfld.quad 0x00 41. " [41] ,RN-D node ID 41 presence" "Not present,Present" bitfld.quad 0x00 40. " [40] ,RN-D node ID 40 presence" "Not present,Present" newline bitfld.quad 0x00 39. " [39] ,RN-D node ID 39 presence" "Not present,Present" bitfld.quad 0x00 38. " [38] ,RN-D node ID 38 presence" "Not present,Present" bitfld.quad 0x00 37. " [37] ,RN-D node ID 37 presence" "Not present,Present" newline bitfld.quad 0x00 36. " [36] ,RN-D node ID 36 presence" "Not present,Present" bitfld.quad 0x00 35. " [35] ,RN-D node ID 35 presence" "Not present,Present" bitfld.quad 0x00 34. " [34] ,RN-D node ID 34 presence" "Not present,Present" newline bitfld.quad 0x00 33. " [33] ,RN-D node ID 33 presence" "Not present,Present" bitfld.quad 0x00 32. " [32] ,RN-D node ID 32 presence" "Not present,Present" bitfld.quad 0x00 31. " [31] ,RN-D node ID 31 presence" "Not present,Present" newline bitfld.quad 0x00 30. " [30] ,RN-D node ID 30 presence" "Not present,Present" bitfld.quad 0x00 29. " [29] ,RN-D node ID 29 presence" "Not present,Present" bitfld.quad 0x00 28. " [28] ,RN-D node ID 28 presence" "Not present,Present" newline bitfld.quad 0x00 27. " [27] ,RN-D node ID 27 presence" "Not present,Present" bitfld.quad 0x00 26. " [26] ,RN-D node ID 26 presence" "Not present,Present" bitfld.quad 0x00 25. " [25] ,RN-D node ID 25 presence" "Not present,Present" newline bitfld.quad 0x00 24. " [24] ,RN-D node ID 24 presence" "Not present,Present" bitfld.quad 0x00 23. " [23] ,RN-D node ID 23 presence" "Not present,Present" bitfld.quad 0x00 22. " [22] ,RN-D node ID 22 presence" "Not present,Present" newline bitfld.quad 0x00 21. " [21] ,RN-D node ID 21 presence" "Not present,Present" bitfld.quad 0x00 20. " [20] ,RN-D node ID 20 presence" "Not present,Present" bitfld.quad 0x00 19. " [19] ,RN-D node ID 19 presence" "Not present,Present" newline bitfld.quad 0x00 18. " [18] ,RN-D node ID 18 presence" "Not present,Present" bitfld.quad 0x00 17. " [17] ,RN-D node ID 17 presence" "Not present,Present" bitfld.quad 0x00 16. " [16] ,RN-D node ID 16 presence" "Not present,Present" newline bitfld.quad 0x00 15. " [15] ,RN-D node ID 15 presence" "Not present,Present" bitfld.quad 0x00 14. " [14] ,RN-D node ID 14 presence" "Not present,Present" bitfld.quad 0x00 13. " [13] ,RN-D node ID 13 presence" "Not present,Present" newline bitfld.quad 0x00 12. " [12] ,RN-D node ID 12 presence" "Not present,Present" bitfld.quad 0x00 11. " [11] ,RN-D node ID 11 presence" "Not present,Present" bitfld.quad 0x00 10. " [10] ,RN-D node ID 10 presence" "Not present,Present" newline bitfld.quad 0x00 9. " [9] ,RN-D node ID 9 presence" "Not present,Present" bitfld.quad 0x00 8. " [8] ,RN-D node ID 8 presence" "Not present,Present" bitfld.quad 0x00 7. " [7] ,RN-D node ID 7 presence" "Not present,Present" newline bitfld.quad 0x00 6. " [6] ,RN-D node ID 6 presence" "Not present,Present" bitfld.quad 0x00 5. " [5] ,RN-D node ID 5 presence" "Not present,Present" bitfld.quad 0x00 4. " [4] ,RN-D node ID 4 presence" "Not present,Present" newline bitfld.quad 0x00 3. " [3] ,RN-D node ID 3 presence" "Not present,Present" bitfld.quad 0x00 2. " [2] ,RN-D node ID 2 presence" "Not present,Present" bitfld.quad 0x00 1. " [1] ,RN-D node ID 1 presence" "Not present,Present" newline bitfld.quad 0x00 0. " [0] ,RN-D node ID 0 presence" "Not present,Present" rgroup.quad 0x1B0++0x07 line.quad 0x00 "HN_F_NODE_ID,HN-F Node ID Register" bitfld.quad 0x00 63. " OLY_HNF_NODEID_LIST[63] ,HN-F node ID 63 presence" "Not present,Present" bitfld.quad 0x00 62. " [62] ,HN-F node ID 62 presence" "Not present,Present" bitfld.quad 0x00 61. " [61] ,HN-F node ID 61 presence" "Not present,Present" newline bitfld.quad 0x00 60. " [60] ,HN-F node ID 60 presence" "Not present,Present" bitfld.quad 0x00 59. " [59] ,HN-F node ID 59 presence" "Not present,Present" bitfld.quad 0x00 58. " [58] ,HN-F node ID 58 presence" "Not present,Present" newline bitfld.quad 0x00 57. " [57] ,HN-F node ID 57 presence" "Not present,Present" bitfld.quad 0x00 56. " [56] ,HN-F node ID 56 presence" "Not present,Present" bitfld.quad 0x00 55. " [55] ,HN-F node ID 55 presence" "Not present,Present" newline bitfld.quad 0x00 54. " [54] ,HN-F node ID 54 presence" "Not present,Present" bitfld.quad 0x00 53. " [53] ,HN-F node ID 53 presence" "Not present,Present" bitfld.quad 0x00 52. " [52] ,HN-F node ID 52 presence" "Not present,Present" newline bitfld.quad 0x00 51. " [51] ,HN-F node ID 51 presence" "Not present,Present" bitfld.quad 0x00 50. " [50] ,HN-F node ID 50 presence" "Not present,Present" bitfld.quad 0x00 49. " [49] ,HN-F node ID 49 presence" "Not present,Present" newline bitfld.quad 0x00 48. " [48] ,HN-F node ID 48 presence" "Not present,Present" bitfld.quad 0x00 47. " [47] ,HN-F node ID 47 presence" "Not present,Present" bitfld.quad 0x00 46. " [46] ,HN-F node ID 46 presence" "Not present,Present" newline bitfld.quad 0x00 45. " [45] ,HN-F node ID 45 presence" "Not present,Present" bitfld.quad 0x00 44. " [44] ,HN-F node ID 44 presence" "Not present,Present" bitfld.quad 0x00 43. " [43] ,HN-F node ID 43 presence" "Not present,Present" newline bitfld.quad 0x00 42. " [42] ,HN-F node ID 42 presence" "Not present,Present" bitfld.quad 0x00 41. " [41] ,HN-F node ID 41 presence" "Not present,Present" bitfld.quad 0x00 40. " [40] ,HN-F node ID 40 presence" "Not present,Present" newline bitfld.quad 0x00 39. " [39] ,HN-F node ID 39 presence" "Not present,Present" bitfld.quad 0x00 38. " [38] ,HN-F node ID 38 presence" "Not present,Present" bitfld.quad 0x00 37. " [37] ,HN-F node ID 37 presence" "Not present,Present" newline bitfld.quad 0x00 36. " [36] ,HN-F node ID 36 presence" "Not present,Present" bitfld.quad 0x00 35. " [35] ,HN-F node ID 35 presence" "Not present,Present" bitfld.quad 0x00 34. " [34] ,HN-F node ID 34 presence" "Not present,Present" newline bitfld.quad 0x00 33. " [33] ,HN-F node ID 33 presence" "Not present,Present" bitfld.quad 0x00 32. " [32] ,HN-F node ID 32 presence" "Not present,Present" bitfld.quad 0x00 31. " [31] ,HN-F node ID 31 presence" "Not present,Present" newline bitfld.quad 0x00 30. " [30] ,HN-F node ID 30 presence" "Not present,Present" bitfld.quad 0x00 29. " [29] ,HN-F node ID 29 presence" "Not present,Present" bitfld.quad 0x00 28. " [28] ,HN-F node ID 28 presence" "Not present,Present" newline bitfld.quad 0x00 27. " [27] ,HN-F node ID 27 presence" "Not present,Present" bitfld.quad 0x00 26. " [26] ,HN-F node ID 26 presence" "Not present,Present" bitfld.quad 0x00 25. " [25] ,HN-F node ID 25 presence" "Not present,Present" newline bitfld.quad 0x00 24. " [24] ,HN-F node ID 24 presence" "Not present,Present" bitfld.quad 0x00 23. " [23] ,HN-F node ID 23 presence" "Not present,Present" bitfld.quad 0x00 22. " [22] ,HN-F node ID 22 presence" "Not present,Present" newline bitfld.quad 0x00 21. " [21] ,HN-F node ID 21 presence" "Not present,Present" bitfld.quad 0x00 20. " [20] ,HN-F node ID 20 presence" "Not present,Present" bitfld.quad 0x00 19. " [19] ,HN-F node ID 19 presence" "Not present,Present" newline bitfld.quad 0x00 18. " [18] ,HN-F node ID 18 presence" "Not present,Present" bitfld.quad 0x00 17. " [17] ,HN-F node ID 17 presence" "Not present,Present" bitfld.quad 0x00 16. " [16] ,HN-F node ID 16 presence" "Not present,Present" newline bitfld.quad 0x00 15. " [15] ,HN-F node ID 15 presence" "Not present,Present" bitfld.quad 0x00 14. " [14] ,HN-F node ID 14 presence" "Not present,Present" bitfld.quad 0x00 13. " [13] ,HN-F node ID 13 presence" "Not present,Present" newline bitfld.quad 0x00 12. " [12] ,HN-F node ID 12 presence" "Not present,Present" bitfld.quad 0x00 11. " [11] ,HN-F node ID 11 presence" "Not present,Present" bitfld.quad 0x00 10. " [10] ,HN-F node ID 10 presence" "Not present,Present" newline bitfld.quad 0x00 9. " [9] ,HN-F node ID 9 presence" "Not present,Present" bitfld.quad 0x00 8. " [8] ,HN-F node ID 8 presence" "Not present,Present" bitfld.quad 0x00 7. " [7] ,HN-F node ID 7 presence" "Not present,Present" newline bitfld.quad 0x00 6. " [6] ,HN-F node ID 6 presence" "Not present,Present" bitfld.quad 0x00 5. " [5] ,HN-F node ID 5 presence" "Not present,Present" bitfld.quad 0x00 4. " [4] ,HN-F node ID 4 presence" "Not present,Present" newline bitfld.quad 0x00 3. " [3] ,HN-F node ID 3 presence" "Not present,Present" bitfld.quad 0x00 2. " [2] ,HN-F node ID 2 presence" "Not present,Present" bitfld.quad 0x00 1. " [1] ,HN-F node ID 1 presence" "Not present,Present" newline bitfld.quad 0x00 0. " [0] ,HN-F node ID 0 presence" "Not present,Present" rgroup.quad 0x1C0++0x07 line.quad 0x00 "HN_I_NODE_ID,HN-I Node ID Register" bitfld.quad 0x00 63. " OLY_HNI_NODEID_LIST[63] ,HN-I node ID 63 presence" "Not present,Present" bitfld.quad 0x00 62. " [62] ,HN-I node ID 62 presence" "Not present,Present" bitfld.quad 0x00 61. " [61] ,HN-I node ID 61 presence" "Not present,Present" newline bitfld.quad 0x00 60. " [60] ,HN-I node ID 60 presence" "Not present,Present" bitfld.quad 0x00 59. " [59] ,HN-I node ID 59 presence" "Not present,Present" bitfld.quad 0x00 58. " [58] ,HN-I node ID 58 presence" "Not present,Present" newline bitfld.quad 0x00 57. " [57] ,HN-I node ID 57 presence" "Not present,Present" bitfld.quad 0x00 56. " [56] ,HN-I node ID 56 presence" "Not present,Present" bitfld.quad 0x00 55. " [55] ,HN-I node ID 55 presence" "Not present,Present" newline bitfld.quad 0x00 54. " [54] ,HN-I node ID 54 presence" "Not present,Present" bitfld.quad 0x00 53. " [53] ,HN-I node ID 53 presence" "Not present,Present" bitfld.quad 0x00 52. " [52] ,HN-I node ID 52 presence" "Not present,Present" newline bitfld.quad 0x00 51. " [51] ,HN-I node ID 51 presence" "Not present,Present" bitfld.quad 0x00 50. " [50] ,HN-I node ID 50 presence" "Not present,Present" bitfld.quad 0x00 49. " [49] ,HN-I node ID 49 presence" "Not present,Present" newline bitfld.quad 0x00 48. " [48] ,HN-I node ID 48 presence" "Not present,Present" bitfld.quad 0x00 47. " [47] ,HN-I node ID 47 presence" "Not present,Present" bitfld.quad 0x00 46. " [46] ,HN-I node ID 46 presence" "Not present,Present" newline bitfld.quad 0x00 45. " [45] ,HN-I node ID 45 presence" "Not present,Present" bitfld.quad 0x00 44. " [44] ,HN-I node ID 44 presence" "Not present,Present" bitfld.quad 0x00 43. " [43] ,HN-I node ID 43 presence" "Not present,Present" newline bitfld.quad 0x00 42. " [42] ,HN-I node ID 42 presence" "Not present,Present" bitfld.quad 0x00 41. " [41] ,HN-I node ID 41 presence" "Not present,Present" bitfld.quad 0x00 40. " [40] ,HN-I node ID 40 presence" "Not present,Present" newline bitfld.quad 0x00 39. " [39] ,HN-I node ID 39 presence" "Not present,Present" bitfld.quad 0x00 38. " [38] ,HN-I node ID 38 presence" "Not present,Present" bitfld.quad 0x00 37. " [37] ,HN-I node ID 37 presence" "Not present,Present" newline bitfld.quad 0x00 36. " [36] ,HN-I node ID 36 presence" "Not present,Present" bitfld.quad 0x00 35. " [35] ,HN-I node ID 35 presence" "Not present,Present" bitfld.quad 0x00 34. " [34] ,HN-I node ID 34 presence" "Not present,Present" newline bitfld.quad 0x00 33. " [33] ,HN-I node ID 33 presence" "Not present,Present" bitfld.quad 0x00 32. " [32] ,HN-I node ID 32 presence" "Not present,Present" bitfld.quad 0x00 31. " [31] ,HN-I node ID 31 presence" "Not present,Present" newline bitfld.quad 0x00 30. " [30] ,HN-I node ID 30 presence" "Not present,Present" bitfld.quad 0x00 29. " [29] ,HN-I node ID 29 presence" "Not present,Present" bitfld.quad 0x00 28. " [28] ,HN-I node ID 28 presence" "Not present,Present" newline bitfld.quad 0x00 27. " [27] ,HN-I node ID 27 presence" "Not present,Present" bitfld.quad 0x00 26. " [26] ,HN-I node ID 26 presence" "Not present,Present" bitfld.quad 0x00 25. " [25] ,HN-I node ID 25 presence" "Not present,Present" newline bitfld.quad 0x00 24. " [24] ,HN-I node ID 24 presence" "Not present,Present" bitfld.quad 0x00 23. " [23] ,HN-I node ID 23 presence" "Not present,Present" bitfld.quad 0x00 22. " [22] ,HN-I node ID 22 presence" "Not present,Present" newline bitfld.quad 0x00 21. " [21] ,HN-I node ID 21 presence" "Not present,Present" bitfld.quad 0x00 20. " [20] ,HN-I node ID 20 presence" "Not present,Present" bitfld.quad 0x00 19. " [19] ,HN-I node ID 19 presence" "Not present,Present" newline bitfld.quad 0x00 18. " [18] ,HN-I node ID 18 presence" "Not present,Present" bitfld.quad 0x00 17. " [17] ,HN-I node ID 17 presence" "Not present,Present" bitfld.quad 0x00 16. " [16] ,HN-I node ID 16 presence" "Not present,Present" newline bitfld.quad 0x00 15. " [15] ,HN-I node ID 15 presence" "Not present,Present" bitfld.quad 0x00 14. " [14] ,HN-I node ID 14 presence" "Not present,Present" bitfld.quad 0x00 13. " [13] ,HN-I node ID 13 presence" "Not present,Present" newline bitfld.quad 0x00 12. " [12] ,HN-I node ID 12 presence" "Not present,Present" bitfld.quad 0x00 11. " [11] ,HN-I node ID 11 presence" "Not present,Present" bitfld.quad 0x00 10. " [10] ,HN-I node ID 10 presence" "Not present,Present" newline bitfld.quad 0x00 9. " [9] ,HN-I node ID 9 presence" "Not present,Present" bitfld.quad 0x00 8. " [8] ,HN-I node ID 8 presence" "Not present,Present" bitfld.quad 0x00 7. " [7] ,HN-I node ID 7 presence" "Not present,Present" newline bitfld.quad 0x00 6. " [6] ,HN-I node ID 6 presence" "Not present,Present" bitfld.quad 0x00 5. " [5] ,HN-I node ID 5 presence" "Not present,Present" bitfld.quad 0x00 4. " [4] ,HN-I node ID 4 presence" "Not present,Present" newline bitfld.quad 0x00 3. " [3] ,HN-I node ID 3 presence" "Not present,Present" bitfld.quad 0x00 2. " [2] ,HN-I node ID 2 presence" "Not present,Present" bitfld.quad 0x00 1. " [1] ,HN-I node ID 1 presence" "Not present,Present" newline bitfld.quad 0x00 0. " [0] ,HN-I node ID 0 presence" "Not present,Present" rgroup.quad 0x1D0++0x07 line.quad 0x00 "SN_NODE_ID,SN Node ID Register" bitfld.quad 0x00 63. " OLY_SN_NODEID_LIST[63] ,SN node ID 63 presence" "Not present,Present" bitfld.quad 0x00 62. " [62] ,SN node ID 62 presence" "Not present,Present" bitfld.quad 0x00 61. " [61] ,SN node ID 61 presence" "Not present,Present" newline bitfld.quad 0x00 60. " [60] ,SN node ID 60 presence" "Not present,Present" bitfld.quad 0x00 59. " [59] ,SN node ID 59 presence" "Not present,Present" bitfld.quad 0x00 58. " [58] ,SN node ID 58 presence" "Not present,Present" newline bitfld.quad 0x00 57. " [57] ,SN node ID 57 presence" "Not present,Present" bitfld.quad 0x00 56. " [56] ,SN node ID 56 presence" "Not present,Present" bitfld.quad 0x00 55. " [55] ,SN node ID 55 presence" "Not present,Present" newline bitfld.quad 0x00 54. " [54] ,SN node ID 54 presence" "Not present,Present" bitfld.quad 0x00 53. " [53] ,SN node ID 53 presence" "Not present,Present" bitfld.quad 0x00 52. " [52] ,SN node ID 52 presence" "Not present,Present" newline bitfld.quad 0x00 51. " [51] ,SN node ID 51 presence" "Not present,Present" bitfld.quad 0x00 50. " [50] ,SN node ID 50 presence" "Not present,Present" bitfld.quad 0x00 49. " [49] ,SN node ID 49 presence" "Not present,Present" newline bitfld.quad 0x00 48. " [48] ,SN node ID 48 presence" "Not present,Present" bitfld.quad 0x00 47. " [47] ,SN node ID 47 presence" "Not present,Present" bitfld.quad 0x00 46. " [46] ,SN node ID 46 presence" "Not present,Present" newline bitfld.quad 0x00 45. " [45] ,SN node ID 45 presence" "Not present,Present" bitfld.quad 0x00 44. " [44] ,SN node ID 44 presence" "Not present,Present" bitfld.quad 0x00 43. " [43] ,SN node ID 43 presence" "Not present,Present" newline bitfld.quad 0x00 42. " [42] ,SN node ID 42 presence" "Not present,Present" bitfld.quad 0x00 41. " [41] ,SN node ID 41 presence" "Not present,Present" bitfld.quad 0x00 40. " [40] ,SN node ID 40 presence" "Not present,Present" newline bitfld.quad 0x00 39. " [39] ,SN node ID 39 presence" "Not present,Present" bitfld.quad 0x00 38. " [38] ,SN node ID 38 presence" "Not present,Present" bitfld.quad 0x00 37. " [37] ,SN node ID 37 presence" "Not present,Present" newline bitfld.quad 0x00 36. " [36] ,SN node ID 36 presence" "Not present,Present" bitfld.quad 0x00 35. " [35] ,SN node ID 35 presence" "Not present,Present" bitfld.quad 0x00 34. " [34] ,SN node ID 34 presence" "Not present,Present" newline bitfld.quad 0x00 33. " [33] ,SN node ID 33 presence" "Not present,Present" bitfld.quad 0x00 32. " [32] ,SN node ID 32 presence" "Not present,Present" bitfld.quad 0x00 31. " [31] ,SN node ID 31 presence" "Not present,Present" newline bitfld.quad 0x00 30. " [30] ,SN node ID 30 presence" "Not present,Present" bitfld.quad 0x00 29. " [29] ,SN node ID 29 presence" "Not present,Present" bitfld.quad 0x00 28. " [28] ,SN node ID 28 presence" "Not present,Present" newline bitfld.quad 0x00 27. " [27] ,SN node ID 27 presence" "Not present,Present" bitfld.quad 0x00 26. " [26] ,SN node ID 26 presence" "Not present,Present" bitfld.quad 0x00 25. " [25] ,SN node ID 25 presence" "Not present,Present" newline bitfld.quad 0x00 24. " [24] ,SN node ID 24 presence" "Not present,Present" bitfld.quad 0x00 23. " [23] ,SN node ID 23 presence" "Not present,Present" bitfld.quad 0x00 22. " [22] ,SN node ID 22 presence" "Not present,Present" newline bitfld.quad 0x00 21. " [21] ,SN node ID 21 presence" "Not present,Present" bitfld.quad 0x00 20. " [20] ,SN node ID 20 presence" "Not present,Present" bitfld.quad 0x00 19. " [19] ,SN node ID 19 presence" "Not present,Present" newline bitfld.quad 0x00 18. " [18] ,SN node ID 18 presence" "Not present,Present" bitfld.quad 0x00 17. " [17] ,SN node ID 17 presence" "Not present,Present" bitfld.quad 0x00 16. " [16] ,SN node ID 16 presence" "Not present,Present" newline bitfld.quad 0x00 15. " [15] ,SN node ID 15 presence" "Not present,Present" bitfld.quad 0x00 14. " [14] ,SN node ID 14 presence" "Not present,Present" bitfld.quad 0x00 13. " [13] ,SN node ID 13 presence" "Not present,Present" newline bitfld.quad 0x00 12. " [12] ,SN node ID 12 presence" "Not present,Present" bitfld.quad 0x00 11. " [11] ,SN node ID 11 presence" "Not present,Present" bitfld.quad 0x00 10. " [10] ,SN node ID 10 presence" "Not present,Present" newline bitfld.quad 0x00 9. " [9] ,SN node ID 9 presence" "Not present,Present" bitfld.quad 0x00 8. " [8] ,SN node ID 8 presence" "Not present,Present" bitfld.quad 0x00 7. " [7] ,SN node ID 7 presence" "Not present,Present" newline bitfld.quad 0x00 6. " [6] ,SN node ID 6 presence" "Not present,Present" bitfld.quad 0x00 5. " [5] ,SN node ID 5 presence" "Not present,Present" bitfld.quad 0x00 4. " [4] ,SN node ID 4 presence" "Not present,Present" newline bitfld.quad 0x00 3. " [3] ,SN node ID 3 presence" "Not present,Present" bitfld.quad 0x00 2. " [2] ,SN node ID 2 presence" "Not present,Present" bitfld.quad 0x00 1. " [1] ,SN node ID 1 presence" "Not present,Present" newline bitfld.quad 0x00 0. " [0] ,SN node ID 0 presence" "Not present,Present" rgroup.quad 0x1E0++0x1F line.quad 0x00 "COMP_LIST_63_0,Component List [63:0] Register" bitfld.quad 0x00 63. " OLY_CFG_COMP_LIST[63] ,Configuration component 63 presence" "Not present,Present" bitfld.quad 0x00 62. " [62] ,Configuration component 62 presence" "Not present,Present" bitfld.quad 0x00 61. " [61] ,Configuration component 61 presence" "Not present,Present" newline bitfld.quad 0x00 60. " [60] ,Configuration component 60 presence" "Not present,Present" bitfld.quad 0x00 59. " [59] ,Configuration component 59 presence" "Not present,Present" bitfld.quad 0x00 58. " [58] ,Configuration component 58 presence" "Not present,Present" newline bitfld.quad 0x00 57. " [57] ,Configuration component 57 presence" "Not present,Present" bitfld.quad 0x00 56. " [56] ,Configuration component 56 presence" "Not present,Present" bitfld.quad 0x00 55. " [55] ,Configuration component 55 presence" "Not present,Present" newline bitfld.quad 0x00 54. " [54] ,Configuration component 54 presence" "Not present,Present" bitfld.quad 0x00 53. " [53] ,Configuration component 53 presence" "Not present,Present" bitfld.quad 0x00 52. " [52] ,Configuration component 52 presence" "Not present,Present" newline bitfld.quad 0x00 51. " [51] ,Configuration component 51 presence" "Not present,Present" bitfld.quad 0x00 50. " [50] ,Configuration component 50 presence" "Not present,Present" bitfld.quad 0x00 49. " [49] ,Configuration component 49 presence" "Not present,Present" newline bitfld.quad 0x00 48. " [48] ,Configuration component 48 presence" "Not present,Present" bitfld.quad 0x00 47. " [47] ,Configuration component 47 presence" "Not present,Present" bitfld.quad 0x00 46. " [46] ,Configuration component 46 presence" "Not present,Present" newline bitfld.quad 0x00 45. " [45] ,Configuration component 45 presence" "Not present,Present" bitfld.quad 0x00 44. " [44] ,Configuration component 44 presence" "Not present,Present" bitfld.quad 0x00 43. " [43] ,Configuration component 43 presence" "Not present,Present" newline bitfld.quad 0x00 42. " [42] ,Configuration component 42 presence" "Not present,Present" bitfld.quad 0x00 41. " [41] ,Configuration component 41 presence" "Not present,Present" bitfld.quad 0x00 40. " [40] ,Configuration component 40 presence" "Not present,Present" newline bitfld.quad 0x00 39. " [39] ,Configuration component 39 presence" "Not present,Present" bitfld.quad 0x00 38. " [38] ,Configuration component 38 presence" "Not present,Present" bitfld.quad 0x00 37. " [37] ,Configuration component 37 presence" "Not present,Present" newline bitfld.quad 0x00 36. " [36] ,Configuration component 36 presence" "Not present,Present" bitfld.quad 0x00 35. " [35] ,Configuration component 35 presence" "Not present,Present" bitfld.quad 0x00 34. " [34] ,Configuration component 34 presence" "Not present,Present" newline bitfld.quad 0x00 33. " [33] ,Configuration component 33 presence" "Not present,Present" bitfld.quad 0x00 32. " [32] ,Configuration component 32 presence" "Not present,Present" bitfld.quad 0x00 31. " [31] ,Configuration component 31 presence" "Not present,Present" newline bitfld.quad 0x00 30. " [30] ,Configuration component 30 presence" "Not present,Present" bitfld.quad 0x00 29. " [29] ,Configuration component 29 presence" "Not present,Present" bitfld.quad 0x00 28. " [28] ,Configuration component 28 presence" "Not present,Present" newline bitfld.quad 0x00 27. " [27] ,Configuration component 27 presence" "Not present,Present" bitfld.quad 0x00 26. " [26] ,Configuration component 26 presence" "Not present,Present" bitfld.quad 0x00 25. " [25] ,Configuration component 25 presence" "Not present,Present" newline bitfld.quad 0x00 24. " [24] ,Configuration component 24 presence" "Not present,Present" bitfld.quad 0x00 23. " [23] ,Configuration component 23 presence" "Not present,Present" bitfld.quad 0x00 22. " [22] ,Configuration component 22 presence" "Not present,Present" newline bitfld.quad 0x00 21. " [21] ,Configuration component 21 presence" "Not present,Present" bitfld.quad 0x00 20. " [20] ,Configuration component 20 presence" "Not present,Present" bitfld.quad 0x00 19. " [19] ,Configuration component 19 presence" "Not present,Present" newline bitfld.quad 0x00 18. " [18] ,Configuration component 18 presence" "Not present,Present" bitfld.quad 0x00 17. " [17] ,Configuration component 17 presence" "Not present,Present" bitfld.quad 0x00 16. " [16] ,Configuration component 16 presence" "Not present,Present" newline bitfld.quad 0x00 15. " [15] ,Configuration component 15 presence" "Not present,Present" bitfld.quad 0x00 14. " [14] ,Configuration component 14 presence" "Not present,Present" bitfld.quad 0x00 13. " [13] ,Configuration component 13 presence" "Not present,Present" newline bitfld.quad 0x00 12. " [12] ,Configuration component 12 presence" "Not present,Present" bitfld.quad 0x00 11. " [11] ,Configuration component 11 presence" "Not present,Present" bitfld.quad 0x00 10. " [10] ,Configuration component 10 presence" "Not present,Present" newline bitfld.quad 0x00 9. " [9] ,Configuration component 9 presence" "Not present,Present" bitfld.quad 0x00 8. " [8] ,Configuration component 8 presence" "Not present,Present" bitfld.quad 0x00 7. " [7] ,Configuration component 7 presence" "Not present,Present" newline bitfld.quad 0x00 6. " [6] ,Configuration component 6 presence" "Not present,Present" bitfld.quad 0x00 5. " [5] ,Configuration component 5 presence" "Not present,Present" bitfld.quad 0x00 4. " [4] ,Configuration component 4 presence" "Not present,Present" newline bitfld.quad 0x00 3. " [3] ,Configuration component 3 presence" "Not present,Present" bitfld.quad 0x00 2. " [2] ,Configuration component 2 presence" "Not present,Present" bitfld.quad 0x00 1. " [1] ,Configuration component 1 presence" "Not present,Present" newline bitfld.quad 0x00 0. " [0] ,Configuration component 0 presence" "Not present,Present" line.quad 0x08 "COMP_LIST_127_64,Component List [127:64] Register" bitfld.quad 0x08 63. " OLY_CFG_COMP_LIST[127] ,Configuration component 127 presence" "Not present,Present" bitfld.quad 0x08 62. " [126] ,Configuration component 126 presence" "Not present,Present" bitfld.quad 0x08 61. " [125] ,Configuration component 125 presence" "Not present,Present" newline bitfld.quad 0x08 60. " [124] ,Configuration component 124 presence" "Not present,Present" bitfld.quad 0x08 59. " [123] ,Configuration component 123 presence" "Not present,Present" bitfld.quad 0x08 58. " [122] ,Configuration component 122 presence" "Not present,Present" newline bitfld.quad 0x08 57. " [121] ,Configuration component 121 presence" "Not present,Present" bitfld.quad 0x08 56. " [120] ,Configuration component 120 presence" "Not present,Present" bitfld.quad 0x08 55. " [119] ,Configuration component 119 presence" "Not present,Present" newline bitfld.quad 0x08 54. " [118] ,Configuration component 118 presence" "Not present,Present" bitfld.quad 0x08 53. " [117] ,Configuration component 117 presence" "Not present,Present" bitfld.quad 0x08 52. " [116] ,Configuration component 116 presence" "Not present,Present" newline bitfld.quad 0x08 51. " [115] ,Configuration component 115 presence" "Not present,Present" bitfld.quad 0x08 50. " [114] ,Configuration component 114 presence" "Not present,Present" bitfld.quad 0x08 49. " [113] ,Configuration component 113 presence" "Not present,Present" newline bitfld.quad 0x08 48. " [112] ,Configuration component 112 presence" "Not present,Present" bitfld.quad 0x08 47. " [111] ,Configuration component 111 presence" "Not present,Present" bitfld.quad 0x08 46. " [110] ,Configuration component 110 presence" "Not present,Present" newline bitfld.quad 0x08 45. " [109] ,Configuration component 109 presence" "Not present,Present" bitfld.quad 0x08 44. " [108] ,Configuration component 108 presence" "Not present,Present" bitfld.quad 0x08 43. " [107] ,Configuration component 107 presence" "Not present,Present" newline bitfld.quad 0x08 42. " [106] ,Configuration component 106 presence" "Not present,Present" bitfld.quad 0x08 41. " [105] ,Configuration component 105 presence" "Not present,Present" bitfld.quad 0x08 40. " [104] ,Configuration component 104 presence" "Not present,Present" newline bitfld.quad 0x08 39. " [103] ,Configuration component 103 presence" "Not present,Present" bitfld.quad 0x08 38. " [102] ,Configuration component 102 presence" "Not present,Present" bitfld.quad 0x08 37. " [101] ,Configuration component 101 presence" "Not present,Present" newline bitfld.quad 0x08 36. " [100] ,Configuration component 100 presence" "Not present,Present" bitfld.quad 0x08 35. " [99] ,Configuration component 99 presence" "Not present,Present" bitfld.quad 0x08 34. " [98] ,Configuration component 98 presence" "Not present,Present" newline bitfld.quad 0x08 33. " [97] ,Configuration component 97 presence" "Not present,Present" bitfld.quad 0x08 32. " [96] ,Configuration component 96 presence" "Not present,Present" bitfld.quad 0x08 31. " [95] ,Configuration component 95 presence" "Not present,Present" newline bitfld.quad 0x08 30. " [94] ,Configuration component 94 presence" "Not present,Present" bitfld.quad 0x08 29. " [93] ,Configuration component 93 presence" "Not present,Present" bitfld.quad 0x08 28. " [92] ,Configuration component 92 presence" "Not present,Present" newline bitfld.quad 0x08 27. " [91] ,Configuration component 91 presence" "Not present,Present" bitfld.quad 0x08 26. " [90] ,Configuration component 90 presence" "Not present,Present" bitfld.quad 0x08 25. " [89] ,Configuration component 89 presence" "Not present,Present" newline bitfld.quad 0x08 24. " [88] ,Configuration component 88 presence" "Not present,Present" bitfld.quad 0x08 23. " [87] ,Configuration component 87 presence" "Not present,Present" bitfld.quad 0x08 22. " [86] ,Configuration component 86 presence" "Not present,Present" newline bitfld.quad 0x08 21. " [85] ,Configuration component 85 presence" "Not present,Present" bitfld.quad 0x08 20. " [84] ,Configuration component 84 presence" "Not present,Present" bitfld.quad 0x08 19. " [83] ,Configuration component 83 presence" "Not present,Present" newline bitfld.quad 0x08 18. " [82] ,Configuration component 82 presence" "Not present,Present" bitfld.quad 0x08 17. " [81] ,Configuration component 81 presence" "Not present,Present" bitfld.quad 0x08 16. " [80] ,Configuration component 80 presence" "Not present,Present" newline bitfld.quad 0x08 15. " [79] ,Configuration component 79 presence" "Not present,Present" bitfld.quad 0x08 14. " [78] ,Configuration component 78 presence" "Not present,Present" bitfld.quad 0x08 13. " [77] ,Configuration component 77 presence" "Not present,Present" newline bitfld.quad 0x08 12. " [76] ,Configuration component 76 presence" "Not present,Present" bitfld.quad 0x08 11. " [75] ,Configuration component 75 presence" "Not present,Present" bitfld.quad 0x08 10. " [74] ,Configuration component 74 presence" "Not present,Present" newline bitfld.quad 0x08 9. " [73] ,Configuration component 73 presence" "Not present,Present" bitfld.quad 0x08 8. " [72] ,Configuration component 72 presence" "Not present,Present" bitfld.quad 0x08 7. " [71] ,Configuration component 71 presence" "Not present,Present" newline bitfld.quad 0x08 6. " [70] ,Configuration component 70 presence" "Not present,Present" bitfld.quad 0x08 5. " [69] ,Configuration component 69 presence" "Not present,Present" bitfld.quad 0x08 4. " [68] ,Configuration component 68 presence" "Not present,Present" newline bitfld.quad 0x08 3. " [67] ,Configuration component 67 presence" "Not present,Present" bitfld.quad 0x08 2. " [66] ,Configuration component 66 presence" "Not present,Present" bitfld.quad 0x08 1. " [65] ,Configuration component 65 presence" "Not present,Present" newline bitfld.quad 0x08 0. " [64] ,Configuration component 64 presence" "Not present,Present" line.quad 0x10 "COMP_LIST_191_128,Component List [191:128] Register" bitfld.quad 0x10 63. " OLY_CFG_COMP_LIST[191] ,Configuration component 191 presence" "Not present,Present" bitfld.quad 0x10 62. " [190] ,Configuration component 190 presence" "Not present,Present" bitfld.quad 0x10 61. " [189] ,Configuration component 189 presence" "Not present,Present" newline bitfld.quad 0x10 60. " [188] ,Configuration component 188 presence" "Not present,Present" bitfld.quad 0x10 59. " [187] ,Configuration component 187 presence" "Not present,Present" bitfld.quad 0x10 58. " [186] ,Configuration component 186 presence" "Not present,Present" newline bitfld.quad 0x10 57. " [185] ,Configuration component 185 presence" "Not present,Present" bitfld.quad 0x10 56. " [184] ,Configuration component 184 presence" "Not present,Present" bitfld.quad 0x10 55. " [183] ,Configuration component 183 presence" "Not present,Present" newline bitfld.quad 0x10 54. " [182] ,Configuration component 182 presence" "Not present,Present" bitfld.quad 0x10 53. " [181] ,Configuration component 181 presence" "Not present,Present" bitfld.quad 0x10 52. " [180] ,Configuration component 180 presence" "Not present,Present" newline bitfld.quad 0x10 51. " [179] ,Configuration component 179 presence" "Not present,Present" bitfld.quad 0x10 50. " [178] ,Configuration component 178 presence" "Not present,Present" bitfld.quad 0x10 49. " [177] ,Configuration component 177 presence" "Not present,Present" newline bitfld.quad 0x10 48. " [176] ,Configuration component 176 presence" "Not present,Present" bitfld.quad 0x10 47. " [175] ,Configuration component 175 presence" "Not present,Present" bitfld.quad 0x10 46. " [174] ,Configuration component 174 presence" "Not present,Present" newline bitfld.quad 0x10 45. " [173] ,Configuration component 173 presence" "Not present,Present" bitfld.quad 0x10 44. " [172] ,Configuration component 172 presence" "Not present,Present" bitfld.quad 0x10 43. " [171] ,Configuration component 171 presence" "Not present,Present" newline bitfld.quad 0x10 42. " [170] ,Configuration component 170 presence" "Not present,Present" bitfld.quad 0x10 41. " [169] ,Configuration component 169 presence" "Not present,Present" bitfld.quad 0x10 40. " [168] ,Configuration component 168 presence" "Not present,Present" newline bitfld.quad 0x10 39. " [167] ,Configuration component 167 presence" "Not present,Present" bitfld.quad 0x10 38. " [166] ,Configuration component 166 presence" "Not present,Present" bitfld.quad 0x10 37. " [165] ,Configuration component 165 presence" "Not present,Present" newline bitfld.quad 0x10 36. " [164] ,Configuration component 164 presence" "Not present,Present" bitfld.quad 0x10 35. " [163] ,Configuration component 163 presence" "Not present,Present" bitfld.quad 0x10 34. " [162] ,Configuration component 162 presence" "Not present,Present" newline bitfld.quad 0x10 33. " [161] ,Configuration component 161 presence" "Not present,Present" bitfld.quad 0x10 32. " [160] ,Configuration component 160 presence" "Not present,Present" bitfld.quad 0x10 31. " [159] ,Configuration component 159 presence" "Not present,Present" newline bitfld.quad 0x10 30. " [158] ,Configuration component 158 presence" "Not present,Present" bitfld.quad 0x10 29. " [157] ,Configuration component 157 presence" "Not present,Present" bitfld.quad 0x10 28. " [156] ,Configuration component 156 presence" "Not present,Present" newline bitfld.quad 0x10 27. " [155] ,Configuration component 155 presence" "Not present,Present" bitfld.quad 0x10 26. " [154] ,Configuration component 154 presence" "Not present,Present" bitfld.quad 0x10 25. " [153] ,Configuration component 153 presence" "Not present,Present" newline bitfld.quad 0x10 24. " [152] ,Configuration component 152 presence" "Not present,Present" bitfld.quad 0x10 23. " [151] ,Configuration component 151 presence" "Not present,Present" bitfld.quad 0x10 22. " [150] ,Configuration component 150 presence" "Not present,Present" newline bitfld.quad 0x10 21. " [149] ,Configuration component 149 presence" "Not present,Present" bitfld.quad 0x10 20. " [148] ,Configuration component 148 presence" "Not present,Present" bitfld.quad 0x10 19. " [147] ,Configuration component 147 presence" "Not present,Present" newline bitfld.quad 0x10 18. " [146] ,Configuration component 146 presence" "Not present,Present" bitfld.quad 0x10 17. " [145] ,Configuration component 145 presence" "Not present,Present" bitfld.quad 0x10 16. " [144] ,Configuration component 144 presence" "Not present,Present" newline bitfld.quad 0x10 15. " [143] ,Configuration component 143 presence" "Not present,Present" bitfld.quad 0x10 14. " [142] ,Configuration component 142 presence" "Not present,Present" bitfld.quad 0x10 13. " [141] ,Configuration component 141 presence" "Not present,Present" newline bitfld.quad 0x10 12. " [140] ,Configuration component 140 presence" "Not present,Present" bitfld.quad 0x10 11. " [139] ,Configuration component 139 presence" "Not present,Present" bitfld.quad 0x10 10. " [138] ,Configuration component 138 presence" "Not present,Present" newline bitfld.quad 0x10 9. " [137] ,Configuration component 137 presence" "Not present,Present" bitfld.quad 0x10 8. " [136] ,Configuration component 136 presence" "Not present,Present" bitfld.quad 0x10 7. " [135] ,Configuration component 135 presence" "Not present,Present" newline bitfld.quad 0x10 6. " [134] ,Configuration component 134 presence" "Not present,Present" bitfld.quad 0x10 5. " [133] ,Configuration component 133 presence" "Not present,Present" bitfld.quad 0x10 4. " [132] ,Configuration component 132 presence" "Not present,Present" newline bitfld.quad 0x10 3. " [131] ,Configuration component 131 presence" "Not present,Present" bitfld.quad 0x10 2. " [130] ,Configuration component 130 presence" "Not present,Present" bitfld.quad 0x10 1. " [129] ,Configuration component 129 presence" "Not present,Present" newline bitfld.quad 0x10 0. " [128] ,Configuration component 128 presence" "Not present,Present" line.quad 0x18 "COMP_LIST_255_192,Component List [255:192] Register" bitfld.quad 0x18 63. " OLY_CFG_COMP_LIST[255] ,Configuration component 255 presence" "Not present,Present" bitfld.quad 0x18 62. " [254] ,Configuration component 254 presence" "Not present,Present" bitfld.quad 0x18 61. " [253] ,Configuration component 253 presence" "Not present,Present" newline bitfld.quad 0x18 60. " [252] ,Configuration component 252 presence" "Not present,Present" bitfld.quad 0x18 59. " [251] ,Configuration component 251 presence" "Not present,Present" bitfld.quad 0x18 58. " [250] ,Configuration component 250 presence" "Not present,Present" newline bitfld.quad 0x18 57. " [249] ,Configuration component 249 presence" "Not present,Present" bitfld.quad 0x18 56. " [248] ,Configuration component 248 presence" "Not present,Present" bitfld.quad 0x18 55. " [247] ,Configuration component 247 presence" "Not present,Present" newline bitfld.quad 0x18 54. " [246] ,Configuration component 246 presence" "Not present,Present" bitfld.quad 0x18 53. " [245] ,Configuration component 245 presence" "Not present,Present" bitfld.quad 0x18 52. " [244] ,Configuration component 244 presence" "Not present,Present" newline bitfld.quad 0x18 51. " [243] ,Configuration component 243 presence" "Not present,Present" bitfld.quad 0x18 50. " [242] ,Configuration component 242 presence" "Not present,Present" bitfld.quad 0x18 49. " [241] ,Configuration component 241 presence" "Not present,Present" newline bitfld.quad 0x18 48. " [240] ,Configuration component 240 presence" "Not present,Present" bitfld.quad 0x18 47. " [239] ,Configuration component 239 presence" "Not present,Present" bitfld.quad 0x18 46. " [238] ,Configuration component 238 presence" "Not present,Present" newline bitfld.quad 0x18 45. " [237] ,Configuration component 237 presence" "Not present,Present" bitfld.quad 0x18 44. " [236] ,Configuration component 236 presence" "Not present,Present" bitfld.quad 0x18 43. " [235] ,Configuration component 235 presence" "Not present,Present" newline bitfld.quad 0x18 42. " [234] ,Configuration component 234 presence" "Not present,Present" bitfld.quad 0x18 41. " [233] ,Configuration component 233 presence" "Not present,Present" bitfld.quad 0x18 40. " [232] ,Configuration component 232 presence" "Not present,Present" newline bitfld.quad 0x18 39. " [231] ,Configuration component 231 presence" "Not present,Present" bitfld.quad 0x18 38. " [230] ,Configuration component 230 presence" "Not present,Present" bitfld.quad 0x18 37. " [229] ,Configuration component 229 presence" "Not present,Present" newline bitfld.quad 0x18 36. " [228] ,Configuration component 228 presence" "Not present,Present" bitfld.quad 0x18 35. " [227] ,Configuration component 227 presence" "Not present,Present" bitfld.quad 0x18 34. " [226] ,Configuration component 226 presence" "Not present,Present" newline bitfld.quad 0x18 33. " [225] ,Configuration component 225 presence" "Not present,Present" bitfld.quad 0x18 32. " [224] ,Configuration component 224 presence" "Not present,Present" bitfld.quad 0x18 31. " [223] ,Configuration component 223 presence" "Not present,Present" newline bitfld.quad 0x18 30. " [222] ,Configuration component 222 presence" "Not present,Present" bitfld.quad 0x18 29. " [221] ,Configuration component 221 presence" "Not present,Present" bitfld.quad 0x18 28. " [220] ,Configuration component 220 presence" "Not present,Present" newline bitfld.quad 0x18 27. " [219] ,Configuration component 219 presence" "Not present,Present" bitfld.quad 0x18 26. " [218] ,Configuration component 218 presence" "Not present,Present" bitfld.quad 0x18 25. " [217] ,Configuration component 217 presence" "Not present,Present" newline bitfld.quad 0x18 24. " [216] ,Configuration component 216 presence" "Not present,Present" bitfld.quad 0x18 23. " [215] ,Configuration component 215 presence" "Not present,Present" bitfld.quad 0x18 22. " [214] ,Configuration component 214 presence" "Not present,Present" newline bitfld.quad 0x18 21. " [213] ,Configuration component 213 presence" "Not present,Present" bitfld.quad 0x18 20. " [212] ,Configuration component 212 presence" "Not present,Present" bitfld.quad 0x18 19. " [211] ,Configuration component 211 presence" "Not present,Present" newline bitfld.quad 0x18 18. " [210] ,Configuration component 210 presence" "Not present,Present" bitfld.quad 0x18 17. " [209] ,Configuration component 209 presence" "Not present,Present" bitfld.quad 0x18 16. " [208] ,Configuration component 208 presence" "Not present,Present" newline bitfld.quad 0x18 15. " [207] ,Configuration component 207 presence" "Not present,Present" bitfld.quad 0x18 14. " [206] ,Configuration component 206 presence" "Not present,Present" bitfld.quad 0x18 13. " [205] ,Configuration component 205 presence" "Not present,Present" newline bitfld.quad 0x18 12. " [204] ,Configuration component 204 presence" "Not present,Present" bitfld.quad 0x18 11. " [203] ,Configuration component 203 presence" "Not present,Present" bitfld.quad 0x18 10. " [202] ,Configuration component 202 presence" "Not present,Present" newline bitfld.quad 0x18 9. " [201] ,Configuration component 201 presence" "Not present,Present" bitfld.quad 0x18 8. " [200] ,Configuration component 200 presence" "Not present,Present" bitfld.quad 0x18 7. " [199] ,Configuration component 199 presence" "Not present,Present" newline bitfld.quad 0x18 6. " [198] ,Configuration component 198 presence" "Not present,Present" bitfld.quad 0x18 5. " [197] ,Configuration component 197 presence" "Not present,Present" bitfld.quad 0x18 4. " [196] ,Configuration component 196 presence" "Not present,Present" newline bitfld.quad 0x18 3. " [195] ,Configuration component 195 presence" "Not present,Present" bitfld.quad 0x18 2. " [194] ,Configuration component 194 presence" "Not present,Present" bitfld.quad 0x18 1. " [193] ,Configuration component 193 presence" "Not present,Present" newline bitfld.quad 0x18 0. " [192] ,Configuration component 192 presence" "Not present,Present" group.quad 0x200++0x07 line.quad 0x00 "DVM_DOM_CTL_SET/CLR,DVM Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " DVM_DOMAIN_CTL[63] ,RN in the DVM domain 63 presence" "Not present,Present" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN in the DVM domain 62 presence" "Not present,Present" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN in the DVM domain 61 presence" "Not present,Present" newline setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN in the DVM domain 60 presence" "Not present,Present" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59] ,RN in the DVM domain 59 presence" "Not present,Present" setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN in the DVM domain 58 presence" "Not present,Present" newline setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN in the DVM domain 57 presence" "Not present,Present" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN in the DVM domain 56 presence" "Not present,Present" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN in the DVM domain 55 presence" "Not present,Present" newline setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54] ,RN in the DVM domain 54 presence" "Not present,Present" setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN in the DVM domain 53 presence" "Not present,Present" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN in the DVM domain 52 presence" "Not present,Present" newline setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN in the DVM domain 51 presence" "Not present,Present" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN in the DVM domain 50 presence" "Not present,Present" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49] ,RN in the DVM domain 49 presence" "Not present,Present" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN in the DVM domain 48 presence" "Not present,Present" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN in the DVM domain 47 presence" "Not present,Present" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN in the DVM domain 46 presence" "Not present,Present" newline setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN in the DVM domain 45 presence" "Not present,Present" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44] ,RN in the DVM domain 44 presence" "Not present,Present" setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN in the DVM domain 43 presence" "Not present,Present" newline setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN in the DVM domain 42 presence" "Not present,Present" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN in the DVM domain 41 presence" "Not present,Present" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN in the DVM domain 40 presence" "Not present,Present" newline setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39] ,RN in the DVM domain 39 presence" "Not present,Present" setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN in the DVM domain 38 presence" "Not present,Present" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN in the DVM domain 37 presence" "Not present,Present" newline setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN in the DVM domain 36 presence" "Not present,Present" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN in the DVM domain 35 presence" "Not present,Present" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34] ,RN in the DVM domain 34 presence" "Not present,Present" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN in the DVM domain 33 presence" "Not present,Present" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN in the DVM domain 32 presence" "Not present,Present" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN in the DVM domain 31 presence" "Not present,Present" newline setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN in the DVM domain 30 presence" "Not present,Present" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29] ,RN in the DVM domain 29 presence" "Not present,Present" setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN in the DVM domain 28 presence" "Not present,Present" newline setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN in the DVM domain 27 presence" "Not present,Present" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN in the DVM domain 26 presence" "Not present,Present" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN in the DVM domain 25 presence" "Not present,Present" newline setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24] ,RN in the DVM domain 24 presence" "Not present,Present" setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN in the DVM domain 23 presence" "Not present,Present" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN in the DVM domain 22 presence" "Not present,Present" newline setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN in the DVM domain 21 presence" "Not present,Present" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN in the DVM domain 20 presence" "Not present,Present" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19] ,RN in the DVM domain 19 presence" "Not present,Present" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN in the DVM domain 18 presence" "Not present,Present" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN in the DVM domain 17 presence" "Not present,Present" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN in the DVM domain 16 presence" "Not present,Present" newline setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN in the DVM domain 15 presence" "Not present,Present" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14] ,RN in the DVM domain 14 presence" "Not present,Present" setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN in the DVM domain 13 presence" "Not present,Present" newline setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN in the DVM domain 12 presence" "Not present,Present" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN in the DVM domain 11 presence" "Not present,Present" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN in the DVM domain 10 presence" "Not present,Present" newline setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9] ,RN in the DVM domain 9 presence" "Not present,Present" setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN in the DVM domain 8 presence" "Not present,Present" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN in the DVM domain 7 presence" "Not present,Present" newline setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN in the DVM domain 6 presence" "Not present,Present" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN in the DVM domain 5 presence" "Not present,Present" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4] ,RN in the DVM domain 4 presence" "Not present,Present" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN in the DVM domain 3 presence" "Not present,Present" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN in the DVM domain 2 presence" "Not present,Present" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN in the DVM domain 1 presence" "Not present,Present" newline setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN in the DVM domain 0 presence" "Not present,Present" rgroup.quad 0x300++0x17 line.quad 0x00 "ERR_SIG_VAL_63_0,Error Signal Valid [63:0] Register" hexmask.quad.byte 0x00 32.--39. 1. " ERR_SIG_VAL_HNF ,HN-F error" bitfld.quad 0x00 16.--19. " ERR_SIG_VAL_SN ,SN error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--9. " ERR_SIG_VAL_HNI ,HN-I error" "0,1,2,3" newline bitfld.quad 0x00 1. " ERR_SIG_VAL_DT ,DT error" "No error,Error" line.quad 0x08 "ERR_SIG_VAL_127_64,Error Signal Valid [127:64] Register" bitfld.quad 0x08 0. " ERR_SIG_VAL_XP ,XP error" "No error,Error" line.quad 0x10 "ERR_SIG_VAL_191_128,Error Signal Valid [191:128] Register" hexmask.quad.long 0x10 0.--31. 1. " ERR_SIG_VAL_RN ,Indicates an RN interface error" newline rgroup.quad 0x320++0x17 line.quad 0x00 "ERR_TYP_VAL_31_0,Error Type Value [31:0] Register" bitfld.quad 0x00 38.--39. " ERR_TYPE_SN[3] ,SN3 error type" ",Correctable,,Fatal" bitfld.quad 0x00 36.--37. " [2] ,SN2 error type" ",Correctable,,Fatal" bitfld.quad 0x00 34.--35. " [1] ,SN1 error type" ",Correctable,,Fatal" bitfld.quad 0x00 32.--33. " [0] ,SN0 error type" ",Correctable,,Fatal" newline bitfld.quad 0x00 18.--19. " ERR_TYPE_HNI[1] ,HN-I1 error type" ",Correctable,,Fatal" bitfld.quad 0x00 16.--17. " [0] ,HN-I0 error type" ",Correctable,,Fatal" bitfld.quad 0x00 2.--3. " ERR_TYPE_DT ,DT error type" ",Correctable,,Fatal" line.quad 0x08 "ERR_TYP_VAL_63_32,Error Type Value [63:32] Register" bitfld.quad 0x08 14.--15. " ERR_TYPE_HNF[7] ,HN-F7 error type" ",Correctable,,Fatal" bitfld.quad 0x08 12.--13. " [6] ,HN-F6 error type" ",Correctable,,Fatal" bitfld.quad 0x08 10.--11. " [5] ,HN-F5 error type" ",Correctable,,Fatal" bitfld.quad 0x08 8.--9. " [4] ,HN-F4 error type" ",Correctable,,Fatal" newline bitfld.quad 0x08 6.--7. " [3] ,HN-F3 error type" ",Correctable,,Fatal" bitfld.quad 0x08 4.--5. " [2] ,HN-F2 error type" ",Correctable,,Fatal" bitfld.quad 0x08 2.--3. " [1] ,HN-F1 error type" ",Correctable,,Fatal" bitfld.quad 0x08 0.--1. " [0] ,HN-F0 error type" ",Correctable,,Fatal" line.quad 0x10 "ERR_TYP_VAL_95_64,Error Type Value [95:64] Register" bitfld.quad 0x10 0.--1. " ERR_TYPE_XP ,Indicates the type of XP error" ",Correctable,,Fatal" rgroup.quad 0x340++0x07 line.quad 0x00 "ERR_TYP_VAL_159_128,Error Type Value [159:128] Register" bitfld.quad 0x00 14.--15. " ERR_TYPE_RN[7] ,RN-I7 error type" ",Correctable,,Fatal" bitfld.quad 0x00 12.--13. " [6] ,RN-I6 error type" ",Correctable,,Fatal" bitfld.quad 0x00 10.--11. " [5] ,RN-I5 error type" ",Correctable,,Fatal" bitfld.quad 0x00 8.--9. " [4] ,RN-I4 error type" ",Correctable,,Fatal" newline bitfld.quad 0x00 6.--7. " [3] ,RN-I3 error type" ",Correctable,,Fatal" bitfld.quad 0x00 4.--5. " [2] ,RN-I2 error type" ",Correctable,,Fatal" bitfld.quad 0x00 2.--3. " [1] ,RN-I1 error type" ",Correctable,,Fatal" bitfld.quad 0x00 0.--1. " [0] ,RN-I0 error type" ",Correctable,,Fatal" newline rgroup.quad 0xFD0++0x07 line.quad 0x00 "PERIPH_ID_4_PERIPH_ID_5,Peripheral ID 4 And Peripheral ID 5 Register" bitfld.quad 0x00 4.--7. " SIZE ,Log2 of the number of 4 KB blocks occupied by the interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " DES_2 ,JEP106 continuation code [3:0]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.quad 0xFE0++0x1F line.quad 0x00 "PERIPH_ID_0_PERIPH_ID_1,Peripheral ID 0 And Peripheral ID 1 Register" bitfld.quad 0x00 36.--39. " DES_0 ,JEP106 identity code [3:0]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 32.--35. " PART_1 ,Part number [11:8]" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x00 0.--7. 1. " PART_0 ,Part number [7:0]" line.quad 0x08 "PERIPH_ID_2_PERIPH_ID_3,Peripheral ID 2 And Peripheral ID 3 Register" hexmask.quad.byte 0x08 32.--39. 1. " CMOD ,Customer and manufacturer revision" hexmask.quad.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.quad 0x08 3. " JEDEC ,JEDEC JEP106 identity code is used" "Not used,Used" newline bitfld.quad 0x08 0.--2. " DES_1 ,JEP106 identity code [6:4]" "0,1,2,3,4,5,6,7" line.quad 0x10 "CMPNT_ID_0_CMPNT_ID_1,Component ID 0 And Component ID 1 Register" bitfld.quad 0x10 36.--39. " CLASS ,Component class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x10 32.--35. " PRMBL_1 ,Component ID 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.byte 0x10 0.--7. 1. " PRMBL_0 ,Component ID 0" line.quad 0x18 "CMPNT_ID_2_CMPNT_ID_3,Component ID 2 And Component ID 3 Register" hexmask.quad.byte 0x18 32.--39. 1. " PRMBL_3 ,Component ID 3" hexmask.quad.byte 0x18 0.--7. 1. " PRMBL_2 ,Component ID 2" rgroup.quad 0xFF00++0x07 line.quad 0x00 "MN_ID,MN Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the MN is 30" bitfld.quad 0x00 0.--4. " OLY_ID ,Node-type identifier" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP Subregions" tree "XP ID 0" base ad:0x4400000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 1" base ad:0x4410000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 2" base ad:0x4420000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 3" base ad:0x4430000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 4" base ad:0x4440000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 5" base ad:0x4450000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 6" base ad:0x4460000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 7" base ad:0x4470000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 8" base ad:0x4480000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 9" base ad:0x4490000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 10" base ad:0x44A0000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 11" base ad:0x44B0000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 12" base ad:0x44C0000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 13" base ad:0x44D0000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 14" base ad:0x44E0000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "XP ID 15" base ad:0x44F0000 width 24. group.quad 0x00++0x17 line.quad 0x00 "XP_ROUT_CTRL,XP Routing Control Register" bitfld.quad 0x00 1. " DEV1_NSM_ROUT_OVR ,Device 1 port non-broadcast routing vector override enable" "Disabled,Enabled" bitfld.quad 0x00 0. " DEV0_NSM_ROUT_OVR ,Device 0 port non-broadcast routing vector override enable" "Disabled,Enabled" line.quad 0x08 "XP_DEV_0_PORT_NSM_ROUT,XP Device 0 Port NSM Routing Register" hexmask.quad.long 0x08 0.--31. 1. " DEV0_NSM_ROUT_VEC ,Device 0 non-broadcast routing vector" line.quad 0x10 "XP_DEV_1_PORT_NSM_ROUT,XP Device 1 Port NSM Routing Register" hexmask.quad.word 0x10 0.--15. 1. " DEV1_NSM_ROUT_VEC ,Device 1 non-broadcast routing vector" group.quad 0x110++0x1F line.quad 0x00 "DEV0_QOS_CONTROL,Device 0 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV0_QOS_OVERRIDE ,Port 0 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV0_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV0_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV0_QOS_OVERRIDE_EN ,Port 0 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV0_LAT_EN ,Port 0 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV0_QOS_LAT_TGT,Device 0 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV0_LAT_TGT ,Port 0 target latency" line.quad 0x10 "DEV0_QOS_LAT_SCALE,Device 0 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV0_LAT_SCALE ,Port 0 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV0_QOS_LAT_RANGE,Device 0 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV0_LAT_MAX_QOS ,Port 0 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV0_LAT_MIN_QOS ,Port 0 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x210++0x1F line.quad 0x00 "DEV1_QOS_CONTROL,Device 1 Port QoS Control Register" bitfld.quad 0x00 16.--19. " DEV1_QOS_OVERRIDE ,Port 1 qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 6. " DEV1_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation" "Normal,Quiesce" newline bitfld.quad 0x00 4. " DEV1_REG_MODE ,Configures the mode of the qos regulator" "Latency,Period" bitfld.quad 0x00 2. " DEV1_QOS_OVERRIDE_EN ,Port 1 qos override enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " DEV1_LAT_EN ,Port 1 qos regulation enable" "Disabled,Enabled" line.quad 0x08 "DEV1_QOS_LAT_TGT,Device 1 Port QoS Latency Target Register" hexmask.quad.word 0x08 0.--11. 1. " DEV1_LAT_TGT ,Port 1 target latency" line.quad 0x10 "DEV1_QOS_LAT_SCALE,Device 1 Port QoS Latency Scale Register" bitfld.quad 0x10 0.--2. " DEV1_LAT_SCALE ,Port 1 qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x18 "DEV1_QOS_LAT_RANGE,Device 1 Port QoS Latency Range Register" bitfld.quad 0x18 8.--11. " DEV1_LAT_MAX_QOS ,Port 1 qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 0.--3. " DEV1_LAT_MIN_QOS ,Port 1 qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x300++0x2F line.quad 0x00 "DT_CFGR,Debug And Trace Configuration Register" bitfld.quad 0x00 28.--31. " DT_CGF[7] ,DTBus[7] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 24.--27. " [6] ,DTBus[6] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 20.--23. " [5] ,DTBus[5] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 16.--19. " [4] ,DTBus[4] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 12.--15. " [3] ,DTBus[3] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 8.--11. " [2] ,DTBus[2] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" newline bitfld.quad 0x00 4.--7. " [1] ,DTBus[1] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" bitfld.quad 0x00 0.--3. " [0] ,DTBus[0] source" "Previous XP,OR of watchpoint 0 and 1,Watchpoint 0,Watchpoint 1,XP PMU event 0,XP PMU event 1,XP PMU event 2,XP PMU event 3,Device 0 PMU event 0,Device 0 PMU event 1,Device 0 PMU event 2,Device 0 PMU event 3,Device 1 PMU event 0,Device 1 PMU event 1,Device 1 PMU event 2,Device 1 PMU event 3" line.quad 0x08 "DT_IFC_SEL,Debug And Trace Interface Select Register" bitfld.quad 0x08 10.--12. " DT_VC_SEL1 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" bitfld.quad 0x08 9. " DT_DEV_SEL1 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" newline bitfld.quad 0x08 8. " DT_IO_SEL1 ,Selection of TX or RX type for specified channel" "RX,TX" bitfld.quad 0x08 2.--4. " DT_VC_SEL0 ,Channel type select" "REQ,RESP,SNP,DATA,,,,DATB" newline bitfld.quad 0x08 1. " DT_DEV_SEL0 ,Selection of device 0 or device 1 port in specified XP" "Port 0,Port 1" bitfld.quad 0x08 0. " DT_IO_SEL0 ,Selection of TX or RX type for specified channel" "RX,TX" line.quad 0x10 "DT_COMP_L_VAL0,Debug And Trace Comparison Low Value 0 Register" bitfld.quad 0x10 60.--62. " DT_CMP_VAL0_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x18 "DT_COMP_H_VAL0,Debug And Trace Comparison High Value 0 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_COMP_L_MASK0,Debug And Trace Comparison Low Mask 0 Register" bitfld.quad 0x20 60.--62. " DT_CMP_MASK0_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x20 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x20 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x20 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x20 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x20 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x20 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x20 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x28 "DT_COMP_H_MASK0,Debug and Trace Comparison High Mask 0 Register" hexmask.quad.byte 0x28 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x28 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x28 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x28 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x28 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x28 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x28 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x28 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x28 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x28 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x28 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x28 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x28 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x28 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x28 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x28 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x350++0x2F line.quad 0x00 "DT_COMP_L_VAL1,Debug and Trace Comparison Low Value 1 Register" bitfld.quad 0x00 60.--62. " DT_CMP_VAL1_L[62:60] ,LPID watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 59. " [59] ,LIKELYSHARED watchpoint comparison" "0,1" newline bitfld.quad 0x00 58. " [58] ,EXPCOMPACK watchpoint comparison" "0,1" bitfld.quad 0x00 57. " [57] ,EXCL watchpoint comparison" "0,1" newline bitfld.quad 0x00 56. " [56] ,DYNPCRD watchpoint comparison" "0,1" hexmask.quad.byte 0x00 48.--55. 1. " [55:48] ,DBID watchpoint comparison" newline bitfld.quad 0x00 46.--47. " [47:46] ,DATAID watchpoint comparison" "0,1,2,3" bitfld.quad 0x00 44.--45. " [45:44] ,CCID watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x00 0.--43. 0x01 " [43:0] ,ADDR watchpoint comparison" line.quad 0x08 "DT_COMP_H_VAL1,Debug and Trace Comparison High Value 1 Register" hexmask.quad.byte 0x08 52.--59. 1. " DT_CMP_MASK0_L[59:52] ,TXNID watchpoint comparison" hexmask.quad.byte 0x08 45.--51. 1. " [51:45] ,TGTID watchpoint comparison" newline hexmask.quad.word 0x08 38.--44. 1. " [44:38] ,SRCID watchpoint comparison" bitfld.quad 0x08 37. " [37] ,SNPATTR_SNPDOMAIN watchpoint comparison" "0,1" newline bitfld.quad 0x08 36. " [36] ,SNPATTR_SNOOPABLE watchpoint comparison" "0,1" bitfld.quad 0x08 34.--35. " [35:34] ,SNPATTR watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 31.--33. " [33:31] ,SIZE watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x08 27.--30. " [30:27] ,RSVDC watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x08 25.--26. " [26:25] ,RESPERR watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 22.--24. " [24:22] ,RESP watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x08 18.--21. " [21:18] ,QOS watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--17. " [17:16] ,PCRDTYPE watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x08 14.--15. " [15:14] ,ORDER watchpoint comparison" "0,1,2,3" bitfld.quad 0x08 9.--13. " [13:9] ,OPCODE watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x08 8. " [8] ,NS watchpoint comparison" "0,1" bitfld.quad 0x08 7. " [7] ,MEMATTR_EARLYWRACK watchpoint comparison" "0,1" newline bitfld.quad 0x08 6. " [6] ,MEMATTR_DEVICE watchpoint comparison" "0,1" bitfld.quad 0x08 5. " [5] ,MEMATTR_CACHEABLE watchpoint comparison" "0,1" newline bitfld.quad 0x08 4. " [4] ,MEMATTR_ALLOCATE watchpoint comparison" "0,1" bitfld.quad 0x08 0.--3. " [3:0] ,MEMATTR watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x10 "DT_COMP_L_MASK1,Debug and Trace Comparison Low Mask 1 Register" bitfld.quad 0x10 60.--62. " DT_CMP_MASK1_L[62:60] ,LPID mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 59. " [59] ,LIKELYSHARED mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 58. " [58] ,EXPCOMPACK mask watchpoint comparison" "0,1" bitfld.quad 0x10 57. " [57] ,EXCL mask watchpoint comparison" "0,1" newline bitfld.quad 0x10 56. " [56] ,DYNPCRD mask watchpoint comparison" "0,1" hexmask.quad.byte 0x10 48.--55. 1. " [55:48] ,DBID mask watchpoint comparison" newline bitfld.quad 0x10 46.--47. " [47:46] ,DATAID mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x10 44.--45. " [45:44] ,CCID mask watchpoint comparison" "0,1,2,3" newline hexmask.quad 0x10 0.--43. 0x01 " [43:0] ,ADDR mask watchpoint comparison" line.quad 0x18 "DT_COMP_H_MASK1,Debug and Trace Comparison High Mask 1 Register" hexmask.quad.byte 0x18 52.--59. 1. " DT_CMP_MASK1_L[59:52] ,TXNID mask watchpoint comparison" hexmask.quad.byte 0x18 45.--51. 1. " [51:45] ,TGTID mask watchpoint comparison" newline hexmask.quad.word 0x18 38.--44. 1. " [44:38] ,SRCID mask watchpoint comparison" bitfld.quad 0x18 37. " [37] ,SNPATTR_SNPDOMAIN mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 36. " [36] ,SNPATTR_SNOOPABLE mask watchpoint comparison" "0,1" bitfld.quad 0x18 34.--35. " [35:34] ,SNPATTR mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 31.--33. " [33:31] ,SIZE mask watchpoint comparison" "0,1,2,3,4,5,6,7" bitfld.quad 0x18 27.--30. " [30:27] ,RSVDC mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x18 25.--26. " [26:25] ,RESPERR mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 22.--24. " [24:22] ,RESP mask watchpoint comparison" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x18 18.--21. " [21:18] ,QOS mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x18 16.--17. " [17:16] ,PCRDTYPE mask watchpoint comparison" "0,1,2,3" newline bitfld.quad 0x18 14.--15. " [15:14] ,ORDER mask watchpoint comparison" "0,1,2,3" bitfld.quad 0x18 9.--13. " [13:9] ,OPCODE mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x18 8. " [8] ,NS mask watchpoint comparison" "0,1" bitfld.quad 0x18 7. " [7] ,MEMATTR_EARLYWRACK mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 6. " [6] ,MEMATTR_DEVICE mask watchpoint comparison" "0,1" bitfld.quad 0x18 5. " [5] ,MEMATTR_CACHEABLE mask watchpoint comparison" "0,1" newline bitfld.quad 0x18 4. " [4] ,MEMATTR_ALLOCATE mask watchpoint comparison" "0,1" bitfld.quad 0x18 0.--3. " [3:0] ,MEMATTR mask watchpoint comparison" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "DT_CTRL,Debug and Trace Control Register" bitfld.quad 0x20 24.--27. " WP1_EVENT_COUNT ,The number of events that watchpoint 1 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 20.--23. " WP0_EVENT_COUNT ,The number of events that watchpoint 0 must observe before the trigger can be generated" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x20 16.--19. " WP1_ARM_SEL ,Event source that is used to arm the watchpoint 1 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." bitfld.quad 0x20 12.--15. " WP0_ARM_SEL ,Event source that is used to arm the watchpoint 0 trigger" "DTBus[0],DTBus[1],DTBus[2],DTBus[3],DTBus[4],DTBus[5],DTBus[6],DTBus[7],Watchpoint 0 trigger,?..." newline bitfld.quad 0x20 11. " TXNID_COPYOVER ,Enable TXNID field from the watchpoint 0 input flit copying over to watchpoint 1" "Disabled,Enabled" bitfld.quad 0x20 10. " DT_BUS_OR_MODE[7] ,DTBus[7] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 9. " [6] ,DTBus[6] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 8. " [5] ,DTBus[5] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 7. " [4] ,DTBus[4] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 6. " [3] ,DTBus[3] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 5. " [2] ,DTBus[2] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 4. " [1] ,DTBus[1] OR mode enable" "Disabled,Enabled" newline bitfld.quad 0x20 3. " [0] ,DTBus[0] OR mode enable" "Disabled,Enabled" bitfld.quad 0x20 0. " DT_ENABLE ,Debug watchpoint and PMU capability enable" "Disabled,Enabled" line.quad 0x28 "DT_STAT_SET/CLR,Debug and Trace Status Register" setclrfld.quad 0x28 1. 0x20 2. 0x30 1. " SSCAPTURE_STATUS[1] ,DWM 1 snapshot capture status" "Not captured,Captured" setclrfld.quad 0x28 0. 0x20 1. 0x30 0. " [0] ,DWM 0 snapshot capture status" "Not captured,Captured" rgroup.quad 0x400++0x07 line.quad 0x00 "ERR_SYNDROME0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXTND ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "No,Yes" newline hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 3.--5. " ERR_ID_5_3 ,Channel type" "REQ,RSP,SNP,DATA,,,,DATB" newline bitfld.quad 0x00 1.--2. " ERR_ID_2_1 ,Download source" "Bus 0,Bus 1,Bypass,?..." bitfld.quad 0x00 0. " ERR_ID_0 ,Download device port number" "0,1" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,XP Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the FIRST_ERR_VLD bit in the Error Syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the MULT_ERR bit in the Error Syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "AUX_CTRL,Auxiliary Control Register" hexmask.quad.byte 0x00 24.--31. 1. " BYP_PRIO_WEIGTH ,Number of cycles that a stalled bypass request waits until being prioritized over ring downloads" hexmask.quad.byte 0x00 16.--23. 1. " DNLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a download flit-buffer in the target XP" newline hexmask.quad.byte 0x00 8.--15. 1. " UPLOAD_STARV_THRESH ,Number of cycles a flit waits until reserving a ring-slot" bitfld.quad 0x00 3. " PARITY_IRQ_DISABLE ,Parity interrupt disable" "No,Yes" newline bitfld.quad 0x00 2. " QPC_EN ,QoS priority class based upload arbitration enable" "Disabled,Enabled" bitfld.quad 0x00 1. " DNLOAD_STARV_EN ,Download starvation prevention mechanism enable" "Disabled,Enabled" newline bitfld.quad 0x00 0. " UPLOAD_STARV_EN ,Upload starvation prevention mechanism enable" "Disabled,Enabled" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVNT_SEL,PMU Event Select Register" bitfld.quad 0x00 25.--27. " PMU_EVENT3_ID[6:4] ,PMU Event 3 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 24. " [3] ,PMU Event 3 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 21.--23. " [2:0] ,PMU Event 3 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 18.--20. " PMU_EVENT2_ID[6:4] ,PMU Event 2 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 17. " [3] ,PMU Event 2 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 14.--16. " [2:0] ,PMU Event 2 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." newline bitfld.quad 0x00 11.--13. " PMU_EVENT1_ID[6:4] ,PMU Event 1 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" bitfld.quad 0x00 10. " [3] ,PMU Event 1 ID[24] bus number" "Bus 0,Bus 1" newline bitfld.quad 0x00 7.--9. " [2:0] ,PMU Event 1 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." bitfld.quad 0x00 4.--6. " PMU_EVENT0_ID[6:4] ,PMU Event 0 ID[27:25] channel type" "REQ,RSP,SNP,DAT or DATA,,,,DATB" newline bitfld.quad 0x00 3. " [3] ,PMU Event 0 ID[24] bus number" "Bus 0,Bus 1" bitfld.quad 0x00 0.--2. " [2:0] ,PMU Event 0 ID[23:21] event specifier" "No event,Set H-bit,Set S-bit,Set P-Cnt,No TknV,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "XP_ID,XP Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the XP" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an XP" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree.end tree "HN-F Subregions" tree "HN-F ID 2" base ad:0x4200000 width 19. group.quad 0x00++0x07 line.quad 0x00 "HNF_CFG_CTRL,HN-F Configuration Control Register" bitfld.quad 0x00 20. " NCDEVCMO_MC_COMP ,Disable HN-F completion" "No,Yes" bitfld.quad 0x00 18. " SF_ECC_SCRUB_DISABLE ,Disable SF tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 17. " L3_DAT_ECC_SCRUB_DISABLE ,Disable L3 data single-bit ECC error scrubbing" "No,Yes" newline bitfld.quad 0x00 16. " L3_TAG_ECC_SCRUB_DISABLE ,Disable L3 tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 8. " CG_DISABLE ,Disable HN-F clock gates" "No,Yes" bitfld.quad 0x00 4. " ECC_DISABLE ,Disable L3 and SF ECC generation and detection" "No,Yes" if (((per.q((ad:0x4200000+0x08)))&0x100000000)==0x100000000) group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 16.--22. 1. " HN_CFG_SN2_NODEID ,Node ID for slave node 2" hexmask.quad.byte 0x00 8.--14. 1. " HN_CFG_SN1_NODEID ,Node ID for slave node 1" hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" else group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" endif rgroup.quad 0x20++0x07 line.quad 0x00 "QOS_BAND,QoS Band Register" bitfld.quad 0x00 28.--31. " HIGHHIGH_MAX_QOS_VAL ,Maximum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 24.--27. " HIGHHIGH_MIN_QOS_VAL ,Minimum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 20.--23. " HIGH_MAX_QOS_VAL ,Maximum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 16.--19. " HIGH_MIN_QOS_VAL ,Minimum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 12.--15. " MED_MAX_QOS_VAL ,Maximum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--11. " MED_MIN_QOS_VAL ,Minimum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. " LOW_MAX_QOS_VAL ,Maximum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " LOW_MIN_QOS_VAL ,Minimum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x28++0x3F line.quad 0x00 "QOS_RESERVATION,QoS Reservation Register" bitfld.quad 0x00 32.--36. " SEQ_QOS_MAX_CNT ,Number of entries that are reserved for snoop filter evictions in POCQ" ",1,?..." bitfld.quad 0x00 24.--28. " HIGHHIGH_QOS_MAX_CNT ,Maximum number of highest qos class occupancy" ",,,,,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--20. " HIGH_QOS_MAX_CNT ,Maximum number of high qos class occupancy" ",,,,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." newline bitfld.quad 0x00 8.--12. " MED_QOS_MAX_CNT ,Maximum number of medium qos class occupancy" ",,,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,?..." bitfld.quad 0x00 0.--4. " LOW_QOS_MAX_CNT ,Maximum number of low qos class occupancy" ",,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,?..." line.quad 0x08 "RN_STARVATION,RN Starvation Register" bitfld.quad 0x08 40.--44. " RN_HIGH_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x08 32.--37. " RN_MED_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 24.--28. " RN_MED_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.byte 0x08 16.--22. 1. " RN_LOW_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over low qos class" bitfld.quad 0x08 8.--13. " RN_LOW_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 0.--4. " RN_LOW_OVER_MED_MAX_CNT ,Maximum number of consecutive times medium qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.quad 0x10 "HNF_ERR_INJ,HN-F Error Injection Enable And Setup Register" hexmask.quad.byte 0x10 16.--22. 1. " HNF_ERR_INJ_SRCID ,SrcID read access that results in an L3 miss" bitfld.quad 0x10 4.--6. " HNF_ERR_INJ_LPID ,LPID to match for HN-F error injection" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 0. " HNF_ERR_INJ_EN ,HN-F error injection and report enable" "Disabled,Enabled" line.quad 0x18 "HNF_L3_LOCK_WAYS,HN-F L3 Lock Ways Register" bitfld.quad 0x18 0.--3. " WAYS ,Number of ways locked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "HNF_L3_LOCK_BASE0,HN-F L3 Lock Base 0 Register" bitfld.quad 0x20 63. " BASE0_VLD ,Lock base 0 valid" "Not valid,Valid" hexmask.quad 0x20 0.--43. 1. " BASE0 ,Lock base 0" line.quad 0x28 "HNF_L3_LOCK_BASE1,HN-F L3 Lock Base 1 Register" bitfld.quad 0x28 63. " BASE1_VLD ,Lock base 1 valid" "Not valid,Valid" hexmask.quad 0x28 0.--43. 1. " BASE1 ,Lock base 1" line.quad 0x30 "HNF_L3_LOCK_BASE2,HN-F L3 Lock Base 2 Register" bitfld.quad 0x30 63. " BASE2_VLD ,Lock base 2 valid" "Not valid,Valid" hexmask.quad 0x30 0.--43. 1. " BASE2 ,Lock base 2" line.quad 0x38 "HNF_L3_LOCK_BASE3,HN-F L3 Lock Base 3 Register" bitfld.quad 0x38 63. " BASE3_VLD ,Lock base 3 valid" "Not valid,Valid" hexmask.quad 0x38 0.--43. 1. " BASE3 ,Lock base 3" newline width 26. group.quad 0x108++0x07 line.quad 0x00 "HN_CFG_RNI_VEC,HN Configuration RN-I Vector Register" bitfld.quad 0x00 63. " RNI_VEC[63] ,SrcID 63 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 62. " [62] ,SrcID 62 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 61. " [61] ,SrcID 61 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 60. " [60] ,SrcID 60 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 59. " [59],SrcID 59 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 58. " [58] ,SrcID 58 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 57. " [57] ,SrcID 57 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 56. " [56] ,SrcID 56 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 55. " [55] ,SrcID 55 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 54. " [54],SrcID 54 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 53. " [53] ,SrcID 53 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 52. " [52] ,SrcID 52 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 51. " [51] ,SrcID 51 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 50. " [50] ,SrcID 50 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 49. " [49],SrcID 49 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 48. " [48] ,SrcID 48 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 47. " [47] ,SrcID 47 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 46. " [46] ,SrcID 46 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 45. " [45] ,SrcID 45 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 44. " [44],SrcID 44 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 43. " [43] ,SrcID 43 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 42. " [42] ,SrcID 42 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 41. " [41] ,SrcID 41 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 40. " [40] ,SrcID 40 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 39. " [39],SrcID 39 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 38. " [38] ,SrcID 38 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 37. " [37] ,SrcID 37 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 36. " [36] ,SrcID 36 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 35. " [35] ,SrcID 35 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 34. " [34],SrcID 34 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 33. " [33] ,SrcID 33 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 32. " [32] ,SrcID 32 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 31. " [31] ,SrcID 31 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 30. " [30] ,SrcID 30 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 29. " [29],SrcID 29 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 28. " [28] ,SrcID 28 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 27. " [27] ,SrcID 27 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 26. " [26] ,SrcID 26 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 25. " [25] ,SrcID 25 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 24. " [24],SrcID 24 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 23. " [23] ,SrcID 23 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 22. " [22] ,SrcID 22 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 21. " [21] ,SrcID 21 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 20. " [20] ,SrcID 20 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 19. " [19],SrcID 19 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 18. " [18] ,SrcID 18 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 17. " [17] ,SrcID 17 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 16. " [16] ,SrcID 16 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 15. " [15] ,SrcID 15 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 14. " [14],SrcID 14 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 13. " [13] ,SrcID 13 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 12. " [12] ,SrcID 12 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 11. " [11] ,SrcID 11 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 10. " [10] ,SrcID 10 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 9. " [9],SrcID 9 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 8. " [8] ,SrcID 8 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 7. " [7] ,SrcID 7 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 6. " [6] ,SrcID 6 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 5. " [5] ,SrcID 5 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 4. " [4],SrcID 4 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 3. " [3] ,SrcID 3 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 2. " [2] ,SrcID 2 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 1. " [1] ,SrcID 1 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 0. " [0] ,SrcID 0 serves as a RN-I protocol node" "Not RN-I,RN-I" group.quad 0x200++0x07 line.quad 0x00 "SNOOP_DOMAIN_CTL_SET/CLR,Snoop Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " SNOOP_DOMAIN_CTL[63] ,RN-F node 63 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN-F node 62 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN-F node 61 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN-F node 60 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59],RN-F node 59 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN-F node 58 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN-F node 57 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN-F node 56 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN-F node 55 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54],RN-F node 54 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN-F node 53 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN-F node 52 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN-F node 51 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN-F node 50 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49],RN-F node 49 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN-F node 48 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN-F node 47 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN-F node 46 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN-F node 45 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44],RN-F node 44 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN-F node 43 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN-F node 42 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN-F node 41 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN-F node 40 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39],RN-F node 39 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN-F node 38 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN-F node 37 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN-F node 36 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN-F node 35 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34],RN-F node 34 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN-F node 33 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN-F node 32 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN-F node 31 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN-F node 30 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29],RN-F node 29 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN-F node 28 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN-F node 27 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN-F node 26 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN-F node 25 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24],RN-F node 24 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN-F node 23 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN-F node 22 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN-F node 21 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN-F node 20 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19],RN-F node 19 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN-F node 18 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN-F node 17 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN-F node 16 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN-F node 15 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14],RN-F node 14 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN-F node 13 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN-F node 12 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN-F node 11 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN-F node 10 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9],RN-F node 9 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN-F node 8 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN-F node 7 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN-F node 6 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN-F node 5 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4],RN-F node 4 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN-F node 3 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN-F node 2 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN-F node 1 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN-F node 0 snoop mode" "Not snooped,Snooped" newline width 19. wgroup.quad 0x300++0x07 line.quad 0x00 "HN_DR_CFG,HN Debug Read Configuration Register" bitfld.quad 0x00 24.--25. " L3_ACCESS_COMPONENT ,L3/SF debug read array specifier" ",L3 data read,L3 tag read,SF tag read" bitfld.quad 0x00 20.--22. " L3_ACCESS_OW ,64-bit chunk address for L3 data debug read access" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 16.--19. " L3_ACCESS_WAY ,Way address for L3/SF debug read access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 0.--11. 0x01 " L3_ACCESS_SET ,Set address for L3/SF debug read access" rgroup.quad 0x308++0x17 line.quad 0x00 "L3_CACC_TAG,L3 Cache Access Tag Register" hexmask.quad 0x00 0.--43. 1. " L3_CACHE_ACCESS_L3_TAG ,L3 tag debug read data register" line.quad 0x08 "L3_CACC_DATA,L3 Cache Access Data Register" line.quad 0x10 "L3_CACC_SF_TAG,L3 Cache Access SF Tag Register" hexmask.quad 0x10 0.--43. 1. " L3_CACHE_ACCESS_SF_TAG ,SF tag debug read data register" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYN_REG0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" newline hexmask.quad.tbyte 0x00 43.--58. 1. " ERR_COUNT ,Corrected error count" hexmask.quad.word 0x00 8.--19. 0x01 " ERR_COUNT_SET ,HN-F single-bit ECC error count set address" newline bitfld.quad 0x00 7. " ERR_COUNT_OVRFLW ,HN-F single-bit error counter overflow" "No overflow,Overflow" bitfld.quad 0x00 6. " ERR_COUNT_MATCH ,HN-F ECC error count applies to same type and set" "Not matched,Matched" newline bitfld.quad 0x00 4.--5. " ERR_COUNT_TYPE ,HN-F single-bit ECC counter type" "L3 data,L3 tag,SF tag,?..." bitfld.quad 0x00 0.--2. " ERR_ID ,HN-F error syndrome register error type" ",,,,L3 data double-bit ECC,L3 tag double-bit ECC,SF tag double-bit ECC,CHI bus slave" line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" hexmask.quad 0x08 0.--43. 0x01 " ERR_ADDR ,HN-F error syndrome address" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,L3 Cache Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "HNF_AUX_CTL,HN-F Auxiliary Control Register" bitfld.quad 0x00 13. " HNF_OCM_ALLWAYES_EN ,All L3 way OCM support enable" "Disabled,Enabled" bitfld.quad 0x00 12. " HNF_OCM_EN ,Region lock with OCM enable" "Disabled,Enabled" newline bitfld.quad 0x00 11. " HNF_HONOR_EWA ,HN-F honors the state of the early write acknowledge" "Ignored,Not ignored" bitfld.quad 0x00 7. " DIS_QOS_PCRDTYPE ,Disable qos based pcrdtype assignment" "No,Yes" newline bitfld.quad 0x00 6. " DIS_SNP_ONCE ,Disable snponce" "No,Yes" bitfld.quad 0x00 5. " L3_NO_ALLOC ,Disable L3 allocation for non-shareable cacheable transactions" "No,Yes" newline bitfld.quad 0x00 4. " RD_ONCE_NO_ALLOC ,Disable readonce allocation in the L3 from RN-Is" "No,Yes" bitfld.quad 0x00 3. " REV_QOS_POOL_ALLOC ,Reverse qos pool allocation algorithm" "Not reversed,Reversed" newline bitfld.quad 0x00 2. " NO_WU_ALLOC ,Disable writeunique and writelineunique allocations in L3" "No,Yes" bitfld.quad 0x00 0. " HNF_ONLY_MODE ,HN-F-only mode with no L3 and snoop filter" "0,1" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "HNF_ID,HN-F Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-F" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-F" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "HN-F ID 5" base ad:0x4210000 width 19. group.quad 0x00++0x07 line.quad 0x00 "HNF_CFG_CTRL,HN-F Configuration Control Register" bitfld.quad 0x00 20. " NCDEVCMO_MC_COMP ,Disable HN-F completion" "No,Yes" bitfld.quad 0x00 18. " SF_ECC_SCRUB_DISABLE ,Disable SF tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 17. " L3_DAT_ECC_SCRUB_DISABLE ,Disable L3 data single-bit ECC error scrubbing" "No,Yes" newline bitfld.quad 0x00 16. " L3_TAG_ECC_SCRUB_DISABLE ,Disable L3 tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 8. " CG_DISABLE ,Disable HN-F clock gates" "No,Yes" bitfld.quad 0x00 4. " ECC_DISABLE ,Disable L3 and SF ECC generation and detection" "No,Yes" if (((per.q((ad:0x4210000+0x08)))&0x100000000)==0x100000000) group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 16.--22. 1. " HN_CFG_SN2_NODEID ,Node ID for slave node 2" hexmask.quad.byte 0x00 8.--14. 1. " HN_CFG_SN1_NODEID ,Node ID for slave node 1" hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" else group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" endif rgroup.quad 0x20++0x07 line.quad 0x00 "QOS_BAND,QoS Band Register" bitfld.quad 0x00 28.--31. " HIGHHIGH_MAX_QOS_VAL ,Maximum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 24.--27. " HIGHHIGH_MIN_QOS_VAL ,Minimum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 20.--23. " HIGH_MAX_QOS_VAL ,Maximum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 16.--19. " HIGH_MIN_QOS_VAL ,Minimum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 12.--15. " MED_MAX_QOS_VAL ,Maximum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--11. " MED_MIN_QOS_VAL ,Minimum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. " LOW_MAX_QOS_VAL ,Maximum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " LOW_MIN_QOS_VAL ,Minimum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x28++0x3F line.quad 0x00 "QOS_RESERVATION,QoS Reservation Register" bitfld.quad 0x00 32.--36. " SEQ_QOS_MAX_CNT ,Number of entries that are reserved for snoop filter evictions in POCQ" ",1,?..." bitfld.quad 0x00 24.--28. " HIGHHIGH_QOS_MAX_CNT ,Maximum number of highest qos class occupancy" ",,,,,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--20. " HIGH_QOS_MAX_CNT ,Maximum number of high qos class occupancy" ",,,,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." newline bitfld.quad 0x00 8.--12. " MED_QOS_MAX_CNT ,Maximum number of medium qos class occupancy" ",,,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,?..." bitfld.quad 0x00 0.--4. " LOW_QOS_MAX_CNT ,Maximum number of low qos class occupancy" ",,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,?..." line.quad 0x08 "RN_STARVATION,RN Starvation Register" bitfld.quad 0x08 40.--44. " RN_HIGH_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x08 32.--37. " RN_MED_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 24.--28. " RN_MED_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.byte 0x08 16.--22. 1. " RN_LOW_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over low qos class" bitfld.quad 0x08 8.--13. " RN_LOW_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 0.--4. " RN_LOW_OVER_MED_MAX_CNT ,Maximum number of consecutive times medium qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.quad 0x10 "HNF_ERR_INJ,HN-F Error Injection Enable And Setup Register" hexmask.quad.byte 0x10 16.--22. 1. " HNF_ERR_INJ_SRCID ,SrcID read access that results in an L3 miss" bitfld.quad 0x10 4.--6. " HNF_ERR_INJ_LPID ,LPID to match for HN-F error injection" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 0. " HNF_ERR_INJ_EN ,HN-F error injection and report enable" "Disabled,Enabled" line.quad 0x18 "HNF_L3_LOCK_WAYS,HN-F L3 Lock Ways Register" bitfld.quad 0x18 0.--3. " WAYS ,Number of ways locked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "HNF_L3_LOCK_BASE0,HN-F L3 Lock Base 0 Register" bitfld.quad 0x20 63. " BASE0_VLD ,Lock base 0 valid" "Not valid,Valid" hexmask.quad 0x20 0.--43. 1. " BASE0 ,Lock base 0" line.quad 0x28 "HNF_L3_LOCK_BASE1,HN-F L3 Lock Base 1 Register" bitfld.quad 0x28 63. " BASE1_VLD ,Lock base 1 valid" "Not valid,Valid" hexmask.quad 0x28 0.--43. 1. " BASE1 ,Lock base 1" line.quad 0x30 "HNF_L3_LOCK_BASE2,HN-F L3 Lock Base 2 Register" bitfld.quad 0x30 63. " BASE2_VLD ,Lock base 2 valid" "Not valid,Valid" hexmask.quad 0x30 0.--43. 1. " BASE2 ,Lock base 2" line.quad 0x38 "HNF_L3_LOCK_BASE3,HN-F L3 Lock Base 3 Register" bitfld.quad 0x38 63. " BASE3_VLD ,Lock base 3 valid" "Not valid,Valid" hexmask.quad 0x38 0.--43. 1. " BASE3 ,Lock base 3" newline width 26. group.quad 0x108++0x07 line.quad 0x00 "HN_CFG_RNI_VEC,HN Configuration RN-I Vector Register" bitfld.quad 0x00 63. " RNI_VEC[63] ,SrcID 63 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 62. " [62] ,SrcID 62 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 61. " [61] ,SrcID 61 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 60. " [60] ,SrcID 60 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 59. " [59],SrcID 59 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 58. " [58] ,SrcID 58 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 57. " [57] ,SrcID 57 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 56. " [56] ,SrcID 56 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 55. " [55] ,SrcID 55 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 54. " [54],SrcID 54 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 53. " [53] ,SrcID 53 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 52. " [52] ,SrcID 52 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 51. " [51] ,SrcID 51 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 50. " [50] ,SrcID 50 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 49. " [49],SrcID 49 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 48. " [48] ,SrcID 48 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 47. " [47] ,SrcID 47 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 46. " [46] ,SrcID 46 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 45. " [45] ,SrcID 45 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 44. " [44],SrcID 44 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 43. " [43] ,SrcID 43 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 42. " [42] ,SrcID 42 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 41. " [41] ,SrcID 41 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 40. " [40] ,SrcID 40 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 39. " [39],SrcID 39 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 38. " [38] ,SrcID 38 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 37. " [37] ,SrcID 37 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 36. " [36] ,SrcID 36 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 35. " [35] ,SrcID 35 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 34. " [34],SrcID 34 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 33. " [33] ,SrcID 33 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 32. " [32] ,SrcID 32 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 31. " [31] ,SrcID 31 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 30. " [30] ,SrcID 30 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 29. " [29],SrcID 29 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 28. " [28] ,SrcID 28 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 27. " [27] ,SrcID 27 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 26. " [26] ,SrcID 26 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 25. " [25] ,SrcID 25 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 24. " [24],SrcID 24 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 23. " [23] ,SrcID 23 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 22. " [22] ,SrcID 22 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 21. " [21] ,SrcID 21 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 20. " [20] ,SrcID 20 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 19. " [19],SrcID 19 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 18. " [18] ,SrcID 18 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 17. " [17] ,SrcID 17 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 16. " [16] ,SrcID 16 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 15. " [15] ,SrcID 15 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 14. " [14],SrcID 14 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 13. " [13] ,SrcID 13 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 12. " [12] ,SrcID 12 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 11. " [11] ,SrcID 11 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 10. " [10] ,SrcID 10 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 9. " [9],SrcID 9 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 8. " [8] ,SrcID 8 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 7. " [7] ,SrcID 7 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 6. " [6] ,SrcID 6 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 5. " [5] ,SrcID 5 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 4. " [4],SrcID 4 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 3. " [3] ,SrcID 3 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 2. " [2] ,SrcID 2 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 1. " [1] ,SrcID 1 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 0. " [0] ,SrcID 0 serves as a RN-I protocol node" "Not RN-I,RN-I" group.quad 0x200++0x07 line.quad 0x00 "SNOOP_DOMAIN_CTL_SET/CLR,Snoop Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " SNOOP_DOMAIN_CTL[63] ,RN-F node 63 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN-F node 62 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN-F node 61 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN-F node 60 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59],RN-F node 59 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN-F node 58 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN-F node 57 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN-F node 56 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN-F node 55 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54],RN-F node 54 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN-F node 53 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN-F node 52 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN-F node 51 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN-F node 50 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49],RN-F node 49 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN-F node 48 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN-F node 47 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN-F node 46 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN-F node 45 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44],RN-F node 44 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN-F node 43 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN-F node 42 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN-F node 41 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN-F node 40 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39],RN-F node 39 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN-F node 38 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN-F node 37 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN-F node 36 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN-F node 35 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34],RN-F node 34 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN-F node 33 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN-F node 32 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN-F node 31 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN-F node 30 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29],RN-F node 29 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN-F node 28 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN-F node 27 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN-F node 26 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN-F node 25 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24],RN-F node 24 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN-F node 23 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN-F node 22 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN-F node 21 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN-F node 20 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19],RN-F node 19 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN-F node 18 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN-F node 17 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN-F node 16 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN-F node 15 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14],RN-F node 14 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN-F node 13 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN-F node 12 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN-F node 11 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN-F node 10 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9],RN-F node 9 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN-F node 8 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN-F node 7 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN-F node 6 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN-F node 5 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4],RN-F node 4 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN-F node 3 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN-F node 2 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN-F node 1 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN-F node 0 snoop mode" "Not snooped,Snooped" newline width 19. wgroup.quad 0x300++0x07 line.quad 0x00 "HN_DR_CFG,HN Debug Read Configuration Register" bitfld.quad 0x00 24.--25. " L3_ACCESS_COMPONENT ,L3/SF debug read array specifier" ",L3 data read,L3 tag read,SF tag read" bitfld.quad 0x00 20.--22. " L3_ACCESS_OW ,64-bit chunk address for L3 data debug read access" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 16.--19. " L3_ACCESS_WAY ,Way address for L3/SF debug read access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 0.--11. 0x01 " L3_ACCESS_SET ,Set address for L3/SF debug read access" rgroup.quad 0x308++0x17 line.quad 0x00 "L3_CACC_TAG,L3 Cache Access Tag Register" hexmask.quad 0x00 0.--43. 1. " L3_CACHE_ACCESS_L3_TAG ,L3 tag debug read data register" line.quad 0x08 "L3_CACC_DATA,L3 Cache Access Data Register" line.quad 0x10 "L3_CACC_SF_TAG,L3 Cache Access SF Tag Register" hexmask.quad 0x10 0.--43. 1. " L3_CACHE_ACCESS_SF_TAG ,SF tag debug read data register" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYN_REG0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" newline hexmask.quad.tbyte 0x00 43.--58. 1. " ERR_COUNT ,Corrected error count" hexmask.quad.word 0x00 8.--19. 0x01 " ERR_COUNT_SET ,HN-F single-bit ECC error count set address" newline bitfld.quad 0x00 7. " ERR_COUNT_OVRFLW ,HN-F single-bit error counter overflow" "No overflow,Overflow" bitfld.quad 0x00 6. " ERR_COUNT_MATCH ,HN-F ECC error count applies to same type and set" "Not matched,Matched" newline bitfld.quad 0x00 4.--5. " ERR_COUNT_TYPE ,HN-F single-bit ECC counter type" "L3 data,L3 tag,SF tag,?..." bitfld.quad 0x00 0.--2. " ERR_ID ,HN-F error syndrome register error type" ",,,,L3 data double-bit ECC,L3 tag double-bit ECC,SF tag double-bit ECC,CHI bus slave" line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" hexmask.quad 0x08 0.--43. 0x01 " ERR_ADDR ,HN-F error syndrome address" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,L3 Cache Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "HNF_AUX_CTL,HN-F Auxiliary Control Register" bitfld.quad 0x00 13. " HNF_OCM_ALLWAYES_EN ,All L3 way OCM support enable" "Disabled,Enabled" bitfld.quad 0x00 12. " HNF_OCM_EN ,Region lock with OCM enable" "Disabled,Enabled" newline bitfld.quad 0x00 11. " HNF_HONOR_EWA ,HN-F honors the state of the early write acknowledge" "Ignored,Not ignored" bitfld.quad 0x00 7. " DIS_QOS_PCRDTYPE ,Disable qos based pcrdtype assignment" "No,Yes" newline bitfld.quad 0x00 6. " DIS_SNP_ONCE ,Disable snponce" "No,Yes" bitfld.quad 0x00 5. " L3_NO_ALLOC ,Disable L3 allocation for non-shareable cacheable transactions" "No,Yes" newline bitfld.quad 0x00 4. " RD_ONCE_NO_ALLOC ,Disable readonce allocation in the L3 from RN-Is" "No,Yes" bitfld.quad 0x00 3. " REV_QOS_POOL_ALLOC ,Reverse qos pool allocation algorithm" "Not reversed,Reversed" newline bitfld.quad 0x00 2. " NO_WU_ALLOC ,Disable writeunique and writelineunique allocations in L3" "No,Yes" bitfld.quad 0x00 0. " HNF_ONLY_MODE ,HN-F-only mode with no L3 and snoop filter" "0,1" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "HNF_ID,HN-F Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-F" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-F" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "HN-F ID 6" base ad:0x4220000 width 19. group.quad 0x00++0x07 line.quad 0x00 "HNF_CFG_CTRL,HN-F Configuration Control Register" bitfld.quad 0x00 20. " NCDEVCMO_MC_COMP ,Disable HN-F completion" "No,Yes" bitfld.quad 0x00 18. " SF_ECC_SCRUB_DISABLE ,Disable SF tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 17. " L3_DAT_ECC_SCRUB_DISABLE ,Disable L3 data single-bit ECC error scrubbing" "No,Yes" newline bitfld.quad 0x00 16. " L3_TAG_ECC_SCRUB_DISABLE ,Disable L3 tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 8. " CG_DISABLE ,Disable HN-F clock gates" "No,Yes" bitfld.quad 0x00 4. " ECC_DISABLE ,Disable L3 and SF ECC generation and detection" "No,Yes" if (((per.q((ad:0x4220000+0x08)))&0x100000000)==0x100000000) group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 16.--22. 1. " HN_CFG_SN2_NODEID ,Node ID for slave node 2" hexmask.quad.byte 0x00 8.--14. 1. " HN_CFG_SN1_NODEID ,Node ID for slave node 1" hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" else group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" endif rgroup.quad 0x20++0x07 line.quad 0x00 "QOS_BAND,QoS Band Register" bitfld.quad 0x00 28.--31. " HIGHHIGH_MAX_QOS_VAL ,Maximum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 24.--27. " HIGHHIGH_MIN_QOS_VAL ,Minimum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 20.--23. " HIGH_MAX_QOS_VAL ,Maximum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 16.--19. " HIGH_MIN_QOS_VAL ,Minimum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 12.--15. " MED_MAX_QOS_VAL ,Maximum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--11. " MED_MIN_QOS_VAL ,Minimum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. " LOW_MAX_QOS_VAL ,Maximum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " LOW_MIN_QOS_VAL ,Minimum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x28++0x3F line.quad 0x00 "QOS_RESERVATION,QoS Reservation Register" bitfld.quad 0x00 32.--36. " SEQ_QOS_MAX_CNT ,Number of entries that are reserved for snoop filter evictions in POCQ" ",1,?..." bitfld.quad 0x00 24.--28. " HIGHHIGH_QOS_MAX_CNT ,Maximum number of highest qos class occupancy" ",,,,,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--20. " HIGH_QOS_MAX_CNT ,Maximum number of high qos class occupancy" ",,,,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." newline bitfld.quad 0x00 8.--12. " MED_QOS_MAX_CNT ,Maximum number of medium qos class occupancy" ",,,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,?..." bitfld.quad 0x00 0.--4. " LOW_QOS_MAX_CNT ,Maximum number of low qos class occupancy" ",,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,?..." line.quad 0x08 "RN_STARVATION,RN Starvation Register" bitfld.quad 0x08 40.--44. " RN_HIGH_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x08 32.--37. " RN_MED_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 24.--28. " RN_MED_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.byte 0x08 16.--22. 1. " RN_LOW_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over low qos class" bitfld.quad 0x08 8.--13. " RN_LOW_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 0.--4. " RN_LOW_OVER_MED_MAX_CNT ,Maximum number of consecutive times medium qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.quad 0x10 "HNF_ERR_INJ,HN-F Error Injection Enable And Setup Register" hexmask.quad.byte 0x10 16.--22. 1. " HNF_ERR_INJ_SRCID ,SrcID read access that results in an L3 miss" bitfld.quad 0x10 4.--6. " HNF_ERR_INJ_LPID ,LPID to match for HN-F error injection" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 0. " HNF_ERR_INJ_EN ,HN-F error injection and report enable" "Disabled,Enabled" line.quad 0x18 "HNF_L3_LOCK_WAYS,HN-F L3 Lock Ways Register" bitfld.quad 0x18 0.--3. " WAYS ,Number of ways locked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "HNF_L3_LOCK_BASE0,HN-F L3 Lock Base 0 Register" bitfld.quad 0x20 63. " BASE0_VLD ,Lock base 0 valid" "Not valid,Valid" hexmask.quad 0x20 0.--43. 1. " BASE0 ,Lock base 0" line.quad 0x28 "HNF_L3_LOCK_BASE1,HN-F L3 Lock Base 1 Register" bitfld.quad 0x28 63. " BASE1_VLD ,Lock base 1 valid" "Not valid,Valid" hexmask.quad 0x28 0.--43. 1. " BASE1 ,Lock base 1" line.quad 0x30 "HNF_L3_LOCK_BASE2,HN-F L3 Lock Base 2 Register" bitfld.quad 0x30 63. " BASE2_VLD ,Lock base 2 valid" "Not valid,Valid" hexmask.quad 0x30 0.--43. 1. " BASE2 ,Lock base 2" line.quad 0x38 "HNF_L3_LOCK_BASE3,HN-F L3 Lock Base 3 Register" bitfld.quad 0x38 63. " BASE3_VLD ,Lock base 3 valid" "Not valid,Valid" hexmask.quad 0x38 0.--43. 1. " BASE3 ,Lock base 3" newline width 26. group.quad 0x108++0x07 line.quad 0x00 "HN_CFG_RNI_VEC,HN Configuration RN-I Vector Register" bitfld.quad 0x00 63. " RNI_VEC[63] ,SrcID 63 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 62. " [62] ,SrcID 62 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 61. " [61] ,SrcID 61 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 60. " [60] ,SrcID 60 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 59. " [59],SrcID 59 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 58. " [58] ,SrcID 58 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 57. " [57] ,SrcID 57 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 56. " [56] ,SrcID 56 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 55. " [55] ,SrcID 55 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 54. " [54],SrcID 54 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 53. " [53] ,SrcID 53 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 52. " [52] ,SrcID 52 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 51. " [51] ,SrcID 51 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 50. " [50] ,SrcID 50 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 49. " [49],SrcID 49 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 48. " [48] ,SrcID 48 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 47. " [47] ,SrcID 47 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 46. " [46] ,SrcID 46 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 45. " [45] ,SrcID 45 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 44. " [44],SrcID 44 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 43. " [43] ,SrcID 43 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 42. " [42] ,SrcID 42 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 41. " [41] ,SrcID 41 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 40. " [40] ,SrcID 40 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 39. " [39],SrcID 39 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 38. " [38] ,SrcID 38 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 37. " [37] ,SrcID 37 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 36. " [36] ,SrcID 36 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 35. " [35] ,SrcID 35 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 34. " [34],SrcID 34 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 33. " [33] ,SrcID 33 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 32. " [32] ,SrcID 32 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 31. " [31] ,SrcID 31 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 30. " [30] ,SrcID 30 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 29. " [29],SrcID 29 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 28. " [28] ,SrcID 28 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 27. " [27] ,SrcID 27 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 26. " [26] ,SrcID 26 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 25. " [25] ,SrcID 25 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 24. " [24],SrcID 24 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 23. " [23] ,SrcID 23 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 22. " [22] ,SrcID 22 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 21. " [21] ,SrcID 21 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 20. " [20] ,SrcID 20 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 19. " [19],SrcID 19 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 18. " [18] ,SrcID 18 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 17. " [17] ,SrcID 17 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 16. " [16] ,SrcID 16 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 15. " [15] ,SrcID 15 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 14. " [14],SrcID 14 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 13. " [13] ,SrcID 13 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 12. " [12] ,SrcID 12 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 11. " [11] ,SrcID 11 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 10. " [10] ,SrcID 10 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 9. " [9],SrcID 9 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 8. " [8] ,SrcID 8 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 7. " [7] ,SrcID 7 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 6. " [6] ,SrcID 6 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 5. " [5] ,SrcID 5 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 4. " [4],SrcID 4 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 3. " [3] ,SrcID 3 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 2. " [2] ,SrcID 2 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 1. " [1] ,SrcID 1 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 0. " [0] ,SrcID 0 serves as a RN-I protocol node" "Not RN-I,RN-I" group.quad 0x200++0x07 line.quad 0x00 "SNOOP_DOMAIN_CTL_SET/CLR,Snoop Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " SNOOP_DOMAIN_CTL[63] ,RN-F node 63 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN-F node 62 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN-F node 61 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN-F node 60 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59],RN-F node 59 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN-F node 58 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN-F node 57 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN-F node 56 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN-F node 55 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54],RN-F node 54 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN-F node 53 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN-F node 52 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN-F node 51 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN-F node 50 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49],RN-F node 49 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN-F node 48 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN-F node 47 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN-F node 46 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN-F node 45 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44],RN-F node 44 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN-F node 43 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN-F node 42 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN-F node 41 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN-F node 40 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39],RN-F node 39 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN-F node 38 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN-F node 37 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN-F node 36 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN-F node 35 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34],RN-F node 34 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN-F node 33 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN-F node 32 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN-F node 31 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN-F node 30 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29],RN-F node 29 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN-F node 28 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN-F node 27 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN-F node 26 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN-F node 25 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24],RN-F node 24 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN-F node 23 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN-F node 22 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN-F node 21 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN-F node 20 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19],RN-F node 19 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN-F node 18 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN-F node 17 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN-F node 16 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN-F node 15 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14],RN-F node 14 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN-F node 13 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN-F node 12 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN-F node 11 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN-F node 10 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9],RN-F node 9 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN-F node 8 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN-F node 7 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN-F node 6 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN-F node 5 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4],RN-F node 4 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN-F node 3 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN-F node 2 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN-F node 1 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN-F node 0 snoop mode" "Not snooped,Snooped" newline width 19. wgroup.quad 0x300++0x07 line.quad 0x00 "HN_DR_CFG,HN Debug Read Configuration Register" bitfld.quad 0x00 24.--25. " L3_ACCESS_COMPONENT ,L3/SF debug read array specifier" ",L3 data read,L3 tag read,SF tag read" bitfld.quad 0x00 20.--22. " L3_ACCESS_OW ,64-bit chunk address for L3 data debug read access" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 16.--19. " L3_ACCESS_WAY ,Way address for L3/SF debug read access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 0.--11. 0x01 " L3_ACCESS_SET ,Set address for L3/SF debug read access" rgroup.quad 0x308++0x17 line.quad 0x00 "L3_CACC_TAG,L3 Cache Access Tag Register" hexmask.quad 0x00 0.--43. 1. " L3_CACHE_ACCESS_L3_TAG ,L3 tag debug read data register" line.quad 0x08 "L3_CACC_DATA,L3 Cache Access Data Register" line.quad 0x10 "L3_CACC_SF_TAG,L3 Cache Access SF Tag Register" hexmask.quad 0x10 0.--43. 1. " L3_CACHE_ACCESS_SF_TAG ,SF tag debug read data register" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYN_REG0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" newline hexmask.quad.tbyte 0x00 43.--58. 1. " ERR_COUNT ,Corrected error count" hexmask.quad.word 0x00 8.--19. 0x01 " ERR_COUNT_SET ,HN-F single-bit ECC error count set address" newline bitfld.quad 0x00 7. " ERR_COUNT_OVRFLW ,HN-F single-bit error counter overflow" "No overflow,Overflow" bitfld.quad 0x00 6. " ERR_COUNT_MATCH ,HN-F ECC error count applies to same type and set" "Not matched,Matched" newline bitfld.quad 0x00 4.--5. " ERR_COUNT_TYPE ,HN-F single-bit ECC counter type" "L3 data,L3 tag,SF tag,?..." bitfld.quad 0x00 0.--2. " ERR_ID ,HN-F error syndrome register error type" ",,,,L3 data double-bit ECC,L3 tag double-bit ECC,SF tag double-bit ECC,CHI bus slave" line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" hexmask.quad 0x08 0.--43. 0x01 " ERR_ADDR ,HN-F error syndrome address" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,L3 Cache Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "HNF_AUX_CTL,HN-F Auxiliary Control Register" bitfld.quad 0x00 13. " HNF_OCM_ALLWAYES_EN ,All L3 way OCM support enable" "Disabled,Enabled" bitfld.quad 0x00 12. " HNF_OCM_EN ,Region lock with OCM enable" "Disabled,Enabled" newline bitfld.quad 0x00 11. " HNF_HONOR_EWA ,HN-F honors the state of the early write acknowledge" "Ignored,Not ignored" bitfld.quad 0x00 7. " DIS_QOS_PCRDTYPE ,Disable qos based pcrdtype assignment" "No,Yes" newline bitfld.quad 0x00 6. " DIS_SNP_ONCE ,Disable snponce" "No,Yes" bitfld.quad 0x00 5. " L3_NO_ALLOC ,Disable L3 allocation for non-shareable cacheable transactions" "No,Yes" newline bitfld.quad 0x00 4. " RD_ONCE_NO_ALLOC ,Disable readonce allocation in the L3 from RN-Is" "No,Yes" bitfld.quad 0x00 3. " REV_QOS_POOL_ALLOC ,Reverse qos pool allocation algorithm" "Not reversed,Reversed" newline bitfld.quad 0x00 2. " NO_WU_ALLOC ,Disable writeunique and writelineunique allocations in L3" "No,Yes" bitfld.quad 0x00 0. " HNF_ONLY_MODE ,HN-F-only mode with no L3 and snoop filter" "0,1" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "HNF_ID,HN-F Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-F" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-F" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "HN-F ID 9" base ad:0x4230000 width 19. group.quad 0x00++0x07 line.quad 0x00 "HNF_CFG_CTRL,HN-F Configuration Control Register" bitfld.quad 0x00 20. " NCDEVCMO_MC_COMP ,Disable HN-F completion" "No,Yes" bitfld.quad 0x00 18. " SF_ECC_SCRUB_DISABLE ,Disable SF tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 17. " L3_DAT_ECC_SCRUB_DISABLE ,Disable L3 data single-bit ECC error scrubbing" "No,Yes" newline bitfld.quad 0x00 16. " L3_TAG_ECC_SCRUB_DISABLE ,Disable L3 tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 8. " CG_DISABLE ,Disable HN-F clock gates" "No,Yes" bitfld.quad 0x00 4. " ECC_DISABLE ,Disable L3 and SF ECC generation and detection" "No,Yes" if (((per.q((ad:0x4230000+0x08)))&0x100000000)==0x100000000) group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 16.--22. 1. " HN_CFG_SN2_NODEID ,Node ID for slave node 2" hexmask.quad.byte 0x00 8.--14. 1. " HN_CFG_SN1_NODEID ,Node ID for slave node 1" hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" else group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" endif rgroup.quad 0x20++0x07 line.quad 0x00 "QOS_BAND,QoS Band Register" bitfld.quad 0x00 28.--31. " HIGHHIGH_MAX_QOS_VAL ,Maximum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 24.--27. " HIGHHIGH_MIN_QOS_VAL ,Minimum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 20.--23. " HIGH_MAX_QOS_VAL ,Maximum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 16.--19. " HIGH_MIN_QOS_VAL ,Minimum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 12.--15. " MED_MAX_QOS_VAL ,Maximum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--11. " MED_MIN_QOS_VAL ,Minimum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. " LOW_MAX_QOS_VAL ,Maximum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " LOW_MIN_QOS_VAL ,Minimum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x28++0x3F line.quad 0x00 "QOS_RESERVATION,QoS Reservation Register" bitfld.quad 0x00 32.--36. " SEQ_QOS_MAX_CNT ,Number of entries that are reserved for snoop filter evictions in POCQ" ",1,?..." bitfld.quad 0x00 24.--28. " HIGHHIGH_QOS_MAX_CNT ,Maximum number of highest qos class occupancy" ",,,,,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--20. " HIGH_QOS_MAX_CNT ,Maximum number of high qos class occupancy" ",,,,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." newline bitfld.quad 0x00 8.--12. " MED_QOS_MAX_CNT ,Maximum number of medium qos class occupancy" ",,,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,?..." bitfld.quad 0x00 0.--4. " LOW_QOS_MAX_CNT ,Maximum number of low qos class occupancy" ",,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,?..." line.quad 0x08 "RN_STARVATION,RN Starvation Register" bitfld.quad 0x08 40.--44. " RN_HIGH_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x08 32.--37. " RN_MED_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 24.--28. " RN_MED_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.byte 0x08 16.--22. 1. " RN_LOW_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over low qos class" bitfld.quad 0x08 8.--13. " RN_LOW_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 0.--4. " RN_LOW_OVER_MED_MAX_CNT ,Maximum number of consecutive times medium qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.quad 0x10 "HNF_ERR_INJ,HN-F Error Injection Enable And Setup Register" hexmask.quad.byte 0x10 16.--22. 1. " HNF_ERR_INJ_SRCID ,SrcID read access that results in an L3 miss" bitfld.quad 0x10 4.--6. " HNF_ERR_INJ_LPID ,LPID to match for HN-F error injection" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 0. " HNF_ERR_INJ_EN ,HN-F error injection and report enable" "Disabled,Enabled" line.quad 0x18 "HNF_L3_LOCK_WAYS,HN-F L3 Lock Ways Register" bitfld.quad 0x18 0.--3. " WAYS ,Number of ways locked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "HNF_L3_LOCK_BASE0,HN-F L3 Lock Base 0 Register" bitfld.quad 0x20 63. " BASE0_VLD ,Lock base 0 valid" "Not valid,Valid" hexmask.quad 0x20 0.--43. 1. " BASE0 ,Lock base 0" line.quad 0x28 "HNF_L3_LOCK_BASE1,HN-F L3 Lock Base 1 Register" bitfld.quad 0x28 63. " BASE1_VLD ,Lock base 1 valid" "Not valid,Valid" hexmask.quad 0x28 0.--43. 1. " BASE1 ,Lock base 1" line.quad 0x30 "HNF_L3_LOCK_BASE2,HN-F L3 Lock Base 2 Register" bitfld.quad 0x30 63. " BASE2_VLD ,Lock base 2 valid" "Not valid,Valid" hexmask.quad 0x30 0.--43. 1. " BASE2 ,Lock base 2" line.quad 0x38 "HNF_L3_LOCK_BASE3,HN-F L3 Lock Base 3 Register" bitfld.quad 0x38 63. " BASE3_VLD ,Lock base 3 valid" "Not valid,Valid" hexmask.quad 0x38 0.--43. 1. " BASE3 ,Lock base 3" newline width 26. group.quad 0x108++0x07 line.quad 0x00 "HN_CFG_RNI_VEC,HN Configuration RN-I Vector Register" bitfld.quad 0x00 63. " RNI_VEC[63] ,SrcID 63 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 62. " [62] ,SrcID 62 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 61. " [61] ,SrcID 61 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 60. " [60] ,SrcID 60 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 59. " [59],SrcID 59 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 58. " [58] ,SrcID 58 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 57. " [57] ,SrcID 57 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 56. " [56] ,SrcID 56 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 55. " [55] ,SrcID 55 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 54. " [54],SrcID 54 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 53. " [53] ,SrcID 53 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 52. " [52] ,SrcID 52 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 51. " [51] ,SrcID 51 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 50. " [50] ,SrcID 50 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 49. " [49],SrcID 49 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 48. " [48] ,SrcID 48 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 47. " [47] ,SrcID 47 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 46. " [46] ,SrcID 46 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 45. " [45] ,SrcID 45 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 44. " [44],SrcID 44 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 43. " [43] ,SrcID 43 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 42. " [42] ,SrcID 42 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 41. " [41] ,SrcID 41 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 40. " [40] ,SrcID 40 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 39. " [39],SrcID 39 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 38. " [38] ,SrcID 38 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 37. " [37] ,SrcID 37 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 36. " [36] ,SrcID 36 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 35. " [35] ,SrcID 35 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 34. " [34],SrcID 34 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 33. " [33] ,SrcID 33 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 32. " [32] ,SrcID 32 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 31. " [31] ,SrcID 31 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 30. " [30] ,SrcID 30 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 29. " [29],SrcID 29 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 28. " [28] ,SrcID 28 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 27. " [27] ,SrcID 27 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 26. " [26] ,SrcID 26 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 25. " [25] ,SrcID 25 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 24. " [24],SrcID 24 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 23. " [23] ,SrcID 23 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 22. " [22] ,SrcID 22 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 21. " [21] ,SrcID 21 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 20. " [20] ,SrcID 20 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 19. " [19],SrcID 19 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 18. " [18] ,SrcID 18 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 17. " [17] ,SrcID 17 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 16. " [16] ,SrcID 16 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 15. " [15] ,SrcID 15 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 14. " [14],SrcID 14 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 13. " [13] ,SrcID 13 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 12. " [12] ,SrcID 12 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 11. " [11] ,SrcID 11 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 10. " [10] ,SrcID 10 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 9. " [9],SrcID 9 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 8. " [8] ,SrcID 8 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 7. " [7] ,SrcID 7 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 6. " [6] ,SrcID 6 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 5. " [5] ,SrcID 5 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 4. " [4],SrcID 4 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 3. " [3] ,SrcID 3 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 2. " [2] ,SrcID 2 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 1. " [1] ,SrcID 1 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 0. " [0] ,SrcID 0 serves as a RN-I protocol node" "Not RN-I,RN-I" group.quad 0x200++0x07 line.quad 0x00 "SNOOP_DOMAIN_CTL_SET/CLR,Snoop Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " SNOOP_DOMAIN_CTL[63] ,RN-F node 63 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN-F node 62 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN-F node 61 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN-F node 60 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59],RN-F node 59 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN-F node 58 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN-F node 57 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN-F node 56 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN-F node 55 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54],RN-F node 54 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN-F node 53 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN-F node 52 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN-F node 51 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN-F node 50 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49],RN-F node 49 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN-F node 48 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN-F node 47 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN-F node 46 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN-F node 45 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44],RN-F node 44 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN-F node 43 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN-F node 42 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN-F node 41 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN-F node 40 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39],RN-F node 39 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN-F node 38 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN-F node 37 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN-F node 36 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN-F node 35 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34],RN-F node 34 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN-F node 33 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN-F node 32 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN-F node 31 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN-F node 30 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29],RN-F node 29 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN-F node 28 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN-F node 27 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN-F node 26 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN-F node 25 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24],RN-F node 24 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN-F node 23 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN-F node 22 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN-F node 21 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN-F node 20 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19],RN-F node 19 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN-F node 18 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN-F node 17 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN-F node 16 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN-F node 15 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14],RN-F node 14 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN-F node 13 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN-F node 12 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN-F node 11 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN-F node 10 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9],RN-F node 9 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN-F node 8 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN-F node 7 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN-F node 6 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN-F node 5 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4],RN-F node 4 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN-F node 3 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN-F node 2 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN-F node 1 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN-F node 0 snoop mode" "Not snooped,Snooped" newline width 19. wgroup.quad 0x300++0x07 line.quad 0x00 "HN_DR_CFG,HN Debug Read Configuration Register" bitfld.quad 0x00 24.--25. " L3_ACCESS_COMPONENT ,L3/SF debug read array specifier" ",L3 data read,L3 tag read,SF tag read" bitfld.quad 0x00 20.--22. " L3_ACCESS_OW ,64-bit chunk address for L3 data debug read access" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 16.--19. " L3_ACCESS_WAY ,Way address for L3/SF debug read access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 0.--11. 0x01 " L3_ACCESS_SET ,Set address for L3/SF debug read access" rgroup.quad 0x308++0x17 line.quad 0x00 "L3_CACC_TAG,L3 Cache Access Tag Register" hexmask.quad 0x00 0.--43. 1. " L3_CACHE_ACCESS_L3_TAG ,L3 tag debug read data register" line.quad 0x08 "L3_CACC_DATA,L3 Cache Access Data Register" line.quad 0x10 "L3_CACC_SF_TAG,L3 Cache Access SF Tag Register" hexmask.quad 0x10 0.--43. 1. " L3_CACHE_ACCESS_SF_TAG ,SF tag debug read data register" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYN_REG0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" newline hexmask.quad.tbyte 0x00 43.--58. 1. " ERR_COUNT ,Corrected error count" hexmask.quad.word 0x00 8.--19. 0x01 " ERR_COUNT_SET ,HN-F single-bit ECC error count set address" newline bitfld.quad 0x00 7. " ERR_COUNT_OVRFLW ,HN-F single-bit error counter overflow" "No overflow,Overflow" bitfld.quad 0x00 6. " ERR_COUNT_MATCH ,HN-F ECC error count applies to same type and set" "Not matched,Matched" newline bitfld.quad 0x00 4.--5. " ERR_COUNT_TYPE ,HN-F single-bit ECC counter type" "L3 data,L3 tag,SF tag,?..." bitfld.quad 0x00 0.--2. " ERR_ID ,HN-F error syndrome register error type" ",,,,L3 data double-bit ECC,L3 tag double-bit ECC,SF tag double-bit ECC,CHI bus slave" line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" hexmask.quad 0x08 0.--43. 0x01 " ERR_ADDR ,HN-F error syndrome address" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,L3 Cache Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "HNF_AUX_CTL,HN-F Auxiliary Control Register" bitfld.quad 0x00 13. " HNF_OCM_ALLWAYES_EN ,All L3 way OCM support enable" "Disabled,Enabled" bitfld.quad 0x00 12. " HNF_OCM_EN ,Region lock with OCM enable" "Disabled,Enabled" newline bitfld.quad 0x00 11. " HNF_HONOR_EWA ,HN-F honors the state of the early write acknowledge" "Ignored,Not ignored" bitfld.quad 0x00 7. " DIS_QOS_PCRDTYPE ,Disable qos based pcrdtype assignment" "No,Yes" newline bitfld.quad 0x00 6. " DIS_SNP_ONCE ,Disable snponce" "No,Yes" bitfld.quad 0x00 5. " L3_NO_ALLOC ,Disable L3 allocation for non-shareable cacheable transactions" "No,Yes" newline bitfld.quad 0x00 4. " RD_ONCE_NO_ALLOC ,Disable readonce allocation in the L3 from RN-Is" "No,Yes" bitfld.quad 0x00 3. " REV_QOS_POOL_ALLOC ,Reverse qos pool allocation algorithm" "Not reversed,Reversed" newline bitfld.quad 0x00 2. " NO_WU_ALLOC ,Disable writeunique and writelineunique allocations in L3" "No,Yes" bitfld.quad 0x00 0. " HNF_ONLY_MODE ,HN-F-only mode with no L3 and snoop filter" "0,1" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "HNF_ID,HN-F Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-F" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-F" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "HN-F ID 18" base ad:0x4240000 width 19. group.quad 0x00++0x07 line.quad 0x00 "HNF_CFG_CTRL,HN-F Configuration Control Register" bitfld.quad 0x00 20. " NCDEVCMO_MC_COMP ,Disable HN-F completion" "No,Yes" bitfld.quad 0x00 18. " SF_ECC_SCRUB_DISABLE ,Disable SF tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 17. " L3_DAT_ECC_SCRUB_DISABLE ,Disable L3 data single-bit ECC error scrubbing" "No,Yes" newline bitfld.quad 0x00 16. " L3_TAG_ECC_SCRUB_DISABLE ,Disable L3 tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 8. " CG_DISABLE ,Disable HN-F clock gates" "No,Yes" bitfld.quad 0x00 4. " ECC_DISABLE ,Disable L3 and SF ECC generation and detection" "No,Yes" if (((per.q((ad:0x4240000+0x08)))&0x100000000)==0x100000000) group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 16.--22. 1. " HN_CFG_SN2_NODEID ,Node ID for slave node 2" hexmask.quad.byte 0x00 8.--14. 1. " HN_CFG_SN1_NODEID ,Node ID for slave node 1" hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" else group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" endif rgroup.quad 0x20++0x07 line.quad 0x00 "QOS_BAND,QoS Band Register" bitfld.quad 0x00 28.--31. " HIGHHIGH_MAX_QOS_VAL ,Maximum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 24.--27. " HIGHHIGH_MIN_QOS_VAL ,Minimum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 20.--23. " HIGH_MAX_QOS_VAL ,Maximum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 16.--19. " HIGH_MIN_QOS_VAL ,Minimum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 12.--15. " MED_MAX_QOS_VAL ,Maximum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--11. " MED_MIN_QOS_VAL ,Minimum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. " LOW_MAX_QOS_VAL ,Maximum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " LOW_MIN_QOS_VAL ,Minimum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x28++0x3F line.quad 0x00 "QOS_RESERVATION,QoS Reservation Register" bitfld.quad 0x00 32.--36. " SEQ_QOS_MAX_CNT ,Number of entries that are reserved for snoop filter evictions in POCQ" ",1,?..." bitfld.quad 0x00 24.--28. " HIGHHIGH_QOS_MAX_CNT ,Maximum number of highest qos class occupancy" ",,,,,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--20. " HIGH_QOS_MAX_CNT ,Maximum number of high qos class occupancy" ",,,,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." newline bitfld.quad 0x00 8.--12. " MED_QOS_MAX_CNT ,Maximum number of medium qos class occupancy" ",,,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,?..." bitfld.quad 0x00 0.--4. " LOW_QOS_MAX_CNT ,Maximum number of low qos class occupancy" ",,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,?..." line.quad 0x08 "RN_STARVATION,RN Starvation Register" bitfld.quad 0x08 40.--44. " RN_HIGH_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x08 32.--37. " RN_MED_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 24.--28. " RN_MED_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.byte 0x08 16.--22. 1. " RN_LOW_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over low qos class" bitfld.quad 0x08 8.--13. " RN_LOW_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 0.--4. " RN_LOW_OVER_MED_MAX_CNT ,Maximum number of consecutive times medium qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.quad 0x10 "HNF_ERR_INJ,HN-F Error Injection Enable And Setup Register" hexmask.quad.byte 0x10 16.--22. 1. " HNF_ERR_INJ_SRCID ,SrcID read access that results in an L3 miss" bitfld.quad 0x10 4.--6. " HNF_ERR_INJ_LPID ,LPID to match for HN-F error injection" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 0. " HNF_ERR_INJ_EN ,HN-F error injection and report enable" "Disabled,Enabled" line.quad 0x18 "HNF_L3_LOCK_WAYS,HN-F L3 Lock Ways Register" bitfld.quad 0x18 0.--3. " WAYS ,Number of ways locked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "HNF_L3_LOCK_BASE0,HN-F L3 Lock Base 0 Register" bitfld.quad 0x20 63. " BASE0_VLD ,Lock base 0 valid" "Not valid,Valid" hexmask.quad 0x20 0.--43. 1. " BASE0 ,Lock base 0" line.quad 0x28 "HNF_L3_LOCK_BASE1,HN-F L3 Lock Base 1 Register" bitfld.quad 0x28 63. " BASE1_VLD ,Lock base 1 valid" "Not valid,Valid" hexmask.quad 0x28 0.--43. 1. " BASE1 ,Lock base 1" line.quad 0x30 "HNF_L3_LOCK_BASE2,HN-F L3 Lock Base 2 Register" bitfld.quad 0x30 63. " BASE2_VLD ,Lock base 2 valid" "Not valid,Valid" hexmask.quad 0x30 0.--43. 1. " BASE2 ,Lock base 2" line.quad 0x38 "HNF_L3_LOCK_BASE3,HN-F L3 Lock Base 3 Register" bitfld.quad 0x38 63. " BASE3_VLD ,Lock base 3 valid" "Not valid,Valid" hexmask.quad 0x38 0.--43. 1. " BASE3 ,Lock base 3" newline width 26. group.quad 0x108++0x07 line.quad 0x00 "HN_CFG_RNI_VEC,HN Configuration RN-I Vector Register" bitfld.quad 0x00 63. " RNI_VEC[63] ,SrcID 63 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 62. " [62] ,SrcID 62 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 61. " [61] ,SrcID 61 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 60. " [60] ,SrcID 60 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 59. " [59],SrcID 59 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 58. " [58] ,SrcID 58 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 57. " [57] ,SrcID 57 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 56. " [56] ,SrcID 56 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 55. " [55] ,SrcID 55 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 54. " [54],SrcID 54 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 53. " [53] ,SrcID 53 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 52. " [52] ,SrcID 52 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 51. " [51] ,SrcID 51 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 50. " [50] ,SrcID 50 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 49. " [49],SrcID 49 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 48. " [48] ,SrcID 48 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 47. " [47] ,SrcID 47 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 46. " [46] ,SrcID 46 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 45. " [45] ,SrcID 45 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 44. " [44],SrcID 44 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 43. " [43] ,SrcID 43 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 42. " [42] ,SrcID 42 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 41. " [41] ,SrcID 41 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 40. " [40] ,SrcID 40 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 39. " [39],SrcID 39 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 38. " [38] ,SrcID 38 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 37. " [37] ,SrcID 37 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 36. " [36] ,SrcID 36 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 35. " [35] ,SrcID 35 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 34. " [34],SrcID 34 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 33. " [33] ,SrcID 33 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 32. " [32] ,SrcID 32 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 31. " [31] ,SrcID 31 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 30. " [30] ,SrcID 30 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 29. " [29],SrcID 29 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 28. " [28] ,SrcID 28 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 27. " [27] ,SrcID 27 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 26. " [26] ,SrcID 26 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 25. " [25] ,SrcID 25 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 24. " [24],SrcID 24 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 23. " [23] ,SrcID 23 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 22. " [22] ,SrcID 22 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 21. " [21] ,SrcID 21 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 20. " [20] ,SrcID 20 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 19. " [19],SrcID 19 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 18. " [18] ,SrcID 18 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 17. " [17] ,SrcID 17 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 16. " [16] ,SrcID 16 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 15. " [15] ,SrcID 15 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 14. " [14],SrcID 14 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 13. " [13] ,SrcID 13 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 12. " [12] ,SrcID 12 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 11. " [11] ,SrcID 11 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 10. " [10] ,SrcID 10 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 9. " [9],SrcID 9 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 8. " [8] ,SrcID 8 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 7. " [7] ,SrcID 7 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 6. " [6] ,SrcID 6 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 5. " [5] ,SrcID 5 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 4. " [4],SrcID 4 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 3. " [3] ,SrcID 3 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 2. " [2] ,SrcID 2 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 1. " [1] ,SrcID 1 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 0. " [0] ,SrcID 0 serves as a RN-I protocol node" "Not RN-I,RN-I" group.quad 0x200++0x07 line.quad 0x00 "SNOOP_DOMAIN_CTL_SET/CLR,Snoop Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " SNOOP_DOMAIN_CTL[63] ,RN-F node 63 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN-F node 62 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN-F node 61 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN-F node 60 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59],RN-F node 59 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN-F node 58 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN-F node 57 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN-F node 56 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN-F node 55 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54],RN-F node 54 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN-F node 53 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN-F node 52 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN-F node 51 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN-F node 50 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49],RN-F node 49 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN-F node 48 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN-F node 47 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN-F node 46 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN-F node 45 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44],RN-F node 44 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN-F node 43 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN-F node 42 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN-F node 41 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN-F node 40 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39],RN-F node 39 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN-F node 38 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN-F node 37 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN-F node 36 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN-F node 35 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34],RN-F node 34 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN-F node 33 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN-F node 32 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN-F node 31 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN-F node 30 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29],RN-F node 29 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN-F node 28 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN-F node 27 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN-F node 26 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN-F node 25 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24],RN-F node 24 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN-F node 23 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN-F node 22 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN-F node 21 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN-F node 20 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19],RN-F node 19 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN-F node 18 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN-F node 17 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN-F node 16 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN-F node 15 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14],RN-F node 14 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN-F node 13 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN-F node 12 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN-F node 11 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN-F node 10 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9],RN-F node 9 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN-F node 8 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN-F node 7 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN-F node 6 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN-F node 5 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4],RN-F node 4 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN-F node 3 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN-F node 2 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN-F node 1 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN-F node 0 snoop mode" "Not snooped,Snooped" newline width 19. wgroup.quad 0x300++0x07 line.quad 0x00 "HN_DR_CFG,HN Debug Read Configuration Register" bitfld.quad 0x00 24.--25. " L3_ACCESS_COMPONENT ,L3/SF debug read array specifier" ",L3 data read,L3 tag read,SF tag read" bitfld.quad 0x00 20.--22. " L3_ACCESS_OW ,64-bit chunk address for L3 data debug read access" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 16.--19. " L3_ACCESS_WAY ,Way address for L3/SF debug read access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 0.--11. 0x01 " L3_ACCESS_SET ,Set address for L3/SF debug read access" rgroup.quad 0x308++0x17 line.quad 0x00 "L3_CACC_TAG,L3 Cache Access Tag Register" hexmask.quad 0x00 0.--43. 1. " L3_CACHE_ACCESS_L3_TAG ,L3 tag debug read data register" line.quad 0x08 "L3_CACC_DATA,L3 Cache Access Data Register" line.quad 0x10 "L3_CACC_SF_TAG,L3 Cache Access SF Tag Register" hexmask.quad 0x10 0.--43. 1. " L3_CACHE_ACCESS_SF_TAG ,SF tag debug read data register" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYN_REG0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" newline hexmask.quad.tbyte 0x00 43.--58. 1. " ERR_COUNT ,Corrected error count" hexmask.quad.word 0x00 8.--19. 0x01 " ERR_COUNT_SET ,HN-F single-bit ECC error count set address" newline bitfld.quad 0x00 7. " ERR_COUNT_OVRFLW ,HN-F single-bit error counter overflow" "No overflow,Overflow" bitfld.quad 0x00 6. " ERR_COUNT_MATCH ,HN-F ECC error count applies to same type and set" "Not matched,Matched" newline bitfld.quad 0x00 4.--5. " ERR_COUNT_TYPE ,HN-F single-bit ECC counter type" "L3 data,L3 tag,SF tag,?..." bitfld.quad 0x00 0.--2. " ERR_ID ,HN-F error syndrome register error type" ",,,,L3 data double-bit ECC,L3 tag double-bit ECC,SF tag double-bit ECC,CHI bus slave" line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" hexmask.quad 0x08 0.--43. 0x01 " ERR_ADDR ,HN-F error syndrome address" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,L3 Cache Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "HNF_AUX_CTL,HN-F Auxiliary Control Register" bitfld.quad 0x00 13. " HNF_OCM_ALLWAYES_EN ,All L3 way OCM support enable" "Disabled,Enabled" bitfld.quad 0x00 12. " HNF_OCM_EN ,Region lock with OCM enable" "Disabled,Enabled" newline bitfld.quad 0x00 11. " HNF_HONOR_EWA ,HN-F honors the state of the early write acknowledge" "Ignored,Not ignored" bitfld.quad 0x00 7. " DIS_QOS_PCRDTYPE ,Disable qos based pcrdtype assignment" "No,Yes" newline bitfld.quad 0x00 6. " DIS_SNP_ONCE ,Disable snponce" "No,Yes" bitfld.quad 0x00 5. " L3_NO_ALLOC ,Disable L3 allocation for non-shareable cacheable transactions" "No,Yes" newline bitfld.quad 0x00 4. " RD_ONCE_NO_ALLOC ,Disable readonce allocation in the L3 from RN-Is" "No,Yes" bitfld.quad 0x00 3. " REV_QOS_POOL_ALLOC ,Reverse qos pool allocation algorithm" "Not reversed,Reversed" newline bitfld.quad 0x00 2. " NO_WU_ALLOC ,Disable writeunique and writelineunique allocations in L3" "No,Yes" bitfld.quad 0x00 0. " HNF_ONLY_MODE ,HN-F-only mode with no L3 and snoop filter" "0,1" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "HNF_ID,HN-F Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-F" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-F" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "HN-F ID 21" base ad:0x4250000 width 19. group.quad 0x00++0x07 line.quad 0x00 "HNF_CFG_CTRL,HN-F Configuration Control Register" bitfld.quad 0x00 20. " NCDEVCMO_MC_COMP ,Disable HN-F completion" "No,Yes" bitfld.quad 0x00 18. " SF_ECC_SCRUB_DISABLE ,Disable SF tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 17. " L3_DAT_ECC_SCRUB_DISABLE ,Disable L3 data single-bit ECC error scrubbing" "No,Yes" newline bitfld.quad 0x00 16. " L3_TAG_ECC_SCRUB_DISABLE ,Disable L3 tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 8. " CG_DISABLE ,Disable HN-F clock gates" "No,Yes" bitfld.quad 0x00 4. " ECC_DISABLE ,Disable L3 and SF ECC generation and detection" "No,Yes" if (((per.q((ad:0x4250000+0x08)))&0x100000000)==0x100000000) group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 16.--22. 1. " HN_CFG_SN2_NODEID ,Node ID for slave node 2" hexmask.quad.byte 0x00 8.--14. 1. " HN_CFG_SN1_NODEID ,Node ID for slave node 1" hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" else group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" endif rgroup.quad 0x20++0x07 line.quad 0x00 "QOS_BAND,QoS Band Register" bitfld.quad 0x00 28.--31. " HIGHHIGH_MAX_QOS_VAL ,Maximum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 24.--27. " HIGHHIGH_MIN_QOS_VAL ,Minimum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 20.--23. " HIGH_MAX_QOS_VAL ,Maximum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 16.--19. " HIGH_MIN_QOS_VAL ,Minimum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 12.--15. " MED_MAX_QOS_VAL ,Maximum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--11. " MED_MIN_QOS_VAL ,Minimum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. " LOW_MAX_QOS_VAL ,Maximum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " LOW_MIN_QOS_VAL ,Minimum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x28++0x3F line.quad 0x00 "QOS_RESERVATION,QoS Reservation Register" bitfld.quad 0x00 32.--36. " SEQ_QOS_MAX_CNT ,Number of entries that are reserved for snoop filter evictions in POCQ" ",1,?..." bitfld.quad 0x00 24.--28. " HIGHHIGH_QOS_MAX_CNT ,Maximum number of highest qos class occupancy" ",,,,,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--20. " HIGH_QOS_MAX_CNT ,Maximum number of high qos class occupancy" ",,,,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." newline bitfld.quad 0x00 8.--12. " MED_QOS_MAX_CNT ,Maximum number of medium qos class occupancy" ",,,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,?..." bitfld.quad 0x00 0.--4. " LOW_QOS_MAX_CNT ,Maximum number of low qos class occupancy" ",,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,?..." line.quad 0x08 "RN_STARVATION,RN Starvation Register" bitfld.quad 0x08 40.--44. " RN_HIGH_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x08 32.--37. " RN_MED_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 24.--28. " RN_MED_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.byte 0x08 16.--22. 1. " RN_LOW_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over low qos class" bitfld.quad 0x08 8.--13. " RN_LOW_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 0.--4. " RN_LOW_OVER_MED_MAX_CNT ,Maximum number of consecutive times medium qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.quad 0x10 "HNF_ERR_INJ,HN-F Error Injection Enable And Setup Register" hexmask.quad.byte 0x10 16.--22. 1. " HNF_ERR_INJ_SRCID ,SrcID read access that results in an L3 miss" bitfld.quad 0x10 4.--6. " HNF_ERR_INJ_LPID ,LPID to match for HN-F error injection" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 0. " HNF_ERR_INJ_EN ,HN-F error injection and report enable" "Disabled,Enabled" line.quad 0x18 "HNF_L3_LOCK_WAYS,HN-F L3 Lock Ways Register" bitfld.quad 0x18 0.--3. " WAYS ,Number of ways locked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "HNF_L3_LOCK_BASE0,HN-F L3 Lock Base 0 Register" bitfld.quad 0x20 63. " BASE0_VLD ,Lock base 0 valid" "Not valid,Valid" hexmask.quad 0x20 0.--43. 1. " BASE0 ,Lock base 0" line.quad 0x28 "HNF_L3_LOCK_BASE1,HN-F L3 Lock Base 1 Register" bitfld.quad 0x28 63. " BASE1_VLD ,Lock base 1 valid" "Not valid,Valid" hexmask.quad 0x28 0.--43. 1. " BASE1 ,Lock base 1" line.quad 0x30 "HNF_L3_LOCK_BASE2,HN-F L3 Lock Base 2 Register" bitfld.quad 0x30 63. " BASE2_VLD ,Lock base 2 valid" "Not valid,Valid" hexmask.quad 0x30 0.--43. 1. " BASE2 ,Lock base 2" line.quad 0x38 "HNF_L3_LOCK_BASE3,HN-F L3 Lock Base 3 Register" bitfld.quad 0x38 63. " BASE3_VLD ,Lock base 3 valid" "Not valid,Valid" hexmask.quad 0x38 0.--43. 1. " BASE3 ,Lock base 3" newline width 26. group.quad 0x108++0x07 line.quad 0x00 "HN_CFG_RNI_VEC,HN Configuration RN-I Vector Register" bitfld.quad 0x00 63. " RNI_VEC[63] ,SrcID 63 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 62. " [62] ,SrcID 62 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 61. " [61] ,SrcID 61 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 60. " [60] ,SrcID 60 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 59. " [59],SrcID 59 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 58. " [58] ,SrcID 58 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 57. " [57] ,SrcID 57 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 56. " [56] ,SrcID 56 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 55. " [55] ,SrcID 55 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 54. " [54],SrcID 54 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 53. " [53] ,SrcID 53 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 52. " [52] ,SrcID 52 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 51. " [51] ,SrcID 51 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 50. " [50] ,SrcID 50 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 49. " [49],SrcID 49 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 48. " [48] ,SrcID 48 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 47. " [47] ,SrcID 47 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 46. " [46] ,SrcID 46 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 45. " [45] ,SrcID 45 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 44. " [44],SrcID 44 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 43. " [43] ,SrcID 43 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 42. " [42] ,SrcID 42 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 41. " [41] ,SrcID 41 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 40. " [40] ,SrcID 40 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 39. " [39],SrcID 39 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 38. " [38] ,SrcID 38 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 37. " [37] ,SrcID 37 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 36. " [36] ,SrcID 36 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 35. " [35] ,SrcID 35 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 34. " [34],SrcID 34 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 33. " [33] ,SrcID 33 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 32. " [32] ,SrcID 32 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 31. " [31] ,SrcID 31 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 30. " [30] ,SrcID 30 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 29. " [29],SrcID 29 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 28. " [28] ,SrcID 28 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 27. " [27] ,SrcID 27 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 26. " [26] ,SrcID 26 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 25. " [25] ,SrcID 25 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 24. " [24],SrcID 24 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 23. " [23] ,SrcID 23 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 22. " [22] ,SrcID 22 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 21. " [21] ,SrcID 21 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 20. " [20] ,SrcID 20 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 19. " [19],SrcID 19 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 18. " [18] ,SrcID 18 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 17. " [17] ,SrcID 17 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 16. " [16] ,SrcID 16 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 15. " [15] ,SrcID 15 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 14. " [14],SrcID 14 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 13. " [13] ,SrcID 13 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 12. " [12] ,SrcID 12 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 11. " [11] ,SrcID 11 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 10. " [10] ,SrcID 10 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 9. " [9],SrcID 9 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 8. " [8] ,SrcID 8 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 7. " [7] ,SrcID 7 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 6. " [6] ,SrcID 6 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 5. " [5] ,SrcID 5 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 4. " [4],SrcID 4 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 3. " [3] ,SrcID 3 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 2. " [2] ,SrcID 2 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 1. " [1] ,SrcID 1 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 0. " [0] ,SrcID 0 serves as a RN-I protocol node" "Not RN-I,RN-I" group.quad 0x200++0x07 line.quad 0x00 "SNOOP_DOMAIN_CTL_SET/CLR,Snoop Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " SNOOP_DOMAIN_CTL[63] ,RN-F node 63 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN-F node 62 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN-F node 61 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN-F node 60 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59],RN-F node 59 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN-F node 58 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN-F node 57 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN-F node 56 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN-F node 55 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54],RN-F node 54 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN-F node 53 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN-F node 52 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN-F node 51 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN-F node 50 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49],RN-F node 49 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN-F node 48 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN-F node 47 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN-F node 46 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN-F node 45 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44],RN-F node 44 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN-F node 43 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN-F node 42 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN-F node 41 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN-F node 40 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39],RN-F node 39 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN-F node 38 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN-F node 37 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN-F node 36 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN-F node 35 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34],RN-F node 34 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN-F node 33 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN-F node 32 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN-F node 31 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN-F node 30 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29],RN-F node 29 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN-F node 28 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN-F node 27 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN-F node 26 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN-F node 25 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24],RN-F node 24 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN-F node 23 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN-F node 22 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN-F node 21 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN-F node 20 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19],RN-F node 19 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN-F node 18 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN-F node 17 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN-F node 16 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN-F node 15 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14],RN-F node 14 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN-F node 13 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN-F node 12 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN-F node 11 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN-F node 10 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9],RN-F node 9 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN-F node 8 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN-F node 7 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN-F node 6 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN-F node 5 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4],RN-F node 4 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN-F node 3 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN-F node 2 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN-F node 1 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN-F node 0 snoop mode" "Not snooped,Snooped" newline width 19. wgroup.quad 0x300++0x07 line.quad 0x00 "HN_DR_CFG,HN Debug Read Configuration Register" bitfld.quad 0x00 24.--25. " L3_ACCESS_COMPONENT ,L3/SF debug read array specifier" ",L3 data read,L3 tag read,SF tag read" bitfld.quad 0x00 20.--22. " L3_ACCESS_OW ,64-bit chunk address for L3 data debug read access" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 16.--19. " L3_ACCESS_WAY ,Way address for L3/SF debug read access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 0.--11. 0x01 " L3_ACCESS_SET ,Set address for L3/SF debug read access" rgroup.quad 0x308++0x17 line.quad 0x00 "L3_CACC_TAG,L3 Cache Access Tag Register" hexmask.quad 0x00 0.--43. 1. " L3_CACHE_ACCESS_L3_TAG ,L3 tag debug read data register" line.quad 0x08 "L3_CACC_DATA,L3 Cache Access Data Register" line.quad 0x10 "L3_CACC_SF_TAG,L3 Cache Access SF Tag Register" hexmask.quad 0x10 0.--43. 1. " L3_CACHE_ACCESS_SF_TAG ,SF tag debug read data register" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYN_REG0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" newline hexmask.quad.tbyte 0x00 43.--58. 1. " ERR_COUNT ,Corrected error count" hexmask.quad.word 0x00 8.--19. 0x01 " ERR_COUNT_SET ,HN-F single-bit ECC error count set address" newline bitfld.quad 0x00 7. " ERR_COUNT_OVRFLW ,HN-F single-bit error counter overflow" "No overflow,Overflow" bitfld.quad 0x00 6. " ERR_COUNT_MATCH ,HN-F ECC error count applies to same type and set" "Not matched,Matched" newline bitfld.quad 0x00 4.--5. " ERR_COUNT_TYPE ,HN-F single-bit ECC counter type" "L3 data,L3 tag,SF tag,?..." bitfld.quad 0x00 0.--2. " ERR_ID ,HN-F error syndrome register error type" ",,,,L3 data double-bit ECC,L3 tag double-bit ECC,SF tag double-bit ECC,CHI bus slave" line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" hexmask.quad 0x08 0.--43. 0x01 " ERR_ADDR ,HN-F error syndrome address" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,L3 Cache Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "HNF_AUX_CTL,HN-F Auxiliary Control Register" bitfld.quad 0x00 13. " HNF_OCM_ALLWAYES_EN ,All L3 way OCM support enable" "Disabled,Enabled" bitfld.quad 0x00 12. " HNF_OCM_EN ,Region lock with OCM enable" "Disabled,Enabled" newline bitfld.quad 0x00 11. " HNF_HONOR_EWA ,HN-F honors the state of the early write acknowledge" "Ignored,Not ignored" bitfld.quad 0x00 7. " DIS_QOS_PCRDTYPE ,Disable qos based pcrdtype assignment" "No,Yes" newline bitfld.quad 0x00 6. " DIS_SNP_ONCE ,Disable snponce" "No,Yes" bitfld.quad 0x00 5. " L3_NO_ALLOC ,Disable L3 allocation for non-shareable cacheable transactions" "No,Yes" newline bitfld.quad 0x00 4. " RD_ONCE_NO_ALLOC ,Disable readonce allocation in the L3 from RN-Is" "No,Yes" bitfld.quad 0x00 3. " REV_QOS_POOL_ALLOC ,Reverse qos pool allocation algorithm" "Not reversed,Reversed" newline bitfld.quad 0x00 2. " NO_WU_ALLOC ,Disable writeunique and writelineunique allocations in L3" "No,Yes" bitfld.quad 0x00 0. " HNF_ONLY_MODE ,HN-F-only mode with no L3 and snoop filter" "0,1" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "HNF_ID,HN-F Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-F" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-F" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "HN-F ID 22" base ad:0x4260000 width 19. group.quad 0x00++0x07 line.quad 0x00 "HNF_CFG_CTRL,HN-F Configuration Control Register" bitfld.quad 0x00 20. " NCDEVCMO_MC_COMP ,Disable HN-F completion" "No,Yes" bitfld.quad 0x00 18. " SF_ECC_SCRUB_DISABLE ,Disable SF tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 17. " L3_DAT_ECC_SCRUB_DISABLE ,Disable L3 data single-bit ECC error scrubbing" "No,Yes" newline bitfld.quad 0x00 16. " L3_TAG_ECC_SCRUB_DISABLE ,Disable L3 tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 8. " CG_DISABLE ,Disable HN-F clock gates" "No,Yes" bitfld.quad 0x00 4. " ECC_DISABLE ,Disable L3 and SF ECC generation and detection" "No,Yes" if (((per.q((ad:0x4260000+0x08)))&0x100000000)==0x100000000) group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 16.--22. 1. " HN_CFG_SN2_NODEID ,Node ID for slave node 2" hexmask.quad.byte 0x00 8.--14. 1. " HN_CFG_SN1_NODEID ,Node ID for slave node 1" hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" else group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" endif rgroup.quad 0x20++0x07 line.quad 0x00 "QOS_BAND,QoS Band Register" bitfld.quad 0x00 28.--31. " HIGHHIGH_MAX_QOS_VAL ,Maximum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 24.--27. " HIGHHIGH_MIN_QOS_VAL ,Minimum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 20.--23. " HIGH_MAX_QOS_VAL ,Maximum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 16.--19. " HIGH_MIN_QOS_VAL ,Minimum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 12.--15. " MED_MAX_QOS_VAL ,Maximum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--11. " MED_MIN_QOS_VAL ,Minimum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. " LOW_MAX_QOS_VAL ,Maximum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " LOW_MIN_QOS_VAL ,Minimum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x28++0x3F line.quad 0x00 "QOS_RESERVATION,QoS Reservation Register" bitfld.quad 0x00 32.--36. " SEQ_QOS_MAX_CNT ,Number of entries that are reserved for snoop filter evictions in POCQ" ",1,?..." bitfld.quad 0x00 24.--28. " HIGHHIGH_QOS_MAX_CNT ,Maximum number of highest qos class occupancy" ",,,,,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--20. " HIGH_QOS_MAX_CNT ,Maximum number of high qos class occupancy" ",,,,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." newline bitfld.quad 0x00 8.--12. " MED_QOS_MAX_CNT ,Maximum number of medium qos class occupancy" ",,,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,?..." bitfld.quad 0x00 0.--4. " LOW_QOS_MAX_CNT ,Maximum number of low qos class occupancy" ",,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,?..." line.quad 0x08 "RN_STARVATION,RN Starvation Register" bitfld.quad 0x08 40.--44. " RN_HIGH_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x08 32.--37. " RN_MED_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 24.--28. " RN_MED_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.byte 0x08 16.--22. 1. " RN_LOW_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over low qos class" bitfld.quad 0x08 8.--13. " RN_LOW_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 0.--4. " RN_LOW_OVER_MED_MAX_CNT ,Maximum number of consecutive times medium qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.quad 0x10 "HNF_ERR_INJ,HN-F Error Injection Enable And Setup Register" hexmask.quad.byte 0x10 16.--22. 1. " HNF_ERR_INJ_SRCID ,SrcID read access that results in an L3 miss" bitfld.quad 0x10 4.--6. " HNF_ERR_INJ_LPID ,LPID to match for HN-F error injection" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 0. " HNF_ERR_INJ_EN ,HN-F error injection and report enable" "Disabled,Enabled" line.quad 0x18 "HNF_L3_LOCK_WAYS,HN-F L3 Lock Ways Register" bitfld.quad 0x18 0.--3. " WAYS ,Number of ways locked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "HNF_L3_LOCK_BASE0,HN-F L3 Lock Base 0 Register" bitfld.quad 0x20 63. " BASE0_VLD ,Lock base 0 valid" "Not valid,Valid" hexmask.quad 0x20 0.--43. 1. " BASE0 ,Lock base 0" line.quad 0x28 "HNF_L3_LOCK_BASE1,HN-F L3 Lock Base 1 Register" bitfld.quad 0x28 63. " BASE1_VLD ,Lock base 1 valid" "Not valid,Valid" hexmask.quad 0x28 0.--43. 1. " BASE1 ,Lock base 1" line.quad 0x30 "HNF_L3_LOCK_BASE2,HN-F L3 Lock Base 2 Register" bitfld.quad 0x30 63. " BASE2_VLD ,Lock base 2 valid" "Not valid,Valid" hexmask.quad 0x30 0.--43. 1. " BASE2 ,Lock base 2" line.quad 0x38 "HNF_L3_LOCK_BASE3,HN-F L3 Lock Base 3 Register" bitfld.quad 0x38 63. " BASE3_VLD ,Lock base 3 valid" "Not valid,Valid" hexmask.quad 0x38 0.--43. 1. " BASE3 ,Lock base 3" newline width 26. group.quad 0x108++0x07 line.quad 0x00 "HN_CFG_RNI_VEC,HN Configuration RN-I Vector Register" bitfld.quad 0x00 63. " RNI_VEC[63] ,SrcID 63 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 62. " [62] ,SrcID 62 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 61. " [61] ,SrcID 61 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 60. " [60] ,SrcID 60 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 59. " [59],SrcID 59 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 58. " [58] ,SrcID 58 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 57. " [57] ,SrcID 57 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 56. " [56] ,SrcID 56 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 55. " [55] ,SrcID 55 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 54. " [54],SrcID 54 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 53. " [53] ,SrcID 53 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 52. " [52] ,SrcID 52 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 51. " [51] ,SrcID 51 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 50. " [50] ,SrcID 50 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 49. " [49],SrcID 49 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 48. " [48] ,SrcID 48 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 47. " [47] ,SrcID 47 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 46. " [46] ,SrcID 46 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 45. " [45] ,SrcID 45 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 44. " [44],SrcID 44 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 43. " [43] ,SrcID 43 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 42. " [42] ,SrcID 42 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 41. " [41] ,SrcID 41 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 40. " [40] ,SrcID 40 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 39. " [39],SrcID 39 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 38. " [38] ,SrcID 38 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 37. " [37] ,SrcID 37 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 36. " [36] ,SrcID 36 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 35. " [35] ,SrcID 35 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 34. " [34],SrcID 34 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 33. " [33] ,SrcID 33 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 32. " [32] ,SrcID 32 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 31. " [31] ,SrcID 31 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 30. " [30] ,SrcID 30 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 29. " [29],SrcID 29 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 28. " [28] ,SrcID 28 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 27. " [27] ,SrcID 27 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 26. " [26] ,SrcID 26 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 25. " [25] ,SrcID 25 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 24. " [24],SrcID 24 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 23. " [23] ,SrcID 23 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 22. " [22] ,SrcID 22 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 21. " [21] ,SrcID 21 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 20. " [20] ,SrcID 20 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 19. " [19],SrcID 19 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 18. " [18] ,SrcID 18 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 17. " [17] ,SrcID 17 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 16. " [16] ,SrcID 16 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 15. " [15] ,SrcID 15 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 14. " [14],SrcID 14 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 13. " [13] ,SrcID 13 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 12. " [12] ,SrcID 12 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 11. " [11] ,SrcID 11 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 10. " [10] ,SrcID 10 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 9. " [9],SrcID 9 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 8. " [8] ,SrcID 8 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 7. " [7] ,SrcID 7 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 6. " [6] ,SrcID 6 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 5. " [5] ,SrcID 5 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 4. " [4],SrcID 4 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 3. " [3] ,SrcID 3 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 2. " [2] ,SrcID 2 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 1. " [1] ,SrcID 1 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 0. " [0] ,SrcID 0 serves as a RN-I protocol node" "Not RN-I,RN-I" group.quad 0x200++0x07 line.quad 0x00 "SNOOP_DOMAIN_CTL_SET/CLR,Snoop Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " SNOOP_DOMAIN_CTL[63] ,RN-F node 63 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN-F node 62 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN-F node 61 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN-F node 60 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59],RN-F node 59 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN-F node 58 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN-F node 57 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN-F node 56 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN-F node 55 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54],RN-F node 54 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN-F node 53 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN-F node 52 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN-F node 51 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN-F node 50 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49],RN-F node 49 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN-F node 48 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN-F node 47 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN-F node 46 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN-F node 45 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44],RN-F node 44 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN-F node 43 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN-F node 42 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN-F node 41 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN-F node 40 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39],RN-F node 39 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN-F node 38 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN-F node 37 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN-F node 36 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN-F node 35 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34],RN-F node 34 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN-F node 33 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN-F node 32 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN-F node 31 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN-F node 30 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29],RN-F node 29 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN-F node 28 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN-F node 27 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN-F node 26 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN-F node 25 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24],RN-F node 24 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN-F node 23 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN-F node 22 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN-F node 21 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN-F node 20 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19],RN-F node 19 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN-F node 18 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN-F node 17 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN-F node 16 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN-F node 15 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14],RN-F node 14 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN-F node 13 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN-F node 12 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN-F node 11 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN-F node 10 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9],RN-F node 9 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN-F node 8 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN-F node 7 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN-F node 6 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN-F node 5 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4],RN-F node 4 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN-F node 3 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN-F node 2 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN-F node 1 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN-F node 0 snoop mode" "Not snooped,Snooped" newline width 19. wgroup.quad 0x300++0x07 line.quad 0x00 "HN_DR_CFG,HN Debug Read Configuration Register" bitfld.quad 0x00 24.--25. " L3_ACCESS_COMPONENT ,L3/SF debug read array specifier" ",L3 data read,L3 tag read,SF tag read" bitfld.quad 0x00 20.--22. " L3_ACCESS_OW ,64-bit chunk address for L3 data debug read access" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 16.--19. " L3_ACCESS_WAY ,Way address for L3/SF debug read access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 0.--11. 0x01 " L3_ACCESS_SET ,Set address for L3/SF debug read access" rgroup.quad 0x308++0x17 line.quad 0x00 "L3_CACC_TAG,L3 Cache Access Tag Register" hexmask.quad 0x00 0.--43. 1. " L3_CACHE_ACCESS_L3_TAG ,L3 tag debug read data register" line.quad 0x08 "L3_CACC_DATA,L3 Cache Access Data Register" line.quad 0x10 "L3_CACC_SF_TAG,L3 Cache Access SF Tag Register" hexmask.quad 0x10 0.--43. 1. " L3_CACHE_ACCESS_SF_TAG ,SF tag debug read data register" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYN_REG0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" newline hexmask.quad.tbyte 0x00 43.--58. 1. " ERR_COUNT ,Corrected error count" hexmask.quad.word 0x00 8.--19. 0x01 " ERR_COUNT_SET ,HN-F single-bit ECC error count set address" newline bitfld.quad 0x00 7. " ERR_COUNT_OVRFLW ,HN-F single-bit error counter overflow" "No overflow,Overflow" bitfld.quad 0x00 6. " ERR_COUNT_MATCH ,HN-F ECC error count applies to same type and set" "Not matched,Matched" newline bitfld.quad 0x00 4.--5. " ERR_COUNT_TYPE ,HN-F single-bit ECC counter type" "L3 data,L3 tag,SF tag,?..." bitfld.quad 0x00 0.--2. " ERR_ID ,HN-F error syndrome register error type" ",,,,L3 data double-bit ECC,L3 tag double-bit ECC,SF tag double-bit ECC,CHI bus slave" line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" hexmask.quad 0x08 0.--43. 0x01 " ERR_ADDR ,HN-F error syndrome address" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,L3 Cache Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "HNF_AUX_CTL,HN-F Auxiliary Control Register" bitfld.quad 0x00 13. " HNF_OCM_ALLWAYES_EN ,All L3 way OCM support enable" "Disabled,Enabled" bitfld.quad 0x00 12. " HNF_OCM_EN ,Region lock with OCM enable" "Disabled,Enabled" newline bitfld.quad 0x00 11. " HNF_HONOR_EWA ,HN-F honors the state of the early write acknowledge" "Ignored,Not ignored" bitfld.quad 0x00 7. " DIS_QOS_PCRDTYPE ,Disable qos based pcrdtype assignment" "No,Yes" newline bitfld.quad 0x00 6. " DIS_SNP_ONCE ,Disable snponce" "No,Yes" bitfld.quad 0x00 5. " L3_NO_ALLOC ,Disable L3 allocation for non-shareable cacheable transactions" "No,Yes" newline bitfld.quad 0x00 4. " RD_ONCE_NO_ALLOC ,Disable readonce allocation in the L3 from RN-Is" "No,Yes" bitfld.quad 0x00 3. " REV_QOS_POOL_ALLOC ,Reverse qos pool allocation algorithm" "Not reversed,Reversed" newline bitfld.quad 0x00 2. " NO_WU_ALLOC ,Disable writeunique and writelineunique allocations in L3" "No,Yes" bitfld.quad 0x00 0. " HNF_ONLY_MODE ,HN-F-only mode with no L3 and snoop filter" "0,1" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "HNF_ID,HN-F Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-F" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-F" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "HN-F ID 25" base ad:0x4270000 width 19. group.quad 0x00++0x07 line.quad 0x00 "HNF_CFG_CTRL,HN-F Configuration Control Register" bitfld.quad 0x00 20. " NCDEVCMO_MC_COMP ,Disable HN-F completion" "No,Yes" bitfld.quad 0x00 18. " SF_ECC_SCRUB_DISABLE ,Disable SF tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 17. " L3_DAT_ECC_SCRUB_DISABLE ,Disable L3 data single-bit ECC error scrubbing" "No,Yes" newline bitfld.quad 0x00 16. " L3_TAG_ECC_SCRUB_DISABLE ,Disable L3 tag single-bit ECC error scrubbing" "No,Yes" bitfld.quad 0x00 8. " CG_DISABLE ,Disable HN-F clock gates" "No,Yes" bitfld.quad 0x00 4. " ECC_DISABLE ,Disable L3 and SF ECC generation and detection" "No,Yes" if (((per.q((ad:0x4270000+0x08)))&0x100000000)==0x100000000) group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 16.--22. 1. " HN_CFG_SN2_NODEID ,Node ID for slave node 2" hexmask.quad.byte 0x00 8.--14. 1. " HN_CFG_SN1_NODEID ,Node ID for slave node 1" hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" else group.quad 0x08++0x07 line.quad 0x00 "HNF_SAM_CONTROL,HN-F SAM Control Register" bitfld.quad 0x00 56.--61. " HN_CFG_SAM_TOP_ADDRESS_BIT1 ,Number for the bit position of the top[1] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 48.--53. " HN_CFG_SAM_TOP_ADDRESS_BIT0 ,Number for the bit position of the top[0] physical address bit of DRAM" ",,,,,,,,,,,,,,,,,,,,,,,,,,,,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,?..." bitfld.quad 0x00 32. " HN_CFG_THREE_SN_EN ,Routing to 3 SNs enable" "Disabled,Enabled" newline hexmask.quad.byte 0x00 0.--6. 1. " HN_CFG_SN0_NODEID ,Node ID for slave node 0" endif rgroup.quad 0x20++0x07 line.quad 0x00 "QOS_BAND,QoS Band Register" bitfld.quad 0x00 28.--31. " HIGHHIGH_MAX_QOS_VAL ,Maximum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 24.--27. " HIGHHIGH_MIN_QOS_VAL ,Minimum value for highest qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 20.--23. " HIGH_MAX_QOS_VAL ,Maximum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 16.--19. " HIGH_MIN_QOS_VAL ,Minimum value for high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 12.--15. " MED_MAX_QOS_VAL ,Maximum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 8.--11. " MED_MIN_QOS_VAL ,Minimum value for medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 4.--7. " LOW_MAX_QOS_VAL ,Maximum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x00 0.--3. " LOW_MIN_QOS_VAL ,Minimum value for low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x28++0x3F line.quad 0x00 "QOS_RESERVATION,QoS Reservation Register" bitfld.quad 0x00 32.--36. " SEQ_QOS_MAX_CNT ,Number of entries that are reserved for snoop filter evictions in POCQ" ",1,?..." bitfld.quad 0x00 24.--28. " HIGHHIGH_QOS_MAX_CNT ,Maximum number of highest qos class occupancy" ",,,,,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x00 16.--20. " HIGH_QOS_MAX_CNT ,Maximum number of high qos class occupancy" ",,,,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,?..." newline bitfld.quad 0x00 8.--12. " MED_QOS_MAX_CNT ,Maximum number of medium qos class occupancy" ",,,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,?..." bitfld.quad 0x00 0.--4. " LOW_QOS_MAX_CNT ,Maximum number of low qos class occupancy" ",,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,?..." line.quad 0x08 "RN_STARVATION,RN Starvation Register" bitfld.quad 0x08 40.--44. " RN_HIGH_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over high qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.quad 0x08 32.--37. " RN_MED_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 24.--28. " RN_MED_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over medium qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.quad.byte 0x08 16.--22. 1. " RN_LOW_OVER_HIGHHIGH_MAX_CNT ,Maximum number of consecutive times highest qos class win over low qos class" bitfld.quad 0x08 8.--13. " RN_LOW_OVER_HIGH_MAX_CNT ,Maximum number of consecutive times high qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.quad 0x08 0.--4. " RN_LOW_OVER_MED_MAX_CNT ,Maximum number of consecutive times medium qos class win over low qos class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.quad 0x10 "HNF_ERR_INJ,HN-F Error Injection Enable And Setup Register" hexmask.quad.byte 0x10 16.--22. 1. " HNF_ERR_INJ_SRCID ,SrcID read access that results in an L3 miss" bitfld.quad 0x10 4.--6. " HNF_ERR_INJ_LPID ,LPID to match for HN-F error injection" "0,1,2,3,4,5,6,7" bitfld.quad 0x10 0. " HNF_ERR_INJ_EN ,HN-F error injection and report enable" "Disabled,Enabled" line.quad 0x18 "HNF_L3_LOCK_WAYS,HN-F L3 Lock Ways Register" bitfld.quad 0x18 0.--3. " WAYS ,Number of ways locked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.quad 0x20 "HNF_L3_LOCK_BASE0,HN-F L3 Lock Base 0 Register" bitfld.quad 0x20 63. " BASE0_VLD ,Lock base 0 valid" "Not valid,Valid" hexmask.quad 0x20 0.--43. 1. " BASE0 ,Lock base 0" line.quad 0x28 "HNF_L3_LOCK_BASE1,HN-F L3 Lock Base 1 Register" bitfld.quad 0x28 63. " BASE1_VLD ,Lock base 1 valid" "Not valid,Valid" hexmask.quad 0x28 0.--43. 1. " BASE1 ,Lock base 1" line.quad 0x30 "HNF_L3_LOCK_BASE2,HN-F L3 Lock Base 2 Register" bitfld.quad 0x30 63. " BASE2_VLD ,Lock base 2 valid" "Not valid,Valid" hexmask.quad 0x30 0.--43. 1. " BASE2 ,Lock base 2" line.quad 0x38 "HNF_L3_LOCK_BASE3,HN-F L3 Lock Base 3 Register" bitfld.quad 0x38 63. " BASE3_VLD ,Lock base 3 valid" "Not valid,Valid" hexmask.quad 0x38 0.--43. 1. " BASE3 ,Lock base 3" newline width 26. group.quad 0x108++0x07 line.quad 0x00 "HN_CFG_RNI_VEC,HN Configuration RN-I Vector Register" bitfld.quad 0x00 63. " RNI_VEC[63] ,SrcID 63 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 62. " [62] ,SrcID 62 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 61. " [61] ,SrcID 61 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 60. " [60] ,SrcID 60 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 59. " [59],SrcID 59 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 58. " [58] ,SrcID 58 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 57. " [57] ,SrcID 57 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 56. " [56] ,SrcID 56 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 55. " [55] ,SrcID 55 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 54. " [54],SrcID 54 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 53. " [53] ,SrcID 53 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 52. " [52] ,SrcID 52 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 51. " [51] ,SrcID 51 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 50. " [50] ,SrcID 50 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 49. " [49],SrcID 49 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 48. " [48] ,SrcID 48 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 47. " [47] ,SrcID 47 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 46. " [46] ,SrcID 46 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 45. " [45] ,SrcID 45 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 44. " [44],SrcID 44 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 43. " [43] ,SrcID 43 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 42. " [42] ,SrcID 42 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 41. " [41] ,SrcID 41 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 40. " [40] ,SrcID 40 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 39. " [39],SrcID 39 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 38. " [38] ,SrcID 38 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 37. " [37] ,SrcID 37 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 36. " [36] ,SrcID 36 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 35. " [35] ,SrcID 35 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 34. " [34],SrcID 34 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 33. " [33] ,SrcID 33 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 32. " [32] ,SrcID 32 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 31. " [31] ,SrcID 31 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 30. " [30] ,SrcID 30 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 29. " [29],SrcID 29 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 28. " [28] ,SrcID 28 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 27. " [27] ,SrcID 27 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 26. " [26] ,SrcID 26 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 25. " [25] ,SrcID 25 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 24. " [24],SrcID 24 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 23. " [23] ,SrcID 23 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 22. " [22] ,SrcID 22 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 21. " [21] ,SrcID 21 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 20. " [20] ,SrcID 20 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 19. " [19],SrcID 19 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 18. " [18] ,SrcID 18 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 17. " [17] ,SrcID 17 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 16. " [16] ,SrcID 16 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 15. " [15] ,SrcID 15 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 14. " [14],SrcID 14 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 13. " [13] ,SrcID 13 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 12. " [12] ,SrcID 12 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 11. " [11] ,SrcID 11 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 10. " [10] ,SrcID 10 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 9. " [9],SrcID 9 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 8. " [8] ,SrcID 8 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 7. " [7] ,SrcID 7 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 6. " [6] ,SrcID 6 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 5. " [5] ,SrcID 5 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 4. " [4],SrcID 4 serves as a RN-I protocol node" "Not RN-I,RN-I" newline bitfld.quad 0x00 3. " [3] ,SrcID 3 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 2. " [2] ,SrcID 2 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 1. " [1] ,SrcID 1 serves as a RN-I protocol node" "Not RN-I,RN-I" bitfld.quad 0x00 0. " [0] ,SrcID 0 serves as a RN-I protocol node" "Not RN-I,RN-I" group.quad 0x200++0x07 line.quad 0x00 "SNOOP_DOMAIN_CTL_SET/CLR,Snoop Domain Control Register" setclrfld.quad 0x00 63. 0x10 63. 0x20 63. " SNOOP_DOMAIN_CTL[63] ,RN-F node 63 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 62. 0x10 62. 0x20 62. " [62] ,RN-F node 62 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 61. 0x10 61. 0x20 61. " [61] ,RN-F node 61 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 60. 0x10 60. 0x20 60. " [60] ,RN-F node 60 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 59. 0x10 59. 0x20 59. " [59],RN-F node 59 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 58. 0x10 58. 0x20 58. " [58] ,RN-F node 58 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 57. 0x10 57. 0x20 57. " [57] ,RN-F node 57 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 56. 0x10 56. 0x20 56. " [56] ,RN-F node 56 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 55. 0x10 55. 0x20 55. " [55] ,RN-F node 55 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 54. 0x10 54. 0x20 54. " [54],RN-F node 54 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 53. 0x10 53. 0x20 53. " [53] ,RN-F node 53 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 52. 0x10 52. 0x20 52. " [52] ,RN-F node 52 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 51. 0x10 51. 0x20 51. " [51] ,RN-F node 51 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 50. 0x10 50. 0x20 50. " [50] ,RN-F node 50 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 49. 0x10 49. 0x20 49. " [49],RN-F node 49 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 48. 0x10 48. 0x20 48. " [48] ,RN-F node 48 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 47. 0x10 47. 0x20 47. " [47] ,RN-F node 47 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 46. 0x10 46. 0x20 46. " [46] ,RN-F node 46 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 45. 0x10 45. 0x20 45. " [45] ,RN-F node 45 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 44. 0x10 44. 0x20 44. " [44],RN-F node 44 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 43. 0x10 43. 0x20 43. " [43] ,RN-F node 43 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 42. 0x10 42. 0x20 42. " [42] ,RN-F node 42 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 41. 0x10 41. 0x20 41. " [41] ,RN-F node 41 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 40. 0x10 40. 0x20 40. " [40] ,RN-F node 40 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 39. 0x10 39. 0x20 39. " [39],RN-F node 39 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 38. 0x10 38. 0x20 38. " [38] ,RN-F node 38 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 37. 0x10 37. 0x20 37. " [37] ,RN-F node 37 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 36. 0x10 36. 0x20 36. " [36] ,RN-F node 36 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 35. 0x10 35. 0x20 35. " [35] ,RN-F node 35 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 34. 0x10 34. 0x20 34. " [34],RN-F node 34 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 33. 0x10 33. 0x20 33. " [33] ,RN-F node 33 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 32. 0x10 32. 0x20 32. " [32] ,RN-F node 32 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 31. 0x10 31. 0x20 31. " [31] ,RN-F node 31 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 30. 0x10 30. 0x20 30. " [30] ,RN-F node 30 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 29. 0x10 29. 0x20 29. " [29],RN-F node 29 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 28. 0x10 28. 0x20 28. " [28] ,RN-F node 28 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 27. 0x10 27. 0x20 27. " [27] ,RN-F node 27 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 26. 0x10 26. 0x20 26. " [26] ,RN-F node 26 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 25. 0x10 25. 0x20 25. " [25] ,RN-F node 25 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 24. 0x10 24. 0x20 24. " [24],RN-F node 24 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 23. 0x10 23. 0x20 23. " [23] ,RN-F node 23 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 22. 0x10 22. 0x20 22. " [22] ,RN-F node 22 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 21. 0x10 21. 0x20 21. " [21] ,RN-F node 21 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 20. 0x10 20. 0x20 20. " [20] ,RN-F node 20 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 19. 0x10 19. 0x20 19. " [19],RN-F node 19 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 18. 0x10 18. 0x20 18. " [18] ,RN-F node 18 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 17. 0x10 17. 0x20 17. " [17] ,RN-F node 17 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 16. 0x10 16. 0x20 16. " [16] ,RN-F node 16 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 15. 0x10 15. 0x20 15. " [15] ,RN-F node 15 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 14. 0x10 14. 0x20 14. " [14],RN-F node 14 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 13. 0x10 13. 0x20 13. " [13] ,RN-F node 13 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 12. 0x10 12. 0x20 12. " [12] ,RN-F node 12 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 11. 0x10 11. 0x20 11. " [11] ,RN-F node 11 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 10. 0x10 10. 0x20 10. " [10] ,RN-F node 10 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 9. 0x10 9. 0x20 9. " [9],RN-F node 9 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 8. 0x10 8. 0x20 8. " [8] ,RN-F node 8 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 7. 0x10 7. 0x20 7. " [7] ,RN-F node 7 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 6. 0x10 6. 0x20 6. " [6] ,RN-F node 6 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 5. 0x10 5. 0x20 5. " [5] ,RN-F node 5 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 4. 0x10 4. 0x20 4. " [4],RN-F node 4 snoop mode" "Not snooped,Snooped" newline setclrfld.quad 0x00 3. 0x10 3. 0x20 3. " [3] ,RN-F node 3 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 2. 0x10 2. 0x20 2. " [2] ,RN-F node 2 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 1. 0x10 1. 0x20 1. " [1] ,RN-F node 1 snoop mode" "Not snooped,Snooped" setclrfld.quad 0x00 0. 0x10 0. 0x20 0. " [0] ,RN-F node 0 snoop mode" "Not snooped,Snooped" newline width 19. wgroup.quad 0x300++0x07 line.quad 0x00 "HN_DR_CFG,HN Debug Read Configuration Register" bitfld.quad 0x00 24.--25. " L3_ACCESS_COMPONENT ,L3/SF debug read array specifier" ",L3 data read,L3 tag read,SF tag read" bitfld.quad 0x00 20.--22. " L3_ACCESS_OW ,64-bit chunk address for L3 data debug read access" "0,1,2,3,4,5,6,7" newline bitfld.quad 0x00 16.--19. " L3_ACCESS_WAY ,Way address for L3/SF debug read access" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.quad.word 0x00 0.--11. 0x01 " L3_ACCESS_SET ,Set address for L3/SF debug read access" rgroup.quad 0x308++0x17 line.quad 0x00 "L3_CACC_TAG,L3 Cache Access Tag Register" hexmask.quad 0x00 0.--43. 1. " L3_CACHE_ACCESS_L3_TAG ,L3 tag debug read data register" line.quad 0x08 "L3_CACC_DATA,L3 Cache Access Data Register" line.quad 0x10 "L3_CACC_SF_TAG,L3 Cache Access SF Tag Register" hexmask.quad 0x10 0.--43. 1. " L3_CACHE_ACCESS_SF_TAG ,SF tag debug read data register" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYN_REG0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" newline bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" newline hexmask.quad.tbyte 0x00 43.--58. 1. " ERR_COUNT ,Corrected error count" hexmask.quad.word 0x00 8.--19. 0x01 " ERR_COUNT_SET ,HN-F single-bit ECC error count set address" newline bitfld.quad 0x00 7. " ERR_COUNT_OVRFLW ,HN-F single-bit error counter overflow" "No overflow,Overflow" bitfld.quad 0x00 6. " ERR_COUNT_MATCH ,HN-F ECC error count applies to same type and set" "Not matched,Matched" newline bitfld.quad 0x00 4.--5. " ERR_COUNT_TYPE ,HN-F single-bit ECC counter type" "L3 data,L3 tag,SF tag,?..." bitfld.quad 0x00 0.--2. " ERR_ID ,HN-F error syndrome register error type" ",,,,L3 data double-bit ECC,L3 tag double-bit ECC,SF tag double-bit ECC,CHI bus slave" line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" hexmask.quad 0x08 0.--43. 0x01 " ERR_ADDR ,HN-F error syndrome address" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,L3 Cache Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "HNF_AUX_CTL,HN-F Auxiliary Control Register" bitfld.quad 0x00 13. " HNF_OCM_ALLWAYES_EN ,All L3 way OCM support enable" "Disabled,Enabled" bitfld.quad 0x00 12. " HNF_OCM_EN ,Region lock with OCM enable" "Disabled,Enabled" newline bitfld.quad 0x00 11. " HNF_HONOR_EWA ,HN-F honors the state of the early write acknowledge" "Ignored,Not ignored" bitfld.quad 0x00 7. " DIS_QOS_PCRDTYPE ,Disable qos based pcrdtype assignment" "No,Yes" newline bitfld.quad 0x00 6. " DIS_SNP_ONCE ,Disable snponce" "No,Yes" bitfld.quad 0x00 5. " L3_NO_ALLOC ,Disable L3 allocation for non-shareable cacheable transactions" "No,Yes" newline bitfld.quad 0x00 4. " RD_ONCE_NO_ALLOC ,Disable readonce allocation in the L3 from RN-Is" "No,Yes" bitfld.quad 0x00 3. " REV_QOS_POOL_ALLOC ,Reverse qos pool allocation algorithm" "Not reversed,Reversed" newline bitfld.quad 0x00 2. " NO_WU_ALLOC ,Disable writeunique and writelineunique allocations in L3" "No,Yes" bitfld.quad 0x00 0. " HNF_ONLY_MODE ,HN-F-only mode with no L3 and snoop filter" "0,1" group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 3 ID" "No event,PMU_HN_CACHE_MISS_EVENT,,PMU_HN_CACHE_FILL_EVENT,PMU_HN_POCQ_RETRY_EVENT,PMU_HN_POCQ_REQS_RECVD_EVENT,PMU_HN_SF_HIT_EVENT,PMU_HN_SF_EVICTIONS_EVENT,PMU_HN_SNOOPS_SENT_EVENT,PMU_HN_SNOOPS_BROADCAST_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_L3_EVICTION_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_MC_RETRIES_EVENT,PMU_HN_QOS_HH_RETRY_EVENT,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "HNF_ID,HN-F Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-F" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-F" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree.end tree "HN-I Subregions" tree "HN-I ID 13" base ad:0x04080000 width 21. group.quad 0x00++0x0F line.quad 0x00 "POS_CONTROL,PoS Control Register" bitfld.quad 0x00 3. " AWCACHE0_OVRD_VAL ,AWCACHE[0] override value" "Not overridden,Overridden" bitfld.quad 0x00 2. " ARCACHE0_OVRD_VAL ,ARCACHE[0] override value" "Not overridden,Overridden" bitfld.quad 0x00 1. " AXCACHE_OVERRIDE ,AWCACHE[0] and ARCACHE[0] override on the AMBA interface" "Not overridden,Overridden" newline bitfld.quad 0x00 0. " HNI_POS_EN ,Indicates status of HN-I pos" "Not final,Final" line.quad 0x08 "PCIERC_RNI_NID_LIST,PCIeRC RN-I Node ID List Register" bitfld.quad 0x08 63. " PCIERC_RNI_NODEID_LIST[63] ,RN-I 63 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 62. " [62] ,RN-I 62 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 61. " [61] ,RN-I 61 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 60. " [60] ,RN-I 60 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 59. " [59] ,RN-I 59 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 58. " [58] ,RN-I 58 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 57. " [57] ,RN-I 57 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 56. " [56] ,RN-I 56 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 55. " [55] ,RN-I 55 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 54. " [54] ,RN-I 54 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 53. " [53] ,RN-I 53 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 52. " [52] ,RN-I 52 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 51. " [51] ,RN-I 51 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 50. " [50] ,RN-I 50 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 49. " [49] ,RN-I 49 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 48. " [48] ,RN-I 48 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 47. " [47] ,RN-I 47 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 46. " [46] ,RN-I 46 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 45. " [45] ,RN-I 45 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 44. " [44] ,RN-I 44 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 43. " [43] ,RN-I 43 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 42. " [42] ,RN-I 42 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 41. " [41] ,RN-I 41 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 40. " [40] ,RN-I 40 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 39. " [39] ,RN-I 39 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 38. " [38] ,RN-I 38 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 37. " [37] ,RN-I 37 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 36. " [36] ,RN-I 36 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 35. " [35] ,RN-I 35 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 34. " [34] ,RN-I 34 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 33. " [33] ,RN-I 33 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 32. " [32] ,RN-I 32 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 31. " [31] ,RN-I 31 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 30. " [30] ,RN-I 30 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 29. " [29] ,RN-I 29 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 28. " [28] ,RN-I 28 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 27. " [27] ,RN-I 27 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 26. " [26] ,RN-I 26 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 25. " [25] ,RN-I 25 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 24. " [24] ,RN-I 24 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 23. " [23] ,RN-I 23 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 22. " [22] ,RN-I 22 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 21. " [21] ,RN-I 21 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 20. " [20] ,RN-I 20 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 19. " [19] ,RN-I 19 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 18. " [18] ,RN-I 18 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 17. " [17] ,RN-I 17 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 16. " [16] ,RN-I 16 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 15. " [15] ,RN-I 15 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 14. " [14] ,RN-I 14 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 13. " [13] ,RN-I 13 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 12. " [12] ,RN-I 12 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 11. " [11] ,RN-I 11 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 10. " [10] ,RN-I 10 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 9. " [9] ,RN-I 9 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 8. " [8] ,RN-I 8 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 7. " [7] ,RN-I 7 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 6. " [6] ,RN-I 6 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 5. " [5] ,RN-I 5 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 4. " [4] ,RN-I 4 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 3. " [3] ,RN-I 3 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 2. " [2] ,RN-I 2 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 1. " [1] ,RN-I 1 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 0. " [0] ,RN-I 0 with PCIe RC connected in the system" "Not connected,Connected" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYND0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" newline bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 40.--41. " PCRDTYPE ,PCrdType" "0,1,2,3" newline bitfld.quad 0x00 37.--39. " SIZE ,SIZE" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 35.--36. " SNPATTR ,SnpAttr" "0,1,2,3" bitfld.quad 0x00 31.--34. " MEMATTR ,MemAttr" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 29.--30. " ORDER ,ORDER" "0,1,2,3" bitfld.quad 0x00 28. " NS ,NS" "0,1" bitfld.quad 0x00 27. " DYNPCRD ,DYNPCRD" "0,1" newline hexmask.quad.word 0x00 16.--26. 1. " TXNID ,TXNID" hexmask.quad.byte 0x00 9.--15. 1. " SRCID ,SRCID" bitfld.quad 0x00 4.--8. " OPCODE ,OPCODE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 0.--3. " ERROR_TYPE ,Error type" ",Unsupported opcode,Cacheable read request,Cacheable write request,Downstream write response error,MN read request,MN write request,MN unsupported opcode,?..." line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,HN-I Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "SA_AUX_CTL,SA Auxiliary Control Register" bitfld.quad 0x00 11. " HONOR_EWA_EN ,Propagates BRESP to the requesting RN for non-posted writes" "Not propagated,Propagated" bitfld.quad 0x00 9. " SER_DEVNE_WR ,Serialize Device-ngnrne writes" "Not serialized,Serialized" bitfld.quad 0x00 8. " RSPERR_CMO_EN ,Sending non-data error (NDERR) response on CMO enable" "Disabled,Enabled" newline bitfld.quad 0x00 7. " POS_EARLY_EOBARRSP_EN ,Sending early completion response for eobarrier from HN-I enable" "Disabled,Enabled" bitfld.quad 0x00 6. " POS_EARLY_RDACK_EN ,Sending early read receipts from HN-I enable" "Disabled,Enabled" bitfld.quad 0x00 5. " POS_EARLY_WR_COMP_EN ,Early write completions for all writes that allow early acknowledgment enable" "Disabled,Enabled" newline bitfld.quad 0x00 4. " POS_TERMINATE_BARRIERS ,Termination of barriers before AMBA interface enable" "Disabled,Enabled" bitfld.quad 0x00 3. " ERR_RSP_EN ,Signaling an error to the MN on response enable" "Disabled,Enabled" bitfld.quad 0x00 2. " ERR_REQ_EN ,Signaling an error to the MN on request enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. " QOS_SCHEDULE_EN ,QoS based scheduling of the AMBA requests enable" "Disabled,Enabled" bitfld.quad 0x00 0. " RDREQ_BYP_EN ,Read bypass path enable" "Disabled,Enabled" rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_HNI_OLY_ID,HN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-I is 10" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-I" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "HN-I ID 30" base ad:0x04090000 width 21. group.quad 0x00++0x0F line.quad 0x00 "POS_CONTROL,PoS Control Register" bitfld.quad 0x00 3. " AWCACHE0_OVRD_VAL ,AWCACHE[0] override value" "Not overridden,Overridden" bitfld.quad 0x00 2. " ARCACHE0_OVRD_VAL ,ARCACHE[0] override value" "Not overridden,Overridden" bitfld.quad 0x00 1. " AXCACHE_OVERRIDE ,AWCACHE[0] and ARCACHE[0] override on the AMBA interface" "Not overridden,Overridden" newline bitfld.quad 0x00 0. " HNI_POS_EN ,Indicates status of HN-I pos" "Not final,Final" line.quad 0x08 "PCIERC_RNI_NID_LIST,PCIeRC RN-I Node ID List Register" bitfld.quad 0x08 63. " PCIERC_RNI_NODEID_LIST[63] ,RN-I 63 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 62. " [62] ,RN-I 62 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 61. " [61] ,RN-I 61 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 60. " [60] ,RN-I 60 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 59. " [59] ,RN-I 59 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 58. " [58] ,RN-I 58 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 57. " [57] ,RN-I 57 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 56. " [56] ,RN-I 56 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 55. " [55] ,RN-I 55 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 54. " [54] ,RN-I 54 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 53. " [53] ,RN-I 53 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 52. " [52] ,RN-I 52 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 51. " [51] ,RN-I 51 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 50. " [50] ,RN-I 50 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 49. " [49] ,RN-I 49 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 48. " [48] ,RN-I 48 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 47. " [47] ,RN-I 47 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 46. " [46] ,RN-I 46 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 45. " [45] ,RN-I 45 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 44. " [44] ,RN-I 44 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 43. " [43] ,RN-I 43 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 42. " [42] ,RN-I 42 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 41. " [41] ,RN-I 41 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 40. " [40] ,RN-I 40 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 39. " [39] ,RN-I 39 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 38. " [38] ,RN-I 38 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 37. " [37] ,RN-I 37 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 36. " [36] ,RN-I 36 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 35. " [35] ,RN-I 35 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 34. " [34] ,RN-I 34 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 33. " [33] ,RN-I 33 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 32. " [32] ,RN-I 32 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 31. " [31] ,RN-I 31 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 30. " [30] ,RN-I 30 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 29. " [29] ,RN-I 29 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 28. " [28] ,RN-I 28 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 27. " [27] ,RN-I 27 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 26. " [26] ,RN-I 26 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 25. " [25] ,RN-I 25 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 24. " [24] ,RN-I 24 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 23. " [23] ,RN-I 23 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 22. " [22] ,RN-I 22 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 21. " [21] ,RN-I 21 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 20. " [20] ,RN-I 20 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 19. " [19] ,RN-I 19 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 18. " [18] ,RN-I 18 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 17. " [17] ,RN-I 17 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 16. " [16] ,RN-I 16 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 15. " [15] ,RN-I 15 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 14. " [14] ,RN-I 14 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 13. " [13] ,RN-I 13 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 12. " [12] ,RN-I 12 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 11. " [11] ,RN-I 11 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 10. " [10] ,RN-I 10 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 9. " [9] ,RN-I 9 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 8. " [8] ,RN-I 8 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 7. " [7] ,RN-I 7 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 6. " [6] ,RN-I 6 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 5. " [5] ,RN-I 5 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 4. " [4] ,RN-I 4 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 3. " [3] ,RN-I 3 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 2. " [2] ,RN-I 2 with PCIe RC connected in the system" "Not connected,Connected" bitfld.quad 0x08 1. " [1] ,RN-I 1 with PCIe RC connected in the system" "Not connected,Connected" newline bitfld.quad 0x08 0. " [0] ,RN-I 0 with PCIe RC connected in the system" "Not connected,Connected" rgroup.quad 0x400++0x0F line.quad 0x00 "ERR_SYND0,Error Syndrome 0 Register" bitfld.quad 0x00 63. " ERR_EXNTD ,Error extended" "No error,Error" bitfld.quad 0x00 62. " FIRST_ERR_VLD ,First error valid" "Not valid,Valid" bitfld.quad 0x00 60.--61. " ERR_CLASS ,Error classification" "0,1,2,3" newline bitfld.quad 0x00 59. " MULT_ERR ,Multiple errors" "0,1" hexmask.quad.tbyte 0x00 43.--58. 1. " CORRECTED_ERR_COUNT ,Corrected error count" bitfld.quad 0x00 40.--41. " PCRDTYPE ,PCrdType" "0,1,2,3" newline bitfld.quad 0x00 37.--39. " SIZE ,SIZE" "0,1,2,3,4,5,6,7" bitfld.quad 0x00 35.--36. " SNPATTR ,SnpAttr" "0,1,2,3" bitfld.quad 0x00 31.--34. " MEMATTR ,MemAttr" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.quad 0x00 29.--30. " ORDER ,ORDER" "0,1,2,3" bitfld.quad 0x00 28. " NS ,NS" "0,1" bitfld.quad 0x00 27. " DYNPCRD ,DYNPCRD" "0,1" newline hexmask.quad.word 0x00 16.--26. 1. " TXNID ,TXNID" hexmask.quad.byte 0x00 9.--15. 1. " SRCID ,SRCID" bitfld.quad 0x00 4.--8. " OPCODE ,OPCODE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.quad 0x00 0.--3. " ERROR_TYPE ,Error type" ",Unsupported opcode,Cacheable read request,Cacheable write request,Downstream write response error,MN read request,MN write request,MN unsupported opcode,?..." line.quad 0x08 "ERR_SYNDROME_REG1,Error Syndrome 1 Register" wgroup.quad 0x480++0x07 line.quad 0x00 "ERR_SYNDROME_CLR,HN-I Error Syndrome Clear Register" bitfld.quad 0x00 62. " FIRST_ERR_VLD_CLR ,Clears the first_err_vld bit in the error syndrome 0 register" "No effect,Clear" bitfld.quad 0x00 59. " MULT_ERR_CLR ,Clears the mult_err bit in the error syndrome 0 register" "No effect,Clear" group.quad 0x500++0x07 line.quad 0x00 "SA_AUX_CTL,SA Auxiliary Control Register" bitfld.quad 0x00 11. " HONOR_EWA_EN ,Propagates BRESP to the requesting RN for non-posted writes" "Not propagated,Propagated" bitfld.quad 0x00 9. " SER_DEVNE_WR ,Serialize Device-ngnrne writes" "Not serialized,Serialized" bitfld.quad 0x00 8. " RSPERR_CMO_EN ,Sending non-data error (NDERR) response on CMO enable" "Disabled,Enabled" newline bitfld.quad 0x00 7. " POS_EARLY_EOBARRSP_EN ,Sending early completion response for eobarrier from HN-I enable" "Disabled,Enabled" bitfld.quad 0x00 6. " POS_EARLY_RDACK_EN ,Sending early read receipts from HN-I enable" "Disabled,Enabled" bitfld.quad 0x00 5. " POS_EARLY_WR_COMP_EN ,Early write completions for all writes that allow early acknowledgment enable" "Disabled,Enabled" newline bitfld.quad 0x00 4. " POS_TERMINATE_BARRIERS ,Termination of barriers before AMBA interface enable" "Disabled,Enabled" bitfld.quad 0x00 3. " ERR_RSP_EN ,Signaling an error to the MN on response enable" "Disabled,Enabled" bitfld.quad 0x00 2. " ERR_REQ_EN ,Signaling an error to the MN on request enable" "Disabled,Enabled" newline bitfld.quad 0x00 1. " QOS_SCHEDULE_EN ,QoS based scheduling of the AMBA requests enable" "Disabled,Enabled" bitfld.quad 0x00 0. " RDREQ_BYP_EN ,Read bypass path enable" "Disabled,Enabled" rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_HNI_OLY_ID,HN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the HN-I is 10" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an HN-I" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree.end tree "RN-I Subregions" tree "RN-I ID 1" base ad:0x4810000 width 18. group.quad 0x08++0x27 line.quad 0x00 "S0_CTL,Port S0 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S0_LPID_MASK ,S0 port LPID mask" line.quad 0x08 "S0_QOS_CTL,Port S0 QoS Control Register" bitfld.quad 0x08 20.--23. " S0_AR_QOS_OVERRIDE ,S0 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S0_AW_QOS_OVERRIDE ,S0 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S0_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S0_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S0_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S0_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S0_AR_QOS_OVERRIDE_EN ,S0 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S0_AW_QOS_OVERRIDE_EN ,S0 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S0_AR_LAT_EN ,S0 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S0_AW_LAT_EN ,S0 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S0_QOS_LAT_TGT,Port S0 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S0_AR_LAT_TGT ,S0 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S0_AW_LAT_TGT ,S0 AW channel target latency" line.quad 0x18 "S0_QOS_LAT_SCALE,Port S0 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S0_AR_LAT_SCALE ,S0 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S0_AW_LAT_SCALE ,S0 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S0_QOS_LAT_RANGE,Port S0 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S0_AR_LAT_MAX_QOS ,S0 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S0_AR_LAT_MIN_QOS ,S0 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S0_AW_LAT_MAX_QOS ,S0 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S0_AW_LAT_MIN_QOS ,S0 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x108++0x27 line.quad 0x00 "S1_CTL,Port S1 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S1_LPID_MASK ,S1 port LPID mask" line.quad 0x08 "S1_QOS_CTL,Port S1 QoS Control Register" bitfld.quad 0x08 20.--23. " S1_AR_QOS_OVERRIDE ,S1 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S1_AW_QOS_OVERRIDE ,S1 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S1_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S1_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S1_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S1_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S1_AR_QOS_OVERRIDE_EN ,S1 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S1_AW_QOS_OVERRIDE_EN ,S1 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S1_AR_LAT_EN ,S1 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S1_AW_LAT_EN ,S1 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S1_QOS_LAT_TGT,Port S1 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S1_AR_LAT_TGT ,S1 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S1_AW_LAT_TGT ,S1 AW channel target latency" line.quad 0x18 "S1_QOS_LAT_SCALE,Port S1 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S1_AR_LAT_SCALE ,S1 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S1_AW_LAT_SCALE ,S1 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S1_QOS_LAT_RANGE,Port S1 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S1_AR_LAT_MAX_QOS ,S1 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S1_AR_LAT_MIN_QOS ,S1 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S1_AW_LAT_MAX_QOS ,S1 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S1_AW_LAT_MIN_QOS ,S1 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x208++0x27 line.quad 0x00 "S2_CTL,Port S2 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S2_LPID_MASK ,S2 port LPID mask" line.quad 0x08 "S2_QOS_CTL,Port S2 QoS Control Register" bitfld.quad 0x08 20.--23. " S2_AR_QOS_OVERRIDE ,S2 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S2_AW_QOS_OVERRIDE ,S2 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S2_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S2_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S2_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S2_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S2_AR_QOS_OVERRIDE_EN ,S2 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S2_AW_QOS_OVERRIDE_EN ,S2 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S2_AR_LAT_EN ,S2 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S2_AW_LAT_EN ,S2 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S2_QOS_LAT_TGT,Port S2 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S2_AR_LAT_TGT ,S2 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S2_AW_LAT_TGT ,S2 AW channel target latency" line.quad 0x18 "S2_QOS_LAT_SCALE,Port S2 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S2_AR_LAT_SCALE ,S2 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S2_AW_LAT_SCALE ,S2 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S2_QOS_LAT_RANGE,Port S2 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S2_AR_LAT_MAX_QOS ,S2 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S2_AR_LAT_MIN_QOS ,S2 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S2_AW_LAT_MAX_QOS ,S2 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S2_AW_LAT_MIN_QOS ,S2 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x500++0x07 line.quad 0x00 "RNI_AUX_CTL,RN-I Auxiliary Control Register" bitfld.quad 0x00 5. " FORCE_RD_RQO ,Forces all reads from the RN-I to be sent with the request order bit" "Not forced,Forced" bitfld.quad 0x00 4. " WUO ,Used for acceleration of coherent ordered writes" "0,1" bitfld.quad 0x00 3. " WFC ,Enables waiting for comp before the dependent transaction is dispatched" "Disabled,Enabled" bitfld.quad 0x00 2. " CG_DISABLE ,Clock gating disable" "No,Yes" newline bitfld.quad 0x00 1. " QPC_EN ,Enables qos based scheduling using two qos priority classes qos15 and non-qos15" "Disabled,Enabled" bitfld.quad 0x00 0. " AR_BYP_EN ,Enables bypass path in the AR pipeline" "Disabled,Enabled" newline width 16. group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 2 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 1 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 0 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_RNI_OLY_ID,RN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the RN-I bridge" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an RN-I bridge that supports 3 ACE-lite interfaces" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 4" base ad:0x4840000 width 18. group.quad 0x08++0x27 line.quad 0x00 "S0_CTL,Port S0 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S0_LPID_MASK ,S0 port LPID mask" line.quad 0x08 "S0_QOS_CTL,Port S0 QoS Control Register" bitfld.quad 0x08 20.--23. " S0_AR_QOS_OVERRIDE ,S0 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S0_AW_QOS_OVERRIDE ,S0 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S0_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S0_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S0_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S0_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S0_AR_QOS_OVERRIDE_EN ,S0 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S0_AW_QOS_OVERRIDE_EN ,S0 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S0_AR_LAT_EN ,S0 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S0_AW_LAT_EN ,S0 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S0_QOS_LAT_TGT,Port S0 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S0_AR_LAT_TGT ,S0 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S0_AW_LAT_TGT ,S0 AW channel target latency" line.quad 0x18 "S0_QOS_LAT_SCALE,Port S0 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S0_AR_LAT_SCALE ,S0 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S0_AW_LAT_SCALE ,S0 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S0_QOS_LAT_RANGE,Port S0 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S0_AR_LAT_MAX_QOS ,S0 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S0_AR_LAT_MIN_QOS ,S0 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S0_AW_LAT_MAX_QOS ,S0 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S0_AW_LAT_MIN_QOS ,S0 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x108++0x27 line.quad 0x00 "S1_CTL,Port S1 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S1_LPID_MASK ,S1 port LPID mask" line.quad 0x08 "S1_QOS_CTL,Port S1 QoS Control Register" bitfld.quad 0x08 20.--23. " S1_AR_QOS_OVERRIDE ,S1 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S1_AW_QOS_OVERRIDE ,S1 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S1_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S1_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S1_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S1_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S1_AR_QOS_OVERRIDE_EN ,S1 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S1_AW_QOS_OVERRIDE_EN ,S1 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S1_AR_LAT_EN ,S1 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S1_AW_LAT_EN ,S1 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S1_QOS_LAT_TGT,Port S1 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S1_AR_LAT_TGT ,S1 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S1_AW_LAT_TGT ,S1 AW channel target latency" line.quad 0x18 "S1_QOS_LAT_SCALE,Port S1 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S1_AR_LAT_SCALE ,S1 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S1_AW_LAT_SCALE ,S1 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S1_QOS_LAT_RANGE,Port S1 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S1_AR_LAT_MAX_QOS ,S1 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S1_AR_LAT_MIN_QOS ,S1 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S1_AW_LAT_MAX_QOS ,S1 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S1_AW_LAT_MIN_QOS ,S1 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x208++0x27 line.quad 0x00 "S2_CTL,Port S2 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S2_LPID_MASK ,S2 port LPID mask" line.quad 0x08 "S2_QOS_CTL,Port S2 QoS Control Register" bitfld.quad 0x08 20.--23. " S2_AR_QOS_OVERRIDE ,S2 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S2_AW_QOS_OVERRIDE ,S2 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S2_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S2_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S2_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S2_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S2_AR_QOS_OVERRIDE_EN ,S2 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S2_AW_QOS_OVERRIDE_EN ,S2 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S2_AR_LAT_EN ,S2 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S2_AW_LAT_EN ,S2 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S2_QOS_LAT_TGT,Port S2 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S2_AR_LAT_TGT ,S2 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S2_AW_LAT_TGT ,S2 AW channel target latency" line.quad 0x18 "S2_QOS_LAT_SCALE,Port S2 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S2_AR_LAT_SCALE ,S2 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S2_AW_LAT_SCALE ,S2 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S2_QOS_LAT_RANGE,Port S2 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S2_AR_LAT_MAX_QOS ,S2 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S2_AR_LAT_MIN_QOS ,S2 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S2_AW_LAT_MAX_QOS ,S2 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S2_AW_LAT_MIN_QOS ,S2 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x500++0x07 line.quad 0x00 "RNI_AUX_CTL,RN-I Auxiliary Control Register" bitfld.quad 0x00 5. " FORCE_RD_RQO ,Forces all reads from the RN-I to be sent with the request order bit" "Not forced,Forced" bitfld.quad 0x00 4. " WUO ,Used for acceleration of coherent ordered writes" "0,1" bitfld.quad 0x00 3. " WFC ,Enables waiting for comp before the dependent transaction is dispatched" "Disabled,Enabled" bitfld.quad 0x00 2. " CG_DISABLE ,Clock gating disable" "No,Yes" newline bitfld.quad 0x00 1. " QPC_EN ,Enables qos based scheduling using two qos priority classes qos15 and non-qos15" "Disabled,Enabled" bitfld.quad 0x00 0. " AR_BYP_EN ,Enables bypass path in the AR pipeline" "Disabled,Enabled" newline width 16. group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 2 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 1 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 0 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_RNI_OLY_ID,RN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the RN-I bridge" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an RN-I bridge that supports 3 ACE-lite interfaces" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 7" base ad:0x4870000 width 18. group.quad 0x08++0x27 line.quad 0x00 "S0_CTL,Port S0 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S0_LPID_MASK ,S0 port LPID mask" line.quad 0x08 "S0_QOS_CTL,Port S0 QoS Control Register" bitfld.quad 0x08 20.--23. " S0_AR_QOS_OVERRIDE ,S0 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S0_AW_QOS_OVERRIDE ,S0 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S0_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S0_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S0_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S0_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S0_AR_QOS_OVERRIDE_EN ,S0 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S0_AW_QOS_OVERRIDE_EN ,S0 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S0_AR_LAT_EN ,S0 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S0_AW_LAT_EN ,S0 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S0_QOS_LAT_TGT,Port S0 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S0_AR_LAT_TGT ,S0 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S0_AW_LAT_TGT ,S0 AW channel target latency" line.quad 0x18 "S0_QOS_LAT_SCALE,Port S0 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S0_AR_LAT_SCALE ,S0 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S0_AW_LAT_SCALE ,S0 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S0_QOS_LAT_RANGE,Port S0 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S0_AR_LAT_MAX_QOS ,S0 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S0_AR_LAT_MIN_QOS ,S0 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S0_AW_LAT_MAX_QOS ,S0 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S0_AW_LAT_MIN_QOS ,S0 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x108++0x27 line.quad 0x00 "S1_CTL,Port S1 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S1_LPID_MASK ,S1 port LPID mask" line.quad 0x08 "S1_QOS_CTL,Port S1 QoS Control Register" bitfld.quad 0x08 20.--23. " S1_AR_QOS_OVERRIDE ,S1 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S1_AW_QOS_OVERRIDE ,S1 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S1_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S1_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S1_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S1_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S1_AR_QOS_OVERRIDE_EN ,S1 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S1_AW_QOS_OVERRIDE_EN ,S1 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S1_AR_LAT_EN ,S1 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S1_AW_LAT_EN ,S1 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S1_QOS_LAT_TGT,Port S1 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S1_AR_LAT_TGT ,S1 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S1_AW_LAT_TGT ,S1 AW channel target latency" line.quad 0x18 "S1_QOS_LAT_SCALE,Port S1 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S1_AR_LAT_SCALE ,S1 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S1_AW_LAT_SCALE ,S1 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S1_QOS_LAT_RANGE,Port S1 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S1_AR_LAT_MAX_QOS ,S1 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S1_AR_LAT_MIN_QOS ,S1 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S1_AW_LAT_MAX_QOS ,S1 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S1_AW_LAT_MIN_QOS ,S1 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x208++0x27 line.quad 0x00 "S2_CTL,Port S2 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S2_LPID_MASK ,S2 port LPID mask" line.quad 0x08 "S2_QOS_CTL,Port S2 QoS Control Register" bitfld.quad 0x08 20.--23. " S2_AR_QOS_OVERRIDE ,S2 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S2_AW_QOS_OVERRIDE ,S2 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S2_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S2_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S2_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S2_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S2_AR_QOS_OVERRIDE_EN ,S2 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S2_AW_QOS_OVERRIDE_EN ,S2 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S2_AR_LAT_EN ,S2 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S2_AW_LAT_EN ,S2 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S2_QOS_LAT_TGT,Port S2 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S2_AR_LAT_TGT ,S2 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S2_AW_LAT_TGT ,S2 AW channel target latency" line.quad 0x18 "S2_QOS_LAT_SCALE,Port S2 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S2_AR_LAT_SCALE ,S2 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S2_AW_LAT_SCALE ,S2 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S2_QOS_LAT_RANGE,Port S2 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S2_AR_LAT_MAX_QOS ,S2 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S2_AR_LAT_MIN_QOS ,S2 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S2_AW_LAT_MAX_QOS ,S2 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S2_AW_LAT_MIN_QOS ,S2 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x500++0x07 line.quad 0x00 "RNI_AUX_CTL,RN-I Auxiliary Control Register" bitfld.quad 0x00 5. " FORCE_RD_RQO ,Forces all reads from the RN-I to be sent with the request order bit" "Not forced,Forced" bitfld.quad 0x00 4. " WUO ,Used for acceleration of coherent ordered writes" "0,1" bitfld.quad 0x00 3. " WFC ,Enables waiting for comp before the dependent transaction is dispatched" "Disabled,Enabled" bitfld.quad 0x00 2. " CG_DISABLE ,Clock gating disable" "No,Yes" newline bitfld.quad 0x00 1. " QPC_EN ,Enables qos based scheduling using two qos priority classes qos15 and non-qos15" "Disabled,Enabled" bitfld.quad 0x00 0. " AR_BYP_EN ,Enables bypass path in the AR pipeline" "Disabled,Enabled" newline width 16. group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 2 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 1 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 0 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_RNI_OLY_ID,RN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the RN-I bridge" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an RN-I bridge that supports 3 ACE-lite interfaces" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 10" base ad:0x48A0000 width 18. group.quad 0x08++0x27 line.quad 0x00 "S0_CTL,Port S0 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S0_LPID_MASK ,S0 port LPID mask" line.quad 0x08 "S0_QOS_CTL,Port S0 QoS Control Register" bitfld.quad 0x08 20.--23. " S0_AR_QOS_OVERRIDE ,S0 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S0_AW_QOS_OVERRIDE ,S0 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S0_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S0_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S0_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S0_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S0_AR_QOS_OVERRIDE_EN ,S0 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S0_AW_QOS_OVERRIDE_EN ,S0 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S0_AR_LAT_EN ,S0 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S0_AW_LAT_EN ,S0 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S0_QOS_LAT_TGT,Port S0 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S0_AR_LAT_TGT ,S0 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S0_AW_LAT_TGT ,S0 AW channel target latency" line.quad 0x18 "S0_QOS_LAT_SCALE,Port S0 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S0_AR_LAT_SCALE ,S0 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S0_AW_LAT_SCALE ,S0 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S0_QOS_LAT_RANGE,Port S0 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S0_AR_LAT_MAX_QOS ,S0 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S0_AR_LAT_MIN_QOS ,S0 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S0_AW_LAT_MAX_QOS ,S0 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S0_AW_LAT_MIN_QOS ,S0 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x108++0x27 line.quad 0x00 "S1_CTL,Port S1 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S1_LPID_MASK ,S1 port LPID mask" line.quad 0x08 "S1_QOS_CTL,Port S1 QoS Control Register" bitfld.quad 0x08 20.--23. " S1_AR_QOS_OVERRIDE ,S1 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S1_AW_QOS_OVERRIDE ,S1 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S1_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S1_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S1_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S1_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S1_AR_QOS_OVERRIDE_EN ,S1 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S1_AW_QOS_OVERRIDE_EN ,S1 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S1_AR_LAT_EN ,S1 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S1_AW_LAT_EN ,S1 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S1_QOS_LAT_TGT,Port S1 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S1_AR_LAT_TGT ,S1 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S1_AW_LAT_TGT ,S1 AW channel target latency" line.quad 0x18 "S1_QOS_LAT_SCALE,Port S1 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S1_AR_LAT_SCALE ,S1 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S1_AW_LAT_SCALE ,S1 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S1_QOS_LAT_RANGE,Port S1 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S1_AR_LAT_MAX_QOS ,S1 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S1_AR_LAT_MIN_QOS ,S1 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S1_AW_LAT_MAX_QOS ,S1 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S1_AW_LAT_MIN_QOS ,S1 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x208++0x27 line.quad 0x00 "S2_CTL,Port S2 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S2_LPID_MASK ,S2 port LPID mask" line.quad 0x08 "S2_QOS_CTL,Port S2 QoS Control Register" bitfld.quad 0x08 20.--23. " S2_AR_QOS_OVERRIDE ,S2 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S2_AW_QOS_OVERRIDE ,S2 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S2_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S2_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S2_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S2_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S2_AR_QOS_OVERRIDE_EN ,S2 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S2_AW_QOS_OVERRIDE_EN ,S2 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S2_AR_LAT_EN ,S2 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S2_AW_LAT_EN ,S2 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S2_QOS_LAT_TGT,Port S2 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S2_AR_LAT_TGT ,S2 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S2_AW_LAT_TGT ,S2 AW channel target latency" line.quad 0x18 "S2_QOS_LAT_SCALE,Port S2 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S2_AR_LAT_SCALE ,S2 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S2_AW_LAT_SCALE ,S2 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S2_QOS_LAT_RANGE,Port S2 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S2_AR_LAT_MAX_QOS ,S2 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S2_AR_LAT_MIN_QOS ,S2 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S2_AW_LAT_MAX_QOS ,S2 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S2_AW_LAT_MIN_QOS ,S2 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x500++0x07 line.quad 0x00 "RNI_AUX_CTL,RN-I Auxiliary Control Register" bitfld.quad 0x00 5. " FORCE_RD_RQO ,Forces all reads from the RN-I to be sent with the request order bit" "Not forced,Forced" bitfld.quad 0x00 4. " WUO ,Used for acceleration of coherent ordered writes" "0,1" bitfld.quad 0x00 3. " WFC ,Enables waiting for comp before the dependent transaction is dispatched" "Disabled,Enabled" bitfld.quad 0x00 2. " CG_DISABLE ,Clock gating disable" "No,Yes" newline bitfld.quad 0x00 1. " QPC_EN ,Enables qos based scheduling using two qos priority classes qos15 and non-qos15" "Disabled,Enabled" bitfld.quad 0x00 0. " AR_BYP_EN ,Enables bypass path in the AR pipeline" "Disabled,Enabled" newline width 16. group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 2 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 1 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 0 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_RNI_OLY_ID,RN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the RN-I bridge" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an RN-I bridge that supports 3 ACE-lite interfaces" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 17" base ad:0x4910000 width 18. group.quad 0x08++0x27 line.quad 0x00 "S0_CTL,Port S0 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S0_LPID_MASK ,S0 port LPID mask" line.quad 0x08 "S0_QOS_CTL,Port S0 QoS Control Register" bitfld.quad 0x08 20.--23. " S0_AR_QOS_OVERRIDE ,S0 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S0_AW_QOS_OVERRIDE ,S0 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S0_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S0_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S0_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S0_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S0_AR_QOS_OVERRIDE_EN ,S0 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S0_AW_QOS_OVERRIDE_EN ,S0 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S0_AR_LAT_EN ,S0 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S0_AW_LAT_EN ,S0 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S0_QOS_LAT_TGT,Port S0 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S0_AR_LAT_TGT ,S0 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S0_AW_LAT_TGT ,S0 AW channel target latency" line.quad 0x18 "S0_QOS_LAT_SCALE,Port S0 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S0_AR_LAT_SCALE ,S0 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S0_AW_LAT_SCALE ,S0 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S0_QOS_LAT_RANGE,Port S0 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S0_AR_LAT_MAX_QOS ,S0 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S0_AR_LAT_MIN_QOS ,S0 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S0_AW_LAT_MAX_QOS ,S0 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S0_AW_LAT_MIN_QOS ,S0 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x108++0x27 line.quad 0x00 "S1_CTL,Port S1 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S1_LPID_MASK ,S1 port LPID mask" line.quad 0x08 "S1_QOS_CTL,Port S1 QoS Control Register" bitfld.quad 0x08 20.--23. " S1_AR_QOS_OVERRIDE ,S1 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S1_AW_QOS_OVERRIDE ,S1 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S1_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S1_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S1_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S1_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S1_AR_QOS_OVERRIDE_EN ,S1 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S1_AW_QOS_OVERRIDE_EN ,S1 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S1_AR_LAT_EN ,S1 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S1_AW_LAT_EN ,S1 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S1_QOS_LAT_TGT,Port S1 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S1_AR_LAT_TGT ,S1 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S1_AW_LAT_TGT ,S1 AW channel target latency" line.quad 0x18 "S1_QOS_LAT_SCALE,Port S1 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S1_AR_LAT_SCALE ,S1 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S1_AW_LAT_SCALE ,S1 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S1_QOS_LAT_RANGE,Port S1 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S1_AR_LAT_MAX_QOS ,S1 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S1_AR_LAT_MIN_QOS ,S1 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S1_AW_LAT_MAX_QOS ,S1 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S1_AW_LAT_MIN_QOS ,S1 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x208++0x27 line.quad 0x00 "S2_CTL,Port S2 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S2_LPID_MASK ,S2 port LPID mask" line.quad 0x08 "S2_QOS_CTL,Port S2 QoS Control Register" bitfld.quad 0x08 20.--23. " S2_AR_QOS_OVERRIDE ,S2 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S2_AW_QOS_OVERRIDE ,S2 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S2_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S2_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S2_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S2_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S2_AR_QOS_OVERRIDE_EN ,S2 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S2_AW_QOS_OVERRIDE_EN ,S2 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S2_AR_LAT_EN ,S2 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S2_AW_LAT_EN ,S2 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S2_QOS_LAT_TGT,Port S2 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S2_AR_LAT_TGT ,S2 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S2_AW_LAT_TGT ,S2 AW channel target latency" line.quad 0x18 "S2_QOS_LAT_SCALE,Port S2 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S2_AR_LAT_SCALE ,S2 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S2_AW_LAT_SCALE ,S2 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S2_QOS_LAT_RANGE,Port S2 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S2_AR_LAT_MAX_QOS ,S2 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S2_AR_LAT_MIN_QOS ,S2 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S2_AW_LAT_MAX_QOS ,S2 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S2_AW_LAT_MIN_QOS ,S2 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x500++0x07 line.quad 0x00 "RNI_AUX_CTL,RN-I Auxiliary Control Register" bitfld.quad 0x00 5. " FORCE_RD_RQO ,Forces all reads from the RN-I to be sent with the request order bit" "Not forced,Forced" bitfld.quad 0x00 4. " WUO ,Used for acceleration of coherent ordered writes" "0,1" bitfld.quad 0x00 3. " WFC ,Enables waiting for comp before the dependent transaction is dispatched" "Disabled,Enabled" bitfld.quad 0x00 2. " CG_DISABLE ,Clock gating disable" "No,Yes" newline bitfld.quad 0x00 1. " QPC_EN ,Enables qos based scheduling using two qos priority classes qos15 and non-qos15" "Disabled,Enabled" bitfld.quad 0x00 0. " AR_BYP_EN ,Enables bypass path in the AR pipeline" "Disabled,Enabled" newline width 16. group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 2 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 1 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 0 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_RNI_OLY_ID,RN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the RN-I bridge" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an RN-I bridge that supports 3 ACE-lite interfaces" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 20" base ad:0x4940000 width 18. group.quad 0x08++0x27 line.quad 0x00 "S0_CTL,Port S0 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S0_LPID_MASK ,S0 port LPID mask" line.quad 0x08 "S0_QOS_CTL,Port S0 QoS Control Register" bitfld.quad 0x08 20.--23. " S0_AR_QOS_OVERRIDE ,S0 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S0_AW_QOS_OVERRIDE ,S0 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S0_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S0_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S0_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S0_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S0_AR_QOS_OVERRIDE_EN ,S0 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S0_AW_QOS_OVERRIDE_EN ,S0 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S0_AR_LAT_EN ,S0 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S0_AW_LAT_EN ,S0 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S0_QOS_LAT_TGT,Port S0 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S0_AR_LAT_TGT ,S0 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S0_AW_LAT_TGT ,S0 AW channel target latency" line.quad 0x18 "S0_QOS_LAT_SCALE,Port S0 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S0_AR_LAT_SCALE ,S0 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S0_AW_LAT_SCALE ,S0 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S0_QOS_LAT_RANGE,Port S0 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S0_AR_LAT_MAX_QOS ,S0 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S0_AR_LAT_MIN_QOS ,S0 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S0_AW_LAT_MAX_QOS ,S0 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S0_AW_LAT_MIN_QOS ,S0 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x108++0x27 line.quad 0x00 "S1_CTL,Port S1 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S1_LPID_MASK ,S1 port LPID mask" line.quad 0x08 "S1_QOS_CTL,Port S1 QoS Control Register" bitfld.quad 0x08 20.--23. " S1_AR_QOS_OVERRIDE ,S1 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S1_AW_QOS_OVERRIDE ,S1 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S1_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S1_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S1_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S1_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S1_AR_QOS_OVERRIDE_EN ,S1 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S1_AW_QOS_OVERRIDE_EN ,S1 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S1_AR_LAT_EN ,S1 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S1_AW_LAT_EN ,S1 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S1_QOS_LAT_TGT,Port S1 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S1_AR_LAT_TGT ,S1 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S1_AW_LAT_TGT ,S1 AW channel target latency" line.quad 0x18 "S1_QOS_LAT_SCALE,Port S1 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S1_AR_LAT_SCALE ,S1 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S1_AW_LAT_SCALE ,S1 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S1_QOS_LAT_RANGE,Port S1 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S1_AR_LAT_MAX_QOS ,S1 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S1_AR_LAT_MIN_QOS ,S1 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S1_AW_LAT_MAX_QOS ,S1 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S1_AW_LAT_MIN_QOS ,S1 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x208++0x27 line.quad 0x00 "S2_CTL,Port S2 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S2_LPID_MASK ,S2 port LPID mask" line.quad 0x08 "S2_QOS_CTL,Port S2 QoS Control Register" bitfld.quad 0x08 20.--23. " S2_AR_QOS_OVERRIDE ,S2 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S2_AW_QOS_OVERRIDE ,S2 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S2_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S2_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S2_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S2_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S2_AR_QOS_OVERRIDE_EN ,S2 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S2_AW_QOS_OVERRIDE_EN ,S2 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S2_AR_LAT_EN ,S2 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S2_AW_LAT_EN ,S2 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S2_QOS_LAT_TGT,Port S2 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S2_AR_LAT_TGT ,S2 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S2_AW_LAT_TGT ,S2 AW channel target latency" line.quad 0x18 "S2_QOS_LAT_SCALE,Port S2 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S2_AR_LAT_SCALE ,S2 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S2_AW_LAT_SCALE ,S2 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S2_QOS_LAT_RANGE,Port S2 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S2_AR_LAT_MAX_QOS ,S2 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S2_AR_LAT_MIN_QOS ,S2 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S2_AW_LAT_MAX_QOS ,S2 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S2_AW_LAT_MIN_QOS ,S2 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x500++0x07 line.quad 0x00 "RNI_AUX_CTL,RN-I Auxiliary Control Register" bitfld.quad 0x00 5. " FORCE_RD_RQO ,Forces all reads from the RN-I to be sent with the request order bit" "Not forced,Forced" bitfld.quad 0x00 4. " WUO ,Used for acceleration of coherent ordered writes" "0,1" bitfld.quad 0x00 3. " WFC ,Enables waiting for comp before the dependent transaction is dispatched" "Disabled,Enabled" bitfld.quad 0x00 2. " CG_DISABLE ,Clock gating disable" "No,Yes" newline bitfld.quad 0x00 1. " QPC_EN ,Enables qos based scheduling using two qos priority classes qos15 and non-qos15" "Disabled,Enabled" bitfld.quad 0x00 0. " AR_BYP_EN ,Enables bypass path in the AR pipeline" "Disabled,Enabled" newline width 16. group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 2 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 1 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 0 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_RNI_OLY_ID,RN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the RN-I bridge" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an RN-I bridge that supports 3 ACE-lite interfaces" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 23" base ad:0x4970000 width 18. group.quad 0x08++0x27 line.quad 0x00 "S0_CTL,Port S0 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S0_LPID_MASK ,S0 port LPID mask" line.quad 0x08 "S0_QOS_CTL,Port S0 QoS Control Register" bitfld.quad 0x08 20.--23. " S0_AR_QOS_OVERRIDE ,S0 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S0_AW_QOS_OVERRIDE ,S0 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S0_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S0_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S0_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S0_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S0_AR_QOS_OVERRIDE_EN ,S0 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S0_AW_QOS_OVERRIDE_EN ,S0 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S0_AR_LAT_EN ,S0 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S0_AW_LAT_EN ,S0 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S0_QOS_LAT_TGT,Port S0 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S0_AR_LAT_TGT ,S0 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S0_AW_LAT_TGT ,S0 AW channel target latency" line.quad 0x18 "S0_QOS_LAT_SCALE,Port S0 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S0_AR_LAT_SCALE ,S0 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S0_AW_LAT_SCALE ,S0 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S0_QOS_LAT_RANGE,Port S0 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S0_AR_LAT_MAX_QOS ,S0 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S0_AR_LAT_MIN_QOS ,S0 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S0_AW_LAT_MAX_QOS ,S0 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S0_AW_LAT_MIN_QOS ,S0 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x108++0x27 line.quad 0x00 "S1_CTL,Port S1 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S1_LPID_MASK ,S1 port LPID mask" line.quad 0x08 "S1_QOS_CTL,Port S1 QoS Control Register" bitfld.quad 0x08 20.--23. " S1_AR_QOS_OVERRIDE ,S1 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S1_AW_QOS_OVERRIDE ,S1 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S1_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S1_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S1_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S1_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S1_AR_QOS_OVERRIDE_EN ,S1 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S1_AW_QOS_OVERRIDE_EN ,S1 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S1_AR_LAT_EN ,S1 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S1_AW_LAT_EN ,S1 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S1_QOS_LAT_TGT,Port S1 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S1_AR_LAT_TGT ,S1 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S1_AW_LAT_TGT ,S1 AW channel target latency" line.quad 0x18 "S1_QOS_LAT_SCALE,Port S1 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S1_AR_LAT_SCALE ,S1 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S1_AW_LAT_SCALE ,S1 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S1_QOS_LAT_RANGE,Port S1 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S1_AR_LAT_MAX_QOS ,S1 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S1_AR_LAT_MIN_QOS ,S1 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S1_AW_LAT_MAX_QOS ,S1 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S1_AW_LAT_MIN_QOS ,S1 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x208++0x27 line.quad 0x00 "S2_CTL,Port S2 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S2_LPID_MASK ,S2 port LPID mask" line.quad 0x08 "S2_QOS_CTL,Port S2 QoS Control Register" bitfld.quad 0x08 20.--23. " S2_AR_QOS_OVERRIDE ,S2 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S2_AW_QOS_OVERRIDE ,S2 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S2_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S2_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S2_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S2_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S2_AR_QOS_OVERRIDE_EN ,S2 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S2_AW_QOS_OVERRIDE_EN ,S2 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S2_AR_LAT_EN ,S2 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S2_AW_LAT_EN ,S2 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S2_QOS_LAT_TGT,Port S2 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S2_AR_LAT_TGT ,S2 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S2_AW_LAT_TGT ,S2 AW channel target latency" line.quad 0x18 "S2_QOS_LAT_SCALE,Port S2 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S2_AR_LAT_SCALE ,S2 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S2_AW_LAT_SCALE ,S2 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S2_QOS_LAT_RANGE,Port S2 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S2_AR_LAT_MAX_QOS ,S2 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S2_AR_LAT_MIN_QOS ,S2 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S2_AW_LAT_MAX_QOS ,S2 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S2_AW_LAT_MIN_QOS ,S2 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x500++0x07 line.quad 0x00 "RNI_AUX_CTL,RN-I Auxiliary Control Register" bitfld.quad 0x00 5. " FORCE_RD_RQO ,Forces all reads from the RN-I to be sent with the request order bit" "Not forced,Forced" bitfld.quad 0x00 4. " WUO ,Used for acceleration of coherent ordered writes" "0,1" bitfld.quad 0x00 3. " WFC ,Enables waiting for comp before the dependent transaction is dispatched" "Disabled,Enabled" bitfld.quad 0x00 2. " CG_DISABLE ,Clock gating disable" "No,Yes" newline bitfld.quad 0x00 1. " QPC_EN ,Enables qos based scheduling using two qos priority classes qos15 and non-qos15" "Disabled,Enabled" bitfld.quad 0x00 0. " AR_BYP_EN ,Enables bypass path in the AR pipeline" "Disabled,Enabled" newline width 16. group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 2 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 1 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 0 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_RNI_OLY_ID,RN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the RN-I bridge" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an RN-I bridge that supports 3 ACE-lite interfaces" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 26" base ad:0x49A0000 width 18. group.quad 0x08++0x27 line.quad 0x00 "S0_CTL,Port S0 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S0_LPID_MASK ,S0 port LPID mask" line.quad 0x08 "S0_QOS_CTL,Port S0 QoS Control Register" bitfld.quad 0x08 20.--23. " S0_AR_QOS_OVERRIDE ,S0 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S0_AW_QOS_OVERRIDE ,S0 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S0_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S0_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S0_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S0_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S0_AR_QOS_OVERRIDE_EN ,S0 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S0_AW_QOS_OVERRIDE_EN ,S0 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S0_AR_LAT_EN ,S0 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S0_AW_LAT_EN ,S0 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S0_QOS_LAT_TGT,Port S0 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S0_AR_LAT_TGT ,S0 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S0_AW_LAT_TGT ,S0 AW channel target latency" line.quad 0x18 "S0_QOS_LAT_SCALE,Port S0 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S0_AR_LAT_SCALE ,S0 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S0_AW_LAT_SCALE ,S0 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S0_QOS_LAT_RANGE,Port S0 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S0_AR_LAT_MAX_QOS ,S0 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S0_AR_LAT_MIN_QOS ,S0 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S0_AW_LAT_MAX_QOS ,S0 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S0_AW_LAT_MIN_QOS ,S0 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x108++0x27 line.quad 0x00 "S1_CTL,Port S1 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S1_LPID_MASK ,S1 port LPID mask" line.quad 0x08 "S1_QOS_CTL,Port S1 QoS Control Register" bitfld.quad 0x08 20.--23. " S1_AR_QOS_OVERRIDE ,S1 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S1_AW_QOS_OVERRIDE ,S1 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S1_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S1_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S1_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S1_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S1_AR_QOS_OVERRIDE_EN ,S1 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S1_AW_QOS_OVERRIDE_EN ,S1 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S1_AR_LAT_EN ,S1 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S1_AW_LAT_EN ,S1 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S1_QOS_LAT_TGT,Port S1 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S1_AR_LAT_TGT ,S1 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S1_AW_LAT_TGT ,S1 AW channel target latency" line.quad 0x18 "S1_QOS_LAT_SCALE,Port S1 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S1_AR_LAT_SCALE ,S1 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S1_AW_LAT_SCALE ,S1 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S1_QOS_LAT_RANGE,Port S1 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S1_AR_LAT_MAX_QOS ,S1 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S1_AR_LAT_MIN_QOS ,S1 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S1_AW_LAT_MAX_QOS ,S1 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S1_AW_LAT_MIN_QOS ,S1 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x208++0x27 line.quad 0x00 "S2_CTL,Port S2 Control Register" hexmask.quad.word 0x00 4.--14. 1. " S2_LPID_MASK ,S2 port LPID mask" line.quad 0x08 "S2_QOS_CTL,Port S2 QoS Control Register" bitfld.quad 0x08 20.--23. " S2_AR_QOS_OVERRIDE ,S2 port AR qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 16.--19. " S2_AW_QOS_OVERRIDE ,S2 port AW qos override value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x08 7. " S2_AR_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during read transactions" "Normal,Quiesce" bitfld.quad 0x08 6. " S2_AW_PQV_MODE ,Configures the mode of the qos regulator during period mode for bandwidth regulation during write transactions" "Normal,Quiesce" newline bitfld.quad 0x08 5. " S2_AR_REG_MODE ,Configures the mode of the qos regulator for read transactions" "Latency,Period" bitfld.quad 0x08 4. " S2_AW_REG_MODE ,Configures the mode of the qos regulator for write transactions" "Latency,Period" bitfld.quad 0x08 3. " S2_AR_QOS_OVERRIDE_EN ,S2 port AR qos override enable" "Disabled,Enabled" bitfld.quad 0x08 2. " S2_AW_QOS_OVERRIDE_EN ,S2 port AW qos override enable" "Disabled,Enabled" newline bitfld.quad 0x08 1. " S2_AR_LAT_EN ,S2 port AR qos regulation enable" "Disabled,Enabled" bitfld.quad 0x08 0. " S2_AW_LAT_EN ,S2 port AW qos regulation enable" "Disabled,Enabled" line.quad 0x10 "S2_QOS_LAT_TGT,Port S2 QoS Latency Target Register" hexmask.quad.word 0x10 16.--27. 1. " S2_AR_LAT_TGT ,S2 AR channel target latency" hexmask.quad.word 0x10 0.--11. 1. " S2_AW_LAT_TGT ,S2 AW channel target latency" line.quad 0x18 "S2_QOS_LAT_SCALE,Port S2 QoS Latency Scale Register" bitfld.quad 0x18 8.--10. " S2_AR_LAT_SCALE ,S2 AR qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" bitfld.quad 0x18 0.--2. " S2_AW_LAT_SCALE ,S2 AW qos scale factor" "1/32,1/64,1/128,1/256,1/512,1/1024,1/2048,1/4096" line.quad 0x20 "S2_QOS_LAT_RANGE,Port S2 QoS Latency Range Register" bitfld.quad 0x20 24.--27. " S2_AR_LAT_MAX_QOS ,S2 AR qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 16.--19. " S2_AR_LAT_MIN_QOS ,S2 AR qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 8.--11. " S2_AW_LAT_MAX_QOS ,S2 AW qos maximum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.quad 0x20 0.--3. " S2_AW_LAT_MIN_QOS ,S2 AW qos minimum value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.quad 0x500++0x07 line.quad 0x00 "RNI_AUX_CTL,RN-I Auxiliary Control Register" bitfld.quad 0x00 5. " FORCE_RD_RQO ,Forces all reads from the RN-I to be sent with the request order bit" "Not forced,Forced" bitfld.quad 0x00 4. " WUO ,Used for acceleration of coherent ordered writes" "0,1" bitfld.quad 0x00 3. " WFC ,Enables waiting for comp before the dependent transaction is dispatched" "Disabled,Enabled" bitfld.quad 0x00 2. " CG_DISABLE ,Clock gating disable" "No,Yes" newline bitfld.quad 0x00 1. " QPC_EN ,Enables qos based scheduling using two qos priority classes qos15 and non-qos15" "Disabled,Enabled" bitfld.quad 0x00 0. " AR_BYP_EN ,Enables bypass path in the AR pipeline" "Disabled,Enabled" newline width 16. group.quad 0x600++0x07 line.quad 0x00 "PMU_EVT_SEL,PMU Event Select Register" bitfld.quad 0x00 12.--15. " PMU_EVENT3_ID ,PMU event 3 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 8.--11. " PMU_EVENT2_ID ,PMU event 2 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." newline bitfld.quad 0x00 4.--7. " PMU_EVENT1_ID ,PMU event 1 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." bitfld.quad 0x00 0.--3. " PMU_EVENT0_ID ,PMU event 0 ID" "No event,S0 RDataBeats,S1 RDataBeats,S2 RDataBeats,RXDAT flits received,TXDAT flits sent,Total TXREQ flits sent,Retried TXREQ flits sent,RRT full,WRT full,Replayed TXREQ flits,?..." rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_RNI_OLY_ID,RN-I Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the RN-I bridge" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an RN-I bridge that supports 3 ACE-lite interfaces" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree.end tree "SBSX Subregions" tree "RN-I ID 3" base ad:0x4100000 width 17. group.quad 0x500++0x07 line.quad 0x00 "SA_AUX_CTL,SA Auxiliary Control Register" bitfld.quad 0x00 11. " HONOR_EWA_EN ,Enables sending write completion before the slave receives completion" "Disabled,Enabled" bitfld.quad 0x00 5. " POS_EARLY_WR_COMP_EN ,Enables early write completions for all writes that allow early acknowledgment" "Disabled,Enabled" bitfld.quad 0x00 1. " QOS_SCHEDULE_EN ,Enables qos based scheduling of the AMBA requests" "Disabled,Enabled" bitfld.quad 0x00 0. " RDREQ_BYP_EN ,Enables read bypass path" "Disabled,Enabled" rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_SBSX_OLY_ID,SBSX Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the SBSX" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an SBSX" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 8" base ad:0x4110000 width 17. group.quad 0x500++0x07 line.quad 0x00 "SA_AUX_CTL,SA Auxiliary Control Register" bitfld.quad 0x00 11. " HONOR_EWA_EN ,Enables sending write completion before the slave receives completion" "Disabled,Enabled" bitfld.quad 0x00 5. " POS_EARLY_WR_COMP_EN ,Enables early write completions for all writes that allow early acknowledgment" "Disabled,Enabled" bitfld.quad 0x00 1. " QOS_SCHEDULE_EN ,Enables qos based scheduling of the AMBA requests" "Disabled,Enabled" bitfld.quad 0x00 0. " RDREQ_BYP_EN ,Enables read bypass path" "Disabled,Enabled" rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_SBSX_OLY_ID,SBSX Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the SBSX" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an SBSX" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 19" base ad:0x4120000 width 17. group.quad 0x500++0x07 line.quad 0x00 "SA_AUX_CTL,SA Auxiliary Control Register" bitfld.quad 0x00 11. " HONOR_EWA_EN ,Enables sending write completion before the slave receives completion" "Disabled,Enabled" bitfld.quad 0x00 5. " POS_EARLY_WR_COMP_EN ,Enables early write completions for all writes that allow early acknowledgment" "Disabled,Enabled" bitfld.quad 0x00 1. " QOS_SCHEDULE_EN ,Enables qos based scheduling of the AMBA requests" "Disabled,Enabled" bitfld.quad 0x00 0. " RDREQ_BYP_EN ,Enables read bypass path" "Disabled,Enabled" rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_SBSX_OLY_ID,SBSX Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the SBSX" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an SBSX" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree "RN-I ID 24" base ad:0x4130000 width 17. group.quad 0x500++0x07 line.quad 0x00 "SA_AUX_CTL,SA Auxiliary Control Register" bitfld.quad 0x00 11. " HONOR_EWA_EN ,Enables sending write completion before the slave receives completion" "Disabled,Enabled" bitfld.quad 0x00 5. " POS_EARLY_WR_COMP_EN ,Enables early write completions for all writes that allow early acknowledgment" "Disabled,Enabled" bitfld.quad 0x00 1. " QOS_SCHEDULE_EN ,Enables qos based scheduling of the AMBA requests" "Disabled,Enabled" bitfld.quad 0x00 0. " RDREQ_BYP_EN ,Enables read bypass path" "Disabled,Enabled" rgroup.quad 0xFF00++0x07 line.quad 0x00 "OLY_SBSX_OLY_ID,SBSX Identification Register" hexmask.quad.byte 0x00 8.--14. 1. " NODE_ID ,The node ID of the SBSX" bitfld.quad 0x00 0.--4. " OLY_ID ,Indicates that this node is an SBSX" "Invalid node,MN,DT,,HN-F,HN-I,,,XP,,,,SBSX,,,,,,,,RN-I with 1 ACE-Lite,RN-I with 2 ACE-Lite,RN-I with 3 ACE-Lite,,RN-I with 11 ACE-Lite+DVM,RN-I with 2 ACE-Lite+DVM,RN-I with 3 ACE-Lite+DVM,?..." width 0x0B tree.end tree.end tree.end tree.open "DDR (DDR Memory Controller)" tree "DDR1" base ad:0x01080000 width 21. group.long 0x00++0x03 line.long 0x00 "CS0_BNDS,Chip Select 0 Memory Bounds Register" hexmask.long.word 0x00 16.--31. 0x01 " SA ,Starting address" hexmask.long.word 0x00 0.--15. 0x01 " EA ,Ending address" group.long 0x08++0x03 line.long 0x00 "CS1_BNDS,Chip Select 1 Memory Bounds Register" hexmask.long.word 0x00 16.--31. 0x01 " SA ,Starting address" hexmask.long.word 0x00 0.--15. 0x01 " EA ,Ending address" sif cpuis("LX2??0A") group.long 0x10++0x03 line.long 0x00 "CS2_BNDS,Chip Select 2 Memory Bounds Register" hexmask.long.word 0x00 16.--31. 0x01 " SA ,Starting address" hexmask.long.word 0x00 0.--15. 0x01 " EA ,Ending address" group.long 0x18++0x03 line.long 0x00 "CS3_BNDS,Chip Select 3 Memory Bounds Register" hexmask.long.word 0x00 16.--31. 0x01 " SA ,Starting address" hexmask.long.word 0x00 0.--15. 0x01 " EA ,Ending address" endif group.long 0x80++0x07 line.long 0x00 "CS0_CONFIG,Chip Select 0 Configuration Register" bitfld.long 0x00 31. " CS_EN ,Chip select 0 enable" "Not active,Active" newline sif cpuis("LX2??0A") bitfld.long 0x00 29. " INTLV_EN ,Memory controller interleave enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " INTLV_CTL ,Interleaving control" ",,,,,,,,256-byte,?..." newline endif bitfld.long 0x00 23. " AP_EN ,Chip select 0 auto-precharge enable" "Disabled,Enabled" newline sif cpuis("LX2??0A") bitfld.long 0x00 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS0,On reads to other chip selects,On reads to other DIMM,All reads,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x00 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS0,On writes to other chip selects,On writes to other DIMM,All writes,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline else bitfld.long 0x00 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS0,On reads to other chip selects,,All reads,?..." newline bitfld.long 0x00 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS0,On writes to other chip selects,,All writes,?..." newline endif bitfld.long 0x00 8.--10. " ROW_BITS_CS ,Number of row bits for SDRAM on chip select 0" "12,13,14,15,16,17,18,?..." bitfld.long 0x00 4.--5. " BG_BITS_CS ,Number of bank group bits for SDRAM on chip select 0" "0,1,2,?..." bitfld.long 0x00 0.--2. " COL_BITS_CS ,Number of column bits for SDRAM on chip select 0" "8,9,10,11,,,,7" line.long 0x04 "CS1_CONFIG,Chip Select 1 Configuration Register" bitfld.long 0x04 31. " CS_EN ,Chip select 1 enable" "Not active,Active" bitfld.long 0x04 23. " AP_EN ,Chip select 1 auto-precharge enable" "Disabled,Enabled" newline sif cpuis("LX2??0A") bitfld.long 0x04 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS0,On reads to other chip selects,On reads to other DIMM,All reads,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x04 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS0,On writes to other chip selects,On writes to other DIMM,All writes,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline else bitfld.long 0x04 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS0,On reads to other chip selects,,All reads,?..." newline bitfld.long 0x04 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS0,On writes to other chip selects,,All writes,?..." newline endif bitfld.long 0x04 8.--10. " ROW_BITS_CS ,Number of row bits for SDRAM on chip select 1" "12,13,14,15,16,17,18,?..." bitfld.long 0x04 4.--5. " BG_BITS_CS ,Number of bank group bits for SDRAM on chip select 1" "0,1,2,?..." bitfld.long 0x04 0.--2. " COL_BITS_CS ,Number of column bits for SDRAM on chip select 1" "8,9,10,11,,,,7" sif cpuis("LX2??0A") group.long 0x88++0x07 line.long 0x00 "CS2_CONFIG,Chip Select 2 Configuration Register" bitfld.long 0x00 31. " CS_EN ,Chip select 2 enable" "Not active,Active" bitfld.long 0x00 23. " AP_EN ,Chip select 2 auto-precharge enable" "Disabled,Enabled" newline bitfld.long 0x00 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS2,On reads to other chip selects,On reads to other DIMM,All reads,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x00 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS2,On writes to other chip selects,On writes to other DIMM,All writes,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x00 8.--10. " ROW_BITS_CS ,Number of row bits for SDRAM on chip select 2" "12,13,14,15,16,17,18,?..." bitfld.long 0x00 4.--5. " BG_BITS_CS ,Number of bank group bits for SDRAM on chip select 2" "0,1,2,?..." bitfld.long 0x00 0.--2. " COL_BITS_CS ,Number of column bits for SDRAM on chip select 2" "8,9,10,11,,,,7" line.long 0x04 "CS3_CONFIG,Chip Select 3 Configuration Register" bitfld.long 0x04 31. " CS_EN ,Chip select 3 enable" "Not active,Active" bitfld.long 0x04 23. " AP_EN ,Chip select 3 auto-precharge enable" "Disabled,Enabled" newline bitfld.long 0x04 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS3,On reads to other chip selects,On reads to other DIMM,All reads,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x04 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS3,On writes to other chip selects,On writes to other DIMM,All writes,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x04 8.--10. " ROW_BITS_CS ,Number of row bits for SDRAM on chip select 3" "12,13,14,15,16,17,18,?..." bitfld.long 0x04 4.--5. " BG_BITS_CS ,Number of bank group bits for SDRAM on chip select 3" "0,1,2,?..." bitfld.long 0x04 0.--2. " COL_BITS_CS ,Number of column bits for SDRAM on chip select 3" "8,9,10,11,,,,7" endif group.long 0x100++0x07 line.long 0x00 "TIMING_CFG_3,DDR SDRAM Timing Configuration 3 Register" bitfld.long 0x00 28. " EXT_PRETOACT ,Extended precharge-to-activate interval" "0,16" bitfld.long 0x00 24.--25. " EXT_ACTTOPRE ,Extended activate to precharge interval" "0,16,32,48" bitfld.long 0x00 22. " EXT_ACTTORW ,Extended activate to read/write interval for SDRAM" "0,1" newline bitfld.long 0x00 16.--21. " EXT_REFREC ,Extended refresh recovery time" "0,16,32,48,64,80,96,112,128,144,160,176,192,208,224,240,256,272,288,304,320,336,352,368,384,400,416,432,448,464,480,496,512,528,544,560,576,592,608,624,640,656,672,688,704,720,736,752,?..." bitfld.long 0x00 12.--13. " EXT_CASLAT ,Extended CAS latency" "0,8,16,24" bitfld.long 0x00 10. " EXT_ADD_LAT ,Extended additive latency" "0,16" newline bitfld.long 0x00 8. " EXT_WRREC ,Extended last data to precharge minimum interval" "0,16" bitfld.long 0x00 4. " EXT_CKE_PLS ,Extended CKE pulse" "0,8" bitfld.long 0x00 3. " EXT_FOUR_ACT ,Extended four activate" "0,64" line.long 0x04 "TIMING_CFG_0,DDR SDRAM Timing Configuration 0 Register" bitfld.long 0x04 30.--31. " RWT ,Read-to-write turnaround" "0,1,2,3" bitfld.long 0x04 28.--29. " WRT ,Write-to-read turnaround" "0,1,2,3" bitfld.long 0x04 26.--27. " RRT ,Read-to-read turnaround" "0,1,2,3" newline bitfld.long 0x04 24.--25. " WWT ,Write-to-write turnaround" "0,1,2,3" bitfld.long 0x04 20.--23. " ACT_PD_EXIT ,Active powerdown exit timing" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 16.--19. " PRE_PD_EXIT ,Precharge powerdown exit timing" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 14.--15. " EXT_PRE_PD_EXIT ,Extended precharge powerdown exit timing" "0,16,32,48" bitfld.long 0x04 0.--4. " MRS_CYC ,Mode register set cycle time" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" if ((per.l(ad:0x01080000+0x100)&0x100)==0x00) group.long 0x108++0x03 line.long 0x00 "TIMING_CFG_1,DDR SDRAM Timing Configuration 1 Register" bitfld.long 0x00 28.--31. " PRETOACT ,Precharge-to-activate interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " ACTTOPRE ,Activate to precharge interval" "16,17,18,19,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " ACTTORW ,Activate to read/write interval for SDRAM" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17.--19. " CASLAT ,CAS latency" "1,2,3,4,5,6,7,8" bitfld.long 0x00 12.--15. " REFREC ,Refresh recovery time" "8,9,10,11,,,,,,,,,,,,23" bitfld.long 0x00 8.--11. " WRREC ,Last data to precharge minimum interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " ACTTOACT ,Activate-to-activate interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " WRTORD ,Last write data pair to read command issue interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" else group.long 0x108++0x03 line.long 0x00 "TIMING_CFG_1,DDR SDRAM Timing Configuration 1 Register" bitfld.long 0x00 28.--31. " PRETOACT ,Precharge-to-activate interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " ACTTOPRE ,Activate to precharge interval" "16,17,18,19,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " ACTTORW ,Activate to read/write interval for SDRAM" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17.--19. " CASLAT ,CAS latency" "1,2,3,4,5,6,7,8" bitfld.long 0x00 12.--15. " REFREC ,Refresh recovery time" "8,9,10,11,,,,,,,,,,,,23" bitfld.long 0x00 8.--11. " WRREC ,Last data to precharge minimum interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " ACTTOACT ,Activate-to-activate interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " WRTORD ,Last write data pair to read command issue interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif if ((per.l(ad:0x01080000+0x10C)&0x40000)==0x00) group.long 0x10C++0x03 line.long 0x00 "TIMING_CFG_2,DDR SDRAM Timing Configuration 2 Register" bitfld.long 0x00 28.--31. " ADD_LAT ,Additive latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,?..." bitfld.long 0x00 19.--22. " WR_LAT ,Write latency" ",,,,,,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 18. " EXT_WR_LAT ,Extended write latency" "0,16" newline bitfld.long 0x00 13.--16. " RD_TO_PRE ,Read to precharge" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--12. " WR_DATA_DELAY ,Write command to write data strobe timing adjustment" "0,2,1/4,9/4,1/2,5/2,3/4,,1,,5/4,,3/2,,7/4,?..." bitfld.long 0x00 6.--8. " CKE_PLS ,Minimum CKE pulse width" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--5. " FOUR_ACT ,Four activate" ",1,2,3,4,,,,,,,,,,,,,,,,,,,,,,,,,,,31,32,?..." else group.long 0x10C++0x03 line.long 0x00 "TIMING_CFG_2,DDR SDRAM Timing Configuration 2 Register" bitfld.long 0x00 28.--31. " ADD_LAT ,Additive latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,?..." bitfld.long 0x00 19.--22. " WR_LAT ,Write latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 18. " EXT_WR_LAT ,Extended write latency" "0,16" newline bitfld.long 0x00 13.--16. " RD_TO_PRE ,Read to precharge" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--12. " WR_DATA_DELAY ,Write command to write data strobe timing adjustment" "0,2,1/4,9/4,1/2,5/2,3/4,,1,,5/4,,3/2,,7/4,?..." bitfld.long 0x00 6.--8. " CKE_PLS ,Minimum CKE pulse width" "8,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--5. " FOUR_ACT ,Four activate" ",1,2,3,4,,,,,,,,,,,,,,,,,,,,,,,,,,,31,32,?..." endif group.long 0x110++0x1B line.long 0x00 "DDR_SDRAM_CFG,DDR SDRAM Control Configuration Register" bitfld.long 0x00 31. " MEM_EN ,Memory controller enable" "Disabled,Enabled" bitfld.long 0x00 30. " SREN ,Self refresh enable" "Disabled,Enabled" bitfld.long 0x00 29. " ECC_EN ,ECC enable" "Disabled,Enabled" newline bitfld.long 0x00 28. " RD_EN ,Registered DIMM enable" "Disabled,Enabled" bitfld.long 0x00 24.--26. " SDRAM_TYPE ,SDRAM type" ",,,,,DDR4 SDRAM,?..." bitfld.long 0x00 21. " DYN_PWR ,Dynamic power management" "Disabled,Enabled" newline bitfld.long 0x00 19.--20. " DBW ,DRAM data bus width" "64 bit,32 bit,?..." bitfld.long 0x00 18. " BE_8 ,8 beat burst enable" "4-beat,8-beat" bitfld.long 0x00 16. " T3_EN ,Timing enable" "1T,3T" newline bitfld.long 0x00 15. " T2_EN ,2T timing enable" "1T,2T" hexmask.long.byte 0x00 8.--14. 1. " BA_INTLV_CTL ,Bank (chip select) interleaving control" bitfld.long 0x00 2. " ACC_ECC_EN ,Accumulated ECC enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " MEM_HALT ,Memory controller halt" "Accept new transactions,Finish any remaining transactions" bitfld.long 0x00 0. " BI ,Bypass initialization" "Not bypassed,Bypassed" line.long 0x04 "DDR_SDRAM_CFG_2,DDR SDRAM Control Configuration 2" bitfld.long 0x04 31. " FRC_SR ,Force self refresh" "Normal mode,Self-refresh mode" bitfld.long 0x04 12.--15. " NUM_PR ,Number of posted refreshes" ",1,2,3,,,,,8,?..." sif cpuis("LX2??0A") bitfld.long 0x04 10. " X4_EN ,x4 DRAM enable" "x8/x16,x4" endif newline bitfld.long 0x04 9. " QD_EN ,Quad-rank enable" "Disabled,Enabled" bitfld.long 0x04 8. " UNQ_MRS_EN ,Unique MRS enable" "Disabled,Enabled" bitfld.long 0x04 5. " AP_EN ,Address parity enable" "Disabled,Enabled" newline bitfld.long 0x04 4. " D_INIT ,DRAM data initialization" "Disabled,Enabled" bitfld.long 0x04 2. " RCW_EN ,Register control word enable" "Disabled,Enabled" bitfld.long 0x04 1. " CD_DIS ,Corrupted data disable" "No,Yes" newline bitfld.long 0x04 0. " MD_EN ,Mirrored DIMM enable" "Disabled,Enabled" line.long 0x08 "DDR_SDRAM_MODE,DDR SDRAM Mode Configuration" hexmask.long.word 0x08 16.--31. 1. " ESDMODE ,Extended SDRAM mode" hexmask.long.word 0x08 0.--15. 1. " SDRAM_MODE ,SDRAM mode" line.long 0x0C "DDR_SDRAM_MODE_2,DDR SDRAM Mode Configuration 2" hexmask.long.word 0x0C 16.--31. 1. " ESDMODE2 ,Extended SDRAM mode 2" hexmask.long.word 0x0C 0.--15. 1. " ESDMODE3 ,Extended SDRAM mode 3" line.long 0x10 "DDR_SDRAM_MD_CNTL,DDR SDRAM Mode Control" bitfld.long 0x10 31. " MD_EN ,Mode enable" "Disabled,Enabled" sif cpuis("LX2??0A") bitfld.long 0x10 28.--30. " CS_SEL ,Select chip select" "CS0,CS1,CS2,CS3,CS0 and CS1,CS2 and CS3,?..." else bitfld.long 0x10 28.--30. " CS_SEL ,Select chip select" "CS0,CS1,,,CS0 and CS1,CS2 and CS3,?..." endif bitfld.long 0x10 24.--27. " MD_SEL ,Mode register select" "MR,EMR,EMR2,EMR3,?..." newline bitfld.long 0x10 23. " SET_REF ,Set refresh" "Not set,Set" bitfld.long 0x10 22. " SET_PRE ,Set precharge" "No precharge,Precharge" bitfld.long 0x10 20.--21. " CKE_CNTL ,Clock enable control" "Not forced,Forced low,Forced high,?..." newline hexmask.long.tbyte 0x10 0.--17. 1. " MD_VALUE ,Mode register value" line.long 0x14 "DDR_SDRAM_INTERVAL,DDR SDRAM Interval Configuration" hexmask.long.word 0x14 16.--31. 1. " REFINT ,Refresh interval" hexmask.long.word 0x14 0.--13. 1. " BSTOPRE ,Precharge interval" line.long 0x18 "DDR_DATA_INIT,DDR SDRAM Data Initialization" group.long 0x160++0x07 line.long 0x00 "TIMING_CFG_4,DDR SDRAM Timing Configuration 4" bitfld.long 0x00 28.--31. " RWT ,Read-to-write turnaround for same chip select" "Default,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " WRT ,Write-to-read turnaround for same chip select" "Default,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " RRT ,Read-to-read turnaround for same chip select (BL/2+ n clocks)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " WWT ,Write-to-write turnaround for same chip select (BL/2+ n clocks)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. " EXT_RWT ,Extended read-to-write turnaround" "0,1,2,3" bitfld.long 0x00 12. " EXT_WRT ,Extended write-to-read turnaround" "0,4" newline bitfld.long 0x00 10. " EXT_RRT ,Extended read-to-read turnaround" "0,4" bitfld.long 0x00 8. " EXT_WWT ,Extended write-to-write turnaround" "0,4" bitfld.long 0x00 4. " EXT_REFINT ,Extended refresh interval" "0,65536" newline bitfld.long 0x00 0.--1. " DLL_LOCK ,DDR SDRAM DLL lock time" "200,512,1024,?..." line.long 0x04 "TIMING_CFG_5,DDR SDRAM Timing Configuration 5" bitfld.long 0x04 24.--28. " RODT_ON ,Read to ODT on" "CASLAT-WR_LAT,0,1,2,,,,,,,,,11,?..." bitfld.long 0x04 20.--22. " RODT_OFF ,Read to ODT off" "4,1,2,3,4,5,6,7" bitfld.long 0x04 12.--16. " WODT_ON ,Write to ODT on" "0,0,1,2,,,5,?..." newline bitfld.long 0x04 8.--10. " WODT_OFF ,Write to ODT off" "4,1,2,3,4,5,6,7" group.long 0x16C++0x07 line.long 0x00 "TIMING_CFG_7,DDR SDRAM Timing Configuration 7" bitfld.long 0x00 28.--29. " CKE_RST ,CKE reset time" "200,256,512,1024" bitfld.long 0x00 24.--27. " CKSRE ,Clock after self refresh entry" "15,6,7,8,9,10,11,12,13,14,15,16,17,18,19,32" bitfld.long 0x00 20.--23. " CKSRX ,Clock after self refresh exit" "15,6,7,8,9,10,11,12,13,14,15,16,17,18,19,27" newline bitfld.long 0x00 16.--19. " PAR_LAT ,Parity latency" "Disabled,1,2,3,4,5,6,7,8,?..." line.long 0x04 "DDR_ZQ_CNTL,DDR ZQ Calibration Control" bitfld.long 0x04 31. " ZQ_EN ,ZQ calibration enable" "Disabled,Enabled" bitfld.long 0x04 24.--27. " ZQINIT ,ZQ calibration initialization time" ",,,,,,,128,256,512,1024,?..." bitfld.long 0x04 16.--19. " ZQOPER ,ZQ calibration operation time" ",,,,,,,128,256,512,1024,?..." newline bitfld.long 0x04 8.--11. " ZQCS ,ZQ calibration short time" "1,2,4,8,16,32,64,128,256,512,?..." bitfld.long 0x04 0.--3. " ZQCS_INT ,ZQCS interval" "32 ref,64 ref,128 ref,256 ref,512 ref,1024 ref,2048 ref,4096 ref,8192 ref,16384 ref,32768 ref,,,,,ZQCS calibration disabled" group.long 0x17C++0x0B line.long 0x00 "DDR_SR_CNTR,DDR Self Refresh Counter" bitfld.long 0x00 16.--19. " SR_IT ,Self refresh idle threshold" "Disabled,2^10,2^12,2^14,2^16,2^18,2^20,2^22,2^24,2^26,2^28,2^30,?..." line.long 0x04 "DDR_SDRAM_RCW_1,DDR Control Words 1 Register" bitfld.long 0x04 28.--31. " RCW[0] ,Register control word 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 24.--27. " [1] ,Register control word 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 20.--23. " [2] ,Register control word 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 16.--19. " [3] ,Register control word 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 12.--15. " [4] ,Register control word 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. " [5] ,Register control word 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 4.--7. " [6] ,Register control word 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " [7] ,Register control word 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "DDR_SDRAM_RCW_2,DDR Control Words 2 Register" bitfld.long 0x08 28.--31. " RCW[8] ,Register control word 8" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. " [9] ,Register control word 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 20.--23. " [10] ,Register control word 10" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 16.--19. " [11] ,Register control word 11" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 12.--15. " [12] ,Register control word 12" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 8.--11. " [13] ,Register control word 13" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 4.--7. " [14] ,Register control word 14" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 0.--3. " [15] ,Register control word 15" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1A0++0x0F line.long 0x00 "DDR_SDRAM_RCW_3,DDR Control Words 3 Register" hexmask.long.byte 0x00 24.--31. 1. " RCW1X ,Register control word 1X" hexmask.long.byte 0x00 16.--23. 1. " RCW2X ,Register control word 2X" hexmask.long.byte 0x00 8.--15. 1. " RCW3X ,Register control word 3X" newline hexmask.long.byte 0x00 0.--7. 1. " RCW4X ,Register control word 4X" line.long 0x04 "DDR_SDRAM_RCW_4,DDR Control Words 4 Register" hexmask.long.byte 0x04 24.--31. 1. " RCW5X ,Register control word 5X" hexmask.long.byte 0x04 16.--23. 1. " RCW6X ,Register control word 6X" hexmask.long.byte 0x04 8.--15. 1. " RCW7X ,Register control word 7X" newline hexmask.long.byte 0x04 0.--7. 1. " RCW8X ,Register control word 8X" line.long 0x08 "DDR_SDRAM_RCW_5,DDR Control Words 5 Register" hexmask.long.byte 0x08 24.--31. 1. " RCW9X ,Register control word 9X" hexmask.long.byte 0x08 16.--23. 1. " RCW10X ,Register control word 10X" hexmask.long.byte 0x08 8.--15. 1. " RCW11X ,Register control word 11X" newline hexmask.long.byte 0x08 0.--7. 1. " RCW12X ,Register control word 12X" line.long 0x0C "DDR_SDRAM_RCW_6,DDR Control Words 6 Register" hexmask.long.byte 0x0C 24.--31. 1. " RCW13X ,Register control word 13X" hexmask.long.byte 0x0C 16.--23. 1. " RCW14X ,Register control word 14X" hexmask.long.byte 0x0C 8.--15. 1. " RCW15X ,Register control word 15X" group.long 0x200++0x17 line.long 0x00 "DDR_SDRAM_MODE_3,DDR SDRAM Mode Configuration 3 Register" hexmask.long.word 0x00 16.--31. 1. " ESDMODE ,Extended SDRAM mode" hexmask.long.word 0x00 0.--15. 1. " SDMODE ,SDRAM mode" line.long 0x04 "DDR_SDRAM_MODE_4,DDR SDRAM Mode Configuration 4 Register" hexmask.long.word 0x04 16.--31. 1. " ESDMODE2 ,Extended SDRAM mode 2" hexmask.long.word 0x04 0.--15. 1. " ESDMODE3 ,Extended SDRAM mode 3" line.long 0x08 "DDR_SDRAM_MODE_5,DDR SDRAM Mode Configuration 5 Register" hexmask.long.word 0x08 16.--31. 1. " ESDMODE ,Extended SDRAM mode" hexmask.long.word 0x08 0.--15. 1. " SDMODE ,SDRAM mode" line.long 0x0C "DDR_SDRAM_MODE_6,DDR SDRAM Mode Configuration 6 Register" hexmask.long.word 0x0C 16.--31. 1. " ESDMODE2 ,Extended SDRAM mode 2" hexmask.long.word 0x0C 0.--15. 1. " ESDMODE3 ,Extended SDRAM mode 3" line.long 0x10 "DDR_SDRAM_MODE_7,DDR SDRAM Mode Configuration 7 Register" hexmask.long.word 0x10 16.--31. 1. " ESDMODE ,Extended SDRAM mode" hexmask.long.word 0x10 0.--15. 1. " SDMODE ,SDRAM mode" line.long 0x14 "DDR_SDRAM_MODE_8,DDR SDRAM Mode Configuration 8 Register" hexmask.long.word 0x14 16.--31. 1. " ESDMODE2 ,Extended SDRAM mode 2" hexmask.long.word 0x14 0.--15. 1. " ESDMODE3 ,Extended SDRAM mode 3" group.long 0x220++0x1F line.long 0x00 "DDR_SDRAM_MODE_9,DDR SDRAM Mode Configuration 9 Register" hexmask.long.word 0x00 16.--31. 1. " ESDMODE4 ,Extended SDRAM mode 4" hexmask.long.word 0x00 0.--15. 1. " ESDMODE5 ,Extended SDRAM mode 5" line.long 0x04 "DDR_SDRAM_MODE_10,DDR SDRAM Mode Configuration 10 Register" hexmask.long.word 0x04 16.--31. 1. " ESDMODE6 ,Extended SDRAM mode 6" hexmask.long.word 0x04 0.--15. 1. " ESDMODE7 ,Extended SDRAM mode 7" line.long 0x08 "DDR_SDRAM_MODE_11,DDR SDRAM Mode Configuration 11 Register" hexmask.long.word 0x08 16.--31. 1. " ESDMODE4 ,Extended SDRAM mode 4" hexmask.long.word 0x08 0.--15. 1. " ESDMODE5 ,Extended SDRAM mode 5" line.long 0x0C "DDR_SDRAM_MODE_12,DDR SDRAM Mode Configuration 12 Register" hexmask.long.word 0x0C 16.--31. 1. " ESDMODE6 ,Extended SDRAM mode 6" hexmask.long.word 0x0C 0.--15. 1. " ESDMODE7 ,Extended SDRAM mode 7" line.long 0x10 "DDR_SDRAM_MODE_13,DDR SDRAM Mode Configuration 13 Register" hexmask.long.word 0x10 16.--31. 1. " ESDMODE4 ,Extended SDRAM mode 4" hexmask.long.word 0x10 0.--15. 1. " ESDMODE5 ,Extended SDRAM mode 5" line.long 0x14 "DDR_SDRAM_MODE_14,DDR SDRAM Mode Configuration 14 Register" hexmask.long.word 0x14 16.--31. 1. " ESDMODE6 ,Extended SDRAM mode 6" hexmask.long.word 0x14 0.--15. 1. " ESDMODE7 ,Extended SDRAM mode 7" line.long 0x18 "DDR_SDRAM_MODE_15,DDR SDRAM Mode Configuration 15 Register" hexmask.long.word 0x18 16.--31. 1. " ESDMODE4 ,Extended SDRAM mode 4" hexmask.long.word 0x18 0.--15. 1. " ESDMODE5 ,Extended SDRAM mode 5" line.long 0x1C "DDR_SDRAM_MODE_16,DDR SDRAM Mode Configuration 16 Register" hexmask.long.word 0x1C 16.--31. 1. " ESDMODE6 ,Extended SDRAM mode 6" hexmask.long.word 0x1C 0.--15. 1. " ESDMODE7 ,Extended SDRAM mode 7" group.long 0x250++0x03 line.long 0x00 "TIMING_CFG_8,DDR SDRAM Timing Configuration 8 Register" bitfld.long 0x00 28.--31. " RWT_BG ,Read-to-write turnaround for same chip select and same bank group" "Default,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " WRT_BG ,Write-to-read turnaround for same chip select and same bank group" "Default,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " RRT_BG ,Read-to-read turnaround for same chip select and same bank group (BL/2+ n clocks)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " WWT_BG ,Write-to-write turnaround for same chip select and same bank group (BL/2+ n clocks)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " ACTTOACT_BG ,Activate-to-activate interval for the same bank group" "Unused,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " WRTORD_BG ,Last write data pair to read command issue interval for the same bank group" "Unused,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. " EXT_WRTORD_BG ,Adds 16 clk cycles between last write data pair and the subsequent read command to the same physical bank" "Not added,Added" bitfld.long 0x00 0.--4. " PRE_ALL_REC ,Precharge all-to-activate interval" "PRETOACT,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x258++0x03 line.long 0x00 "TIMING_CFG_10,DDR SDRAM Timing Configuration 10 Register" hexmask.long.word 0x00 0.--14. 1. " T_STAB ,T-stab wait time value" group.long 0x260++0x03 line.long 0x00 "DDR_SDRAM_CFG_3,DDR SDRAM Control Configuration 3 Register" bitfld.long 0x00 31. " DDRC_RST ,DDR controller reset" "No reset,Reset" bitfld.long 0x00 30. " ECC_FIX_EN ,ECC fixing enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " ECC_SCRUB_INT ,ECC scrubbing interval (every n seq)" "Not issued,1,2,4,8,16,32,64,128,256,512,1024,2048,4096,8192,16384" newline bitfld.long 0x00 17. " WR_PRE ,Write preamble" "1 tCK,2 tCK" bitfld.long 0x00 16. " RD_PRE ,Read preamble" "1 tCK,2 tCK" bitfld.long 0x00 12.--13. " DM_CFG ,Data mask config" "Normal data masks,,Data bus inversion,Held high" newline bitfld.long 0x00 8.--9. " REF_MODE ,Refresh mode" "Disabled,2x fine,4x fine,?..." bitfld.long 0x00 1. " SR_FAST_WK_EN ,Self refresh fast wakeup enable" "Disabled,Enabled" bitfld.long 0x00 0. " DIS_MRS_PAR ,Disable MRS on parity error" "No,Yes" group.long 0x400++0x0F line.long 0x00 "DDR_DQ_MAP0,DQ Mapping Register 0 Register" bitfld.long 0x00 26.--31. " DQ_0_3 ,DQ[0:3] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x00 20.--25. " DQ_4_7 ,DQ[4:7] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x00 14.--19. " DQ_8_11 ,DQ[8:11] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." newline bitfld.long 0x00 8.--13. " DQ_12_15 ,DQ[12:15] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x00 2.--7. " DQ_16_19 ,DQ[16:19] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." line.long 0x04 "DDR_DQ_MAP1,DQ Mapping Register 1 Register" bitfld.long 0x04 26.--31. " DQ_20_23 ,DQ[20:23] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x04 20.--25. " DQ_24_27 ,DQ[24:27] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x04 14.--19. " DQ_28_31 ,DQ[28:31] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." newline bitfld.long 0x04 8.--13. " DQ_32_35 ,DQ[32:35] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x04 2.--7. " DQ_36_39 ,DQ[36:39] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." line.long 0x08 "DDR_DQ_MAP2,DQ Mapping Register 2 Register" bitfld.long 0x08 26.--31. " DQ_40_43 ,DQ[40:43] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x08 20.--25. " DQ_44_47 ,DQ[44:47] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x08 14.--19. " DQ_48_51 ,DQ[48:51] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." newline bitfld.long 0x08 8.--13. " DQ_52_55 ,DQ[52:55] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x08 2.--7. " DQ_56_59 ,DQ[56:59] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." line.long 0x0C "DDR_DQ_MAP3,DQ Mapping Register 3 Register" bitfld.long 0x0C 26.--31. " DQ_60_63 ,DQ[60:63] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x0C 20.--25. " ECC_0_3 ,ECC[0:3] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x0C 14.--19. " ECC_4_7 ,ECC[4:7] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." newline bitfld.long 0x0C 0. " ORS ,Odd rank swizzle" "Low,High" group.long 0xB24++0x03 line.long 0x00 "DDRDSR_2,DDR Debug Status 2 Register" eventfld.long 0x00 2. " PHY_INIT_CMP_LT ,DDR Phy initialization status" "Not completed,Completed" eventfld.long 0x00 1. " RPD_ST ,Rapid clear of memory start" "Not started,Started" eventfld.long 0x00 0. " RPD_END ,Rapid clear of memory end" "Not ended,Ended" rgroup.long 0xBF8++0x07 line.long 0x00 "DDR_IP_REV1,DDR IP Block Revision 1" hexmask.long.word 0x00 16.--31. 1. " IP_ID ,IP block ID" hexmask.long.byte 0x00 8.--15. 1. " IP_MJ ,Major revision" hexmask.long.byte 0x00 0.--7. 1. " IP_MN ,Minor revision" line.long 0x04 "DDR_IP_REV2,DDR IP Block Revision 2" hexmask.long.byte 0x04 16.--23. 1. " IP_INT ,IP block integration options" hexmask.long.byte 0x04 0.--7. 1. " IP_CFG ,IP block configuration options" group.long 0xD00++0x03 line.long 0x00 "DDR_MTCR,DDR Memory Test Control Register" bitfld.long 0x00 31. " MT_EN ,Memory test enable" "Disabled,Enabled" bitfld.long 0x00 24.--25. " MT_TYP ,Memory test type" "Writes and reads,Writes only,Reads only,?..." newline bitfld.long 0x00 16.--19. " MT_TRNARND ,Memory test turnaround" "Entire mem write then read,1 transaction each,2 transaction each,4 transaction each,?..." newline bitfld.long 0x00 9. " MT_ADDR_EN ,Memory test address range enable" "Disabled,Enabled" bitfld.long 0x00 0. " MT_STAT ,Memory test status" "No fail,Fail" group.long 0xD20++0x03 line.long 0x00 "DDR_MTP0,DDR Memory Test Pattern 0 Register" group.long 0xD24++0x03 line.long 0x00 "DDR_MTP1,DDR Memory Test Pattern 1 Register" group.long 0xD28++0x03 line.long 0x00 "DDR_MTP2,DDR Memory Test Pattern 2 Register" group.long 0xD2C++0x03 line.long 0x00 "DDR_MTP3,DDR Memory Test Pattern 3 Register" group.long 0xD30++0x03 line.long 0x00 "DDR_MTP4,DDR Memory Test Pattern 4 Register" group.long 0xD34++0x03 line.long 0x00 "DDR_MTP5,DDR Memory Test Pattern 5 Register" group.long 0xD38++0x03 line.long 0x00 "DDR_MTP6,DDR Memory Test Pattern 6 Register" group.long 0xD3C++0x03 line.long 0x00 "DDR_MTP7,DDR Memory Test Pattern 7 Register" group.long 0xD40++0x03 line.long 0x00 "DDR_MTP8,DDR Memory Test Pattern 8 Register" group.long 0xD44++0x03 line.long 0x00 "DDR_MTP9,DDR Memory Test Pattern 9 Register" group.long 0xD60++0x0F line.long 0x00 "DDR_MT_ST_EXT_ADDR,DDR Memory Test Start Extended Address" hexmask.long.byte 0x00 0.--7. 0x01 " MT_ST_EXT_ADDR ,This field represents the starting extended address" line.long 0x04 "DDR_MT_ST_ADDR,DDR Memory Test Start Address" line.long 0x08 "DDR_MT_END_EXT_ADDR,DDR Memory Test End Extended Address" hexmask.long.byte 0x08 0.--7. 0x01 " MT_END_EXT_ADDR ,This field represents the ending extended address" line.long 0x0C "DDR_MT_END_ADDR,DDR Memory Test End Address" group.long 0xE00++0x0B line.long 0x00 "DATA_ERR_INJECT_HI,Memory Data Path Error Injection Mask High" bitfld.long 0x00 31. " EEIMH[31] ,Error injection mask high data path bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Error injection mask high data path bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Error injection mask high data path bit 29" "Not masked,Masked" newline bitfld.long 0x00 28. " [28] ,Error injection mask high data path bit 28" "Not masked,Masked" bitfld.long 0x00 27. " [27] ,Error injection mask high data path bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Error injection mask high data path bit 26" "Not masked,Masked" newline bitfld.long 0x00 25. " [25] ,Error injection mask high data path bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Error injection mask high data path bit 24" "Not masked,Masked" bitfld.long 0x00 23. " [23] ,Error injection mask high data path bit 23" "Not masked,Masked" newline bitfld.long 0x00 22. " [22] ,Error injection mask high data path bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Error injection mask high data path bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Error injection mask high data path bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Error injection mask high data path bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Error injection mask high data path bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Error injection mask high data path bit 17" "Not masked,Masked" newline bitfld.long 0x00 16. " [16] ,Error injection mask high data path bit 16" "Not masked,Masked" bitfld.long 0x00 15. " [15] ,Error injection mask high data path bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Error injection mask high data path bit 14" "Not masked,Masked" newline bitfld.long 0x00 13. " [13] ,Error injection mask high data path bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Error injection mask high data path bit 12" "Not masked,Masked" bitfld.long 0x00 11. " [11] ,Error injection mask high data path bit 11" "Not masked,Masked" newline bitfld.long 0x00 10. " [10] ,Error injection mask high data path bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Error injection mask high data path bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Error injection mask high data path bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Error injection mask high data path bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Error injection mask high data path bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Error injection mask high data path bit 5" "Not masked,Masked" newline bitfld.long 0x00 4. " [4] ,Error injection mask high data path bit 4" "Not masked,Masked" bitfld.long 0x00 3. " [3] ,Error injection mask high data path bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Error injection mask high data path bit 2" "Not masked,Masked" newline bitfld.long 0x00 1. " [1] ,Error injection mask high data path bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Error injection mask high data path bit 0" "Not masked,Masked" line.long 0x04 "DATA_ERR_INJECT_LO,Memory Data Path Error Injection Mask Low" bitfld.long 0x04 31. " EEIML[31] ,Error injection mask low data path bit 31" "Not masked,Masked" bitfld.long 0x04 30. " [30] ,Error injection mask low data path bit 30" "Not masked,Masked" bitfld.long 0x04 29. " [29] ,Error injection mask low data path bit 29" "Not masked,Masked" newline bitfld.long 0x04 28. " [28] ,Error injection mask low data path bit 28" "Not masked,Masked" bitfld.long 0x04 27. " [27] ,Error injection mask low data path bit 27" "Not masked,Masked" bitfld.long 0x04 26. " [26] ,Error injection mask low data path bit 26" "Not masked,Masked" newline bitfld.long 0x04 25. " [25] ,Error injection mask low data path bit 25" "Not masked,Masked" bitfld.long 0x04 24. " [24] ,Error injection mask low data path bit 24" "Not masked,Masked" bitfld.long 0x04 23. " [23] ,Error injection mask low data path bit 23" "Not masked,Masked" newline bitfld.long 0x04 22. " [22] ,Error injection mask low data path bit 22" "Not masked,Masked" bitfld.long 0x04 21. " [21] ,Error injection mask low data path bit 21" "Not masked,Masked" bitfld.long 0x04 20. " [20] ,Error injection mask low data path bit 20" "Not masked,Masked" newline bitfld.long 0x04 19. " [19] ,Error injection mask low data path bit 19" "Not masked,Masked" bitfld.long 0x04 18. " [18] ,Error injection mask low data path bit 18" "Not masked,Masked" bitfld.long 0x04 17. " [17] ,Error injection mask low data path bit 17" "Not masked,Masked" newline bitfld.long 0x04 16. " [16] ,Error injection mask low data path bit 16" "Not masked,Masked" bitfld.long 0x04 15. " [15] ,Error injection mask low data path bit 15" "Not masked,Masked" bitfld.long 0x04 14. " [14] ,Error injection mask low data path bit 14" "Not masked,Masked" newline bitfld.long 0x04 13. " [13] ,Error injection mask low data path bit 13" "Not masked,Masked" bitfld.long 0x04 12. " [12] ,Error injection mask low data path bit 12" "Not masked,Masked" bitfld.long 0x04 11. " [11] ,Error injection mask low data path bit 11" "Not masked,Masked" newline bitfld.long 0x04 10. " [10] ,Error injection mask low data path bit 10" "Not masked,Masked" bitfld.long 0x04 9. " [9] ,Error injection mask low data path bit 9" "Not masked,Masked" bitfld.long 0x04 8. " [8] ,Error injection mask low data path bit 8" "Not masked,Masked" newline bitfld.long 0x04 7. " [7] ,Error injection mask low data path bit 7" "Not masked,Masked" bitfld.long 0x04 6. " [6] ,Error injection mask low data path bit 6" "Not masked,Masked" bitfld.long 0x04 5. " [5] ,Error injection mask low data path bit 5" "Not masked,Masked" newline bitfld.long 0x04 4. " [4] ,Error injection mask low data path bit 4" "Not masked,Masked" bitfld.long 0x04 3. " [3] ,Error injection mask low data path bit 3" "Not masked,Masked" bitfld.long 0x04 2. " [2] ,Error injection mask low data path bit 2" "Not masked,Masked" newline bitfld.long 0x04 1. " [1] ,Error injection mask low data path bit 1" "Not masked,Masked" bitfld.long 0x04 0. " [0] ,Error injection mask low data path bit 0" "Not masked,Masked" line.long 0x08 "ECC_ERR_INJECT,Memory Data Path Error Injection Mask ECC" bitfld.long 0x08 16. " APIEN ,Address parity error injection enable" "Disabled,Enabled" bitfld.long 0x08 9. " EMB ,ECC mirror byte enable" "Disabled,Enabled" bitfld.long 0x08 8. " EIEN ,Error injection enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " EEIM[7] ,ECC error injection mask bit 7" "Not masked,Masked" bitfld.long 0x08 6. " [6] ,ECC error injection mask bit 6" "Not masked,Masked" bitfld.long 0x08 5. " [5] ,ECC error injection mask bit 5" "Not masked,Masked" newline bitfld.long 0x08 4. " [4] ,ECC error injection mask bit 4" "Not masked,Masked" bitfld.long 0x08 3. " [3] ,ECC error injection mask bit 3" "Not masked,Masked" bitfld.long 0x08 2. " [2] ,ECC error injection mask bit 2" "Not masked,Masked" newline bitfld.long 0x08 1. " [1] ,ECC error injection mask bit 1" "Not masked,Masked" bitfld.long 0x08 0. " [0] ,ECC error injection mask bit 0" "Not masked,Masked" group.long 0xE20++0x0B line.long 0x00 "CAPTURE_DATA_HI,Memory Data Path Read Capture High" line.long 0x04 "CAPTURE_DATA_LO,Memory Data Path Read Capture Low" line.long 0x08 "CAPTURE_ECC,Memory Data Path Read Capture ECC" group.long 0xE40++0x1B line.long 0x00 "ERR_DETECT,Memory Error Detect" eventfld.long 0x00 31. " MME ,Multiple memory errors" "No error,Error" eventfld.long 0x00 20. " CFGWRE ,Configuration write error checking" "Disabled,Enabled" eventfld.long 0x00 12. " SSBE ,Scrubbed single-bit ECC error" "No error,Error" newline eventfld.long 0x00 8. " APE ,Address parity error" "No error,Error" eventfld.long 0x00 7. " ACE ,Automatic calibration error" "No error,Error" eventfld.long 0x00 4. " CDE ,Corrupted data error" "No error,Error" newline eventfld.long 0x00 3. " MBE ,Multiple-bit error" "No error,Error" eventfld.long 0x00 2. " SBE ,Single-bit ECC error" "No error,Error" eventfld.long 0x00 0. " MSE ,Memory select error" "No error,Error" line.long 0x04 "ERR_DISABLE,Memory Error Disable" bitfld.long 0x04 20. " CFGWRED ,Configuration write error disable" "No,Yes" bitfld.long 0x04 12. " SSBED ,Scrubbed single-bit ECC error disable" "No,Yes" bitfld.long 0x04 8. " APED ,Address parity error disable" "No,Yes" newline bitfld.long 0x04 7. " ACED ,Automatic calibration error disable" "No,Yes" bitfld.long 0x04 4. " CDED ,Corrupted data error disable" "No,Yes" bitfld.long 0x04 3. " MBED ,Multiple-bit ECC error disable" "No,Yes" newline bitfld.long 0x04 2. " SBED ,Single-bit ECC error disable" "No,Yes" bitfld.long 0x04 0. " MSED ,Memory select error disable" "No,Yes" line.long 0x08 "ERR_INT_EN,Memory Error Interrupt Enable" bitfld.long 0x08 20. " CFGWRED ,Configuration write error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 12. " SSBEE ,Scrubbed single-bit ECC error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 8. " APEE ,Address parity error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " ACEE ,Automatic calibration error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 4. " CDEE ,Corrupted data error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 3. " MBEE ,Multiple-bit ECC error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 2. " SBEE ,Single-bit ECC error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 0. " MSEE ,Memory select error interrupt enable" "Disabled,Enabled" line.long 0x0C "CAPTURE_ATTRIBUTES,Memory Error Attributes Capture" bitfld.long 0x0C 28.--30. " BNUM ,Data beat number" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 24.--26. " TSIZ ,Transaction size for the error in double words" "8,1,2,3,4,5,6,7" hexmask.long.byte 0x0C 16.--23. 1. " TSRC ,Transaction source for the error" newline bitfld.long 0x0C 12.--13. " TTYP ,Transaction type for the error" ",Write,Read,Read-modify-write" newline bitfld.long 0x0C 0. " VLD ,Valid" "Not valid,Valid" line.long 0x10 "CAPTURE_ADDRESS,Memory Error Address Capture" line.long 0x14 "CAPTURE_EXT_ADDRESS,Memory Error Extended Address Capture" hexmask.long.byte 0x14 0.--7. 0x01 " CEADDR ,Captured extended address" line.long 0x18 "ERR_SBE,Single-Bit ECC Memory Error Management" hexmask.long.byte 0x18 24.--31. 1. " SSBET ,Scrubbed single-bit error threshold" hexmask.long.byte 0x18 16.--23. 1. " SBET ,Single-bit error threshold" hexmask.long.byte 0x18 8.--15. 1. " SSBEC ,Scrubbed single-bit error counter" newline hexmask.long.byte 0x18 0.--7. 1. " SBEC ,Single-bit error counter" width 0x0B tree.end sif cpuis("LX2??0A") tree "DDR2" base ad:0x01090000 width 21. group.long 0x00++0x03 line.long 0x00 "CS0_BNDS,Chip Select 0 Memory Bounds Register" hexmask.long.word 0x00 16.--31. 0x01 " SA ,Starting address" hexmask.long.word 0x00 0.--15. 0x01 " EA ,Ending address" group.long 0x08++0x03 line.long 0x00 "CS1_BNDS,Chip Select 1 Memory Bounds Register" hexmask.long.word 0x00 16.--31. 0x01 " SA ,Starting address" hexmask.long.word 0x00 0.--15. 0x01 " EA ,Ending address" sif cpuis("LX2??0A") group.long 0x10++0x03 line.long 0x00 "CS2_BNDS,Chip Select 2 Memory Bounds Register" hexmask.long.word 0x00 16.--31. 0x01 " SA ,Starting address" hexmask.long.word 0x00 0.--15. 0x01 " EA ,Ending address" group.long 0x18++0x03 line.long 0x00 "CS3_BNDS,Chip Select 3 Memory Bounds Register" hexmask.long.word 0x00 16.--31. 0x01 " SA ,Starting address" hexmask.long.word 0x00 0.--15. 0x01 " EA ,Ending address" endif group.long 0x80++0x07 line.long 0x00 "CS0_CONFIG,Chip Select 0 Configuration Register" bitfld.long 0x00 31. " CS_EN ,Chip select 0 enable" "Not active,Active" newline sif cpuis("LX2??0A") bitfld.long 0x00 29. " INTLV_EN ,Memory controller interleave enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " INTLV_CTL ,Interleaving control" ",,,,,,,,256-byte,?..." newline endif bitfld.long 0x00 23. " AP_EN ,Chip select 0 auto-precharge enable" "Disabled,Enabled" newline sif cpuis("LX2??0A") bitfld.long 0x00 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS0,On reads to other chip selects,On reads to other DIMM,All reads,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x00 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS0,On writes to other chip selects,On writes to other DIMM,All writes,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline else bitfld.long 0x00 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS0,On reads to other chip selects,,All reads,?..." newline bitfld.long 0x00 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS0,On writes to other chip selects,,All writes,?..." newline endif bitfld.long 0x00 8.--10. " ROW_BITS_CS ,Number of row bits for SDRAM on chip select 0" "12,13,14,15,16,17,18,?..." bitfld.long 0x00 4.--5. " BG_BITS_CS ,Number of bank group bits for SDRAM on chip select 0" "0,1,2,?..." bitfld.long 0x00 0.--2. " COL_BITS_CS ,Number of column bits for SDRAM on chip select 0" "8,9,10,11,,,,7" line.long 0x04 "CS1_CONFIG,Chip Select 1 Configuration Register" bitfld.long 0x04 31. " CS_EN ,Chip select 1 enable" "Not active,Active" bitfld.long 0x04 23. " AP_EN ,Chip select 1 auto-precharge enable" "Disabled,Enabled" newline sif cpuis("LX2??0A") bitfld.long 0x04 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS0,On reads to other chip selects,On reads to other DIMM,All reads,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x04 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS0,On writes to other chip selects,On writes to other DIMM,All writes,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline else bitfld.long 0x04 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS0,On reads to other chip selects,,All reads,?..." newline bitfld.long 0x04 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS0,On writes to other chip selects,,All writes,?..." newline endif bitfld.long 0x04 8.--10. " ROW_BITS_CS ,Number of row bits for SDRAM on chip select 1" "12,13,14,15,16,17,18,?..." bitfld.long 0x04 4.--5. " BG_BITS_CS ,Number of bank group bits for SDRAM on chip select 1" "0,1,2,?..." bitfld.long 0x04 0.--2. " COL_BITS_CS ,Number of column bits for SDRAM on chip select 1" "8,9,10,11,,,,7" sif cpuis("LX2??0A") group.long 0x88++0x07 line.long 0x00 "CS2_CONFIG,Chip Select 2 Configuration Register" bitfld.long 0x00 31. " CS_EN ,Chip select 2 enable" "Not active,Active" bitfld.long 0x00 23. " AP_EN ,Chip select 2 auto-precharge enable" "Disabled,Enabled" newline bitfld.long 0x00 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS2,On reads to other chip selects,On reads to other DIMM,All reads,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x00 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS2,On writes to other chip selects,On writes to other DIMM,All writes,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x00 8.--10. " ROW_BITS_CS ,Number of row bits for SDRAM on chip select 2" "12,13,14,15,16,17,18,?..." bitfld.long 0x00 4.--5. " BG_BITS_CS ,Number of bank group bits for SDRAM on chip select 2" "0,1,2,?..." bitfld.long 0x00 0.--2. " COL_BITS_CS ,Number of column bits for SDRAM on chip select 2" "8,9,10,11,,,,7" line.long 0x04 "CS3_CONFIG,Chip Select 3 Configuration Register" bitfld.long 0x04 31. " CS_EN ,Chip select 3 enable" "Not active,Active" bitfld.long 0x04 23. " AP_EN ,Chip select 3 auto-precharge enable" "Disabled,Enabled" newline bitfld.long 0x04 20.--22. " ODT_RD_CFG ,ODT for reads configuration" "Never,On reads to CS3,On reads to other chip selects,On reads to other DIMM,All reads,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x04 16.--18. " ODT_WR_CFG ,ODT for writes configuration" "Never,On writes to CS3,On writes to other chip selects,On writes to other DIMM,All writes,On transactions to same DIMM,On transactions to own CS/other DIMM,On transactions to other CS/same DIMM" newline bitfld.long 0x04 8.--10. " ROW_BITS_CS ,Number of row bits for SDRAM on chip select 3" "12,13,14,15,16,17,18,?..." bitfld.long 0x04 4.--5. " BG_BITS_CS ,Number of bank group bits for SDRAM on chip select 3" "0,1,2,?..." bitfld.long 0x04 0.--2. " COL_BITS_CS ,Number of column bits for SDRAM on chip select 3" "8,9,10,11,,,,7" endif group.long 0x100++0x07 line.long 0x00 "TIMING_CFG_3,DDR SDRAM Timing Configuration 3 Register" bitfld.long 0x00 28. " EXT_PRETOACT ,Extended precharge-to-activate interval" "0,16" bitfld.long 0x00 24.--25. " EXT_ACTTOPRE ,Extended activate to precharge interval" "0,16,32,48" bitfld.long 0x00 22. " EXT_ACTTORW ,Extended activate to read/write interval for SDRAM" "0,1" newline bitfld.long 0x00 16.--21. " EXT_REFREC ,Extended refresh recovery time" "0,16,32,48,64,80,96,112,128,144,160,176,192,208,224,240,256,272,288,304,320,336,352,368,384,400,416,432,448,464,480,496,512,528,544,560,576,592,608,624,640,656,672,688,704,720,736,752,?..." bitfld.long 0x00 12.--13. " EXT_CASLAT ,Extended CAS latency" "0,8,16,24" bitfld.long 0x00 10. " EXT_ADD_LAT ,Extended additive latency" "0,16" newline bitfld.long 0x00 8. " EXT_WRREC ,Extended last data to precharge minimum interval" "0,16" bitfld.long 0x00 4. " EXT_CKE_PLS ,Extended CKE pulse" "0,8" bitfld.long 0x00 3. " EXT_FOUR_ACT ,Extended four activate" "0,64" line.long 0x04 "TIMING_CFG_0,DDR SDRAM Timing Configuration 0 Register" bitfld.long 0x04 30.--31. " RWT ,Read-to-write turnaround" "0,1,2,3" bitfld.long 0x04 28.--29. " WRT ,Write-to-read turnaround" "0,1,2,3" bitfld.long 0x04 26.--27. " RRT ,Read-to-read turnaround" "0,1,2,3" newline bitfld.long 0x04 24.--25. " WWT ,Write-to-write turnaround" "0,1,2,3" bitfld.long 0x04 20.--23. " ACT_PD_EXIT ,Active powerdown exit timing" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 16.--19. " PRE_PD_EXIT ,Precharge powerdown exit timing" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 14.--15. " EXT_PRE_PD_EXIT ,Extended precharge powerdown exit timing" "0,16,32,48" bitfld.long 0x04 0.--4. " MRS_CYC ,Mode register set cycle time" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" if ((per.l(ad:0x01090000+0x100)&0x100)==0x00) group.long 0x108++0x03 line.long 0x00 "TIMING_CFG_1,DDR SDRAM Timing Configuration 1 Register" bitfld.long 0x00 28.--31. " PRETOACT ,Precharge-to-activate interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " ACTTOPRE ,Activate to precharge interval" "16,17,18,19,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " ACTTORW ,Activate to read/write interval for SDRAM" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17.--19. " CASLAT ,CAS latency" "1,2,3,4,5,6,7,8" bitfld.long 0x00 12.--15. " REFREC ,Refresh recovery time" "8,9,10,11,,,,,,,,,,,,23" bitfld.long 0x00 8.--11. " WRREC ,Last data to precharge minimum interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " ACTTOACT ,Activate-to-activate interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " WRTORD ,Last write data pair to read command issue interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" else group.long 0x108++0x03 line.long 0x00 "TIMING_CFG_1,DDR SDRAM Timing Configuration 1 Register" bitfld.long 0x00 28.--31. " PRETOACT ,Precharge-to-activate interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " ACTTOPRE ,Activate to precharge interval" "16,17,18,19,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " ACTTORW ,Activate to read/write interval for SDRAM" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17.--19. " CASLAT ,CAS latency" "1,2,3,4,5,6,7,8" bitfld.long 0x00 12.--15. " REFREC ,Refresh recovery time" "8,9,10,11,,,,,,,,,,,,23" bitfld.long 0x00 8.--11. " WRREC ,Last data to precharge minimum interval" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4.--7. " ACTTOACT ,Activate-to-activate interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " WRTORD ,Last write data pair to read command issue interval" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif if ((per.l(ad:0x01090000+0x10C)&0x40000)==0x00) group.long 0x10C++0x03 line.long 0x00 "TIMING_CFG_2,DDR SDRAM Timing Configuration 2 Register" bitfld.long 0x00 28.--31. " ADD_LAT ,Additive latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,?..." bitfld.long 0x00 19.--22. " WR_LAT ,Write latency" ",,,,,,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 18. " EXT_WR_LAT ,Extended write latency" "0,16" newline bitfld.long 0x00 13.--16. " RD_TO_PRE ,Read to precharge" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--12. " WR_DATA_DELAY ,Write command to write data strobe timing adjustment" "0,2,1/4,9/4,1/2,5/2,3/4,,1,,5/4,,3/2,,7/4,?..." bitfld.long 0x00 6.--8. " CKE_PLS ,Minimum CKE pulse width" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--5. " FOUR_ACT ,Four activate" ",1,2,3,4,,,,,,,,,,,,,,,,,,,,,,,,,,,31,32,?..." else group.long 0x10C++0x03 line.long 0x00 "TIMING_CFG_2,DDR SDRAM Timing Configuration 2 Register" bitfld.long 0x00 28.--31. " ADD_LAT ,Additive latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,?..." bitfld.long 0x00 19.--22. " WR_LAT ,Write latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 18. " EXT_WR_LAT ,Extended write latency" "0,16" newline bitfld.long 0x00 13.--16. " RD_TO_PRE ,Read to precharge" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 9.--12. " WR_DATA_DELAY ,Write command to write data strobe timing adjustment" "0,2,1/4,9/4,1/2,5/2,3/4,,1,,5/4,,3/2,,7/4,?..." bitfld.long 0x00 6.--8. " CKE_PLS ,Minimum CKE pulse width" "8,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--5. " FOUR_ACT ,Four activate" ",1,2,3,4,,,,,,,,,,,,,,,,,,,,,,,,,,,31,32,?..." endif group.long 0x110++0x1B line.long 0x00 "DDR_SDRAM_CFG,DDR SDRAM Control Configuration Register" bitfld.long 0x00 31. " MEM_EN ,Memory controller enable" "Disabled,Enabled" bitfld.long 0x00 30. " SREN ,Self refresh enable" "Disabled,Enabled" bitfld.long 0x00 29. " ECC_EN ,ECC enable" "Disabled,Enabled" newline bitfld.long 0x00 28. " RD_EN ,Registered DIMM enable" "Disabled,Enabled" bitfld.long 0x00 24.--26. " SDRAM_TYPE ,SDRAM type" ",,,,,DDR4 SDRAM,?..." bitfld.long 0x00 21. " DYN_PWR ,Dynamic power management" "Disabled,Enabled" newline bitfld.long 0x00 19.--20. " DBW ,DRAM data bus width" "64 bit,32 bit,?..." bitfld.long 0x00 18. " BE_8 ,8 beat burst enable" "4-beat,8-beat" bitfld.long 0x00 16. " T3_EN ,Timing enable" "1T,3T" newline bitfld.long 0x00 15. " T2_EN ,2T timing enable" "1T,2T" hexmask.long.byte 0x00 8.--14. 1. " BA_INTLV_CTL ,Bank (chip select) interleaving control" bitfld.long 0x00 2. " ACC_ECC_EN ,Accumulated ECC enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " MEM_HALT ,Memory controller halt" "Accept new transactions,Finish any remaining transactions" bitfld.long 0x00 0. " BI ,Bypass initialization" "Not bypassed,Bypassed" line.long 0x04 "DDR_SDRAM_CFG_2,DDR SDRAM Control Configuration 2" bitfld.long 0x04 31. " FRC_SR ,Force self refresh" "Normal mode,Self-refresh mode" bitfld.long 0x04 12.--15. " NUM_PR ,Number of posted refreshes" ",1,2,3,,,,,8,?..." sif cpuis("LX2??0A") bitfld.long 0x04 10. " X4_EN ,x4 DRAM enable" "x8/x16,x4" endif newline bitfld.long 0x04 9. " QD_EN ,Quad-rank enable" "Disabled,Enabled" bitfld.long 0x04 8. " UNQ_MRS_EN ,Unique MRS enable" "Disabled,Enabled" bitfld.long 0x04 5. " AP_EN ,Address parity enable" "Disabled,Enabled" newline bitfld.long 0x04 4. " D_INIT ,DRAM data initialization" "Disabled,Enabled" bitfld.long 0x04 2. " RCW_EN ,Register control word enable" "Disabled,Enabled" bitfld.long 0x04 1. " CD_DIS ,Corrupted data disable" "No,Yes" newline bitfld.long 0x04 0. " MD_EN ,Mirrored DIMM enable" "Disabled,Enabled" line.long 0x08 "DDR_SDRAM_MODE,DDR SDRAM Mode Configuration" hexmask.long.word 0x08 16.--31. 1. " ESDMODE ,Extended SDRAM mode" hexmask.long.word 0x08 0.--15. 1. " SDRAM_MODE ,SDRAM mode" line.long 0x0C "DDR_SDRAM_MODE_2,DDR SDRAM Mode Configuration 2" hexmask.long.word 0x0C 16.--31. 1. " ESDMODE2 ,Extended SDRAM mode 2" hexmask.long.word 0x0C 0.--15. 1. " ESDMODE3 ,Extended SDRAM mode 3" line.long 0x10 "DDR_SDRAM_MD_CNTL,DDR SDRAM Mode Control" bitfld.long 0x10 31. " MD_EN ,Mode enable" "Disabled,Enabled" sif cpuis("LX2??0A") bitfld.long 0x10 28.--30. " CS_SEL ,Select chip select" "CS0,CS1,CS2,CS3,CS0 and CS1,CS2 and CS3,?..." else bitfld.long 0x10 28.--30. " CS_SEL ,Select chip select" "CS0,CS1,,,CS0 and CS1,CS2 and CS3,?..." endif bitfld.long 0x10 24.--27. " MD_SEL ,Mode register select" "MR,EMR,EMR2,EMR3,?..." newline bitfld.long 0x10 23. " SET_REF ,Set refresh" "Not set,Set" bitfld.long 0x10 22. " SET_PRE ,Set precharge" "No precharge,Precharge" bitfld.long 0x10 20.--21. " CKE_CNTL ,Clock enable control" "Not forced,Forced low,Forced high,?..." newline hexmask.long.tbyte 0x10 0.--17. 1. " MD_VALUE ,Mode register value" line.long 0x14 "DDR_SDRAM_INTERVAL,DDR SDRAM Interval Configuration" hexmask.long.word 0x14 16.--31. 1. " REFINT ,Refresh interval" hexmask.long.word 0x14 0.--13. 1. " BSTOPRE ,Precharge interval" line.long 0x18 "DDR_DATA_INIT,DDR SDRAM Data Initialization" group.long 0x160++0x07 line.long 0x00 "TIMING_CFG_4,DDR SDRAM Timing Configuration 4" bitfld.long 0x00 28.--31. " RWT ,Read-to-write turnaround for same chip select" "Default,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " WRT ,Write-to-read turnaround for same chip select" "Default,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " RRT ,Read-to-read turnaround for same chip select (BL/2+ n clocks)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " WWT ,Write-to-write turnaround for same chip select (BL/2+ n clocks)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. " EXT_RWT ,Extended read-to-write turnaround" "0,1,2,3" bitfld.long 0x00 12. " EXT_WRT ,Extended write-to-read turnaround" "0,4" newline bitfld.long 0x00 10. " EXT_RRT ,Extended read-to-read turnaround" "0,4" bitfld.long 0x00 8. " EXT_WWT ,Extended write-to-write turnaround" "0,4" bitfld.long 0x00 4. " EXT_REFINT ,Extended refresh interval" "0,65536" newline bitfld.long 0x00 0.--1. " DLL_LOCK ,DDR SDRAM DLL lock time" "200,512,1024,?..." line.long 0x04 "TIMING_CFG_5,DDR SDRAM Timing Configuration 5" bitfld.long 0x04 24.--28. " RODT_ON ,Read to ODT on" "CASLAT-WR_LAT,0,1,2,,,,,,,,,11,?..." bitfld.long 0x04 20.--22. " RODT_OFF ,Read to ODT off" "4,1,2,3,4,5,6,7" bitfld.long 0x04 12.--16. " WODT_ON ,Write to ODT on" "0,0,1,2,,,5,?..." newline bitfld.long 0x04 8.--10. " WODT_OFF ,Write to ODT off" "4,1,2,3,4,5,6,7" group.long 0x16C++0x07 line.long 0x00 "TIMING_CFG_7,DDR SDRAM Timing Configuration 7" bitfld.long 0x00 28.--29. " CKE_RST ,CKE reset time" "200,256,512,1024" bitfld.long 0x00 24.--27. " CKSRE ,Clock after self refresh entry" "15,6,7,8,9,10,11,12,13,14,15,16,17,18,19,32" bitfld.long 0x00 20.--23. " CKSRX ,Clock after self refresh exit" "15,6,7,8,9,10,11,12,13,14,15,16,17,18,19,27" newline bitfld.long 0x00 16.--19. " PAR_LAT ,Parity latency" "Disabled,1,2,3,4,5,6,7,8,?..." line.long 0x04 "DDR_ZQ_CNTL,DDR ZQ Calibration Control" bitfld.long 0x04 31. " ZQ_EN ,ZQ calibration enable" "Disabled,Enabled" bitfld.long 0x04 24.--27. " ZQINIT ,ZQ calibration initialization time" ",,,,,,,128,256,512,1024,?..." bitfld.long 0x04 16.--19. " ZQOPER ,ZQ calibration operation time" ",,,,,,,128,256,512,1024,?..." newline bitfld.long 0x04 8.--11. " ZQCS ,ZQ calibration short time" "1,2,4,8,16,32,64,128,256,512,?..." bitfld.long 0x04 0.--3. " ZQCS_INT ,ZQCS interval" "32 ref,64 ref,128 ref,256 ref,512 ref,1024 ref,2048 ref,4096 ref,8192 ref,16384 ref,32768 ref,,,,,ZQCS calibration disabled" group.long 0x17C++0x0B line.long 0x00 "DDR_SR_CNTR,DDR Self Refresh Counter" bitfld.long 0x00 16.--19. " SR_IT ,Self refresh idle threshold" "Disabled,2^10,2^12,2^14,2^16,2^18,2^20,2^22,2^24,2^26,2^28,2^30,?..." line.long 0x04 "DDR_SDRAM_RCW_1,DDR Control Words 1 Register" bitfld.long 0x04 28.--31. " RCW[0] ,Register control word 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 24.--27. " [1] ,Register control word 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 20.--23. " [2] ,Register control word 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 16.--19. " [3] ,Register control word 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 12.--15. " [4] ,Register control word 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. " [5] ,Register control word 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 4.--7. " [6] ,Register control word 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " [7] ,Register control word 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "DDR_SDRAM_RCW_2,DDR Control Words 2 Register" bitfld.long 0x08 28.--31. " RCW[8] ,Register control word 8" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. " [9] ,Register control word 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 20.--23. " [10] ,Register control word 10" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 16.--19. " [11] ,Register control word 11" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 12.--15. " [12] ,Register control word 12" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 8.--11. " [13] ,Register control word 13" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 4.--7. " [14] ,Register control word 14" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 0.--3. " [15] ,Register control word 15" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1A0++0x0F line.long 0x00 "DDR_SDRAM_RCW_3,DDR Control Words 3 Register" hexmask.long.byte 0x00 24.--31. 1. " RCW1X ,Register control word 1X" hexmask.long.byte 0x00 16.--23. 1. " RCW2X ,Register control word 2X" hexmask.long.byte 0x00 8.--15. 1. " RCW3X ,Register control word 3X" newline hexmask.long.byte 0x00 0.--7. 1. " RCW4X ,Register control word 4X" line.long 0x04 "DDR_SDRAM_RCW_4,DDR Control Words 4 Register" hexmask.long.byte 0x04 24.--31. 1. " RCW5X ,Register control word 5X" hexmask.long.byte 0x04 16.--23. 1. " RCW6X ,Register control word 6X" hexmask.long.byte 0x04 8.--15. 1. " RCW7X ,Register control word 7X" newline hexmask.long.byte 0x04 0.--7. 1. " RCW8X ,Register control word 8X" line.long 0x08 "DDR_SDRAM_RCW_5,DDR Control Words 5 Register" hexmask.long.byte 0x08 24.--31. 1. " RCW9X ,Register control word 9X" hexmask.long.byte 0x08 16.--23. 1. " RCW10X ,Register control word 10X" hexmask.long.byte 0x08 8.--15. 1. " RCW11X ,Register control word 11X" newline hexmask.long.byte 0x08 0.--7. 1. " RCW12X ,Register control word 12X" line.long 0x0C "DDR_SDRAM_RCW_6,DDR Control Words 6 Register" hexmask.long.byte 0x0C 24.--31. 1. " RCW13X ,Register control word 13X" hexmask.long.byte 0x0C 16.--23. 1. " RCW14X ,Register control word 14X" hexmask.long.byte 0x0C 8.--15. 1. " RCW15X ,Register control word 15X" group.long 0x200++0x17 line.long 0x00 "DDR_SDRAM_MODE_3,DDR SDRAM Mode Configuration 3 Register" hexmask.long.word 0x00 16.--31. 1. " ESDMODE ,Extended SDRAM mode" hexmask.long.word 0x00 0.--15. 1. " SDMODE ,SDRAM mode" line.long 0x04 "DDR_SDRAM_MODE_4,DDR SDRAM Mode Configuration 4 Register" hexmask.long.word 0x04 16.--31. 1. " ESDMODE2 ,Extended SDRAM mode 2" hexmask.long.word 0x04 0.--15. 1. " ESDMODE3 ,Extended SDRAM mode 3" line.long 0x08 "DDR_SDRAM_MODE_5,DDR SDRAM Mode Configuration 5 Register" hexmask.long.word 0x08 16.--31. 1. " ESDMODE ,Extended SDRAM mode" hexmask.long.word 0x08 0.--15. 1. " SDMODE ,SDRAM mode" line.long 0x0C "DDR_SDRAM_MODE_6,DDR SDRAM Mode Configuration 6 Register" hexmask.long.word 0x0C 16.--31. 1. " ESDMODE2 ,Extended SDRAM mode 2" hexmask.long.word 0x0C 0.--15. 1. " ESDMODE3 ,Extended SDRAM mode 3" line.long 0x10 "DDR_SDRAM_MODE_7,DDR SDRAM Mode Configuration 7 Register" hexmask.long.word 0x10 16.--31. 1. " ESDMODE ,Extended SDRAM mode" hexmask.long.word 0x10 0.--15. 1. " SDMODE ,SDRAM mode" line.long 0x14 "DDR_SDRAM_MODE_8,DDR SDRAM Mode Configuration 8 Register" hexmask.long.word 0x14 16.--31. 1. " ESDMODE2 ,Extended SDRAM mode 2" hexmask.long.word 0x14 0.--15. 1. " ESDMODE3 ,Extended SDRAM mode 3" group.long 0x220++0x1F line.long 0x00 "DDR_SDRAM_MODE_9,DDR SDRAM Mode Configuration 9 Register" hexmask.long.word 0x00 16.--31. 1. " ESDMODE4 ,Extended SDRAM mode 4" hexmask.long.word 0x00 0.--15. 1. " ESDMODE5 ,Extended SDRAM mode 5" line.long 0x04 "DDR_SDRAM_MODE_10,DDR SDRAM Mode Configuration 10 Register" hexmask.long.word 0x04 16.--31. 1. " ESDMODE6 ,Extended SDRAM mode 6" hexmask.long.word 0x04 0.--15. 1. " ESDMODE7 ,Extended SDRAM mode 7" line.long 0x08 "DDR_SDRAM_MODE_11,DDR SDRAM Mode Configuration 11 Register" hexmask.long.word 0x08 16.--31. 1. " ESDMODE4 ,Extended SDRAM mode 4" hexmask.long.word 0x08 0.--15. 1. " ESDMODE5 ,Extended SDRAM mode 5" line.long 0x0C "DDR_SDRAM_MODE_12,DDR SDRAM Mode Configuration 12 Register" hexmask.long.word 0x0C 16.--31. 1. " ESDMODE6 ,Extended SDRAM mode 6" hexmask.long.word 0x0C 0.--15. 1. " ESDMODE7 ,Extended SDRAM mode 7" line.long 0x10 "DDR_SDRAM_MODE_13,DDR SDRAM Mode Configuration 13 Register" hexmask.long.word 0x10 16.--31. 1. " ESDMODE4 ,Extended SDRAM mode 4" hexmask.long.word 0x10 0.--15. 1. " ESDMODE5 ,Extended SDRAM mode 5" line.long 0x14 "DDR_SDRAM_MODE_14,DDR SDRAM Mode Configuration 14 Register" hexmask.long.word 0x14 16.--31. 1. " ESDMODE6 ,Extended SDRAM mode 6" hexmask.long.word 0x14 0.--15. 1. " ESDMODE7 ,Extended SDRAM mode 7" line.long 0x18 "DDR_SDRAM_MODE_15,DDR SDRAM Mode Configuration 15 Register" hexmask.long.word 0x18 16.--31. 1. " ESDMODE4 ,Extended SDRAM mode 4" hexmask.long.word 0x18 0.--15. 1. " ESDMODE5 ,Extended SDRAM mode 5" line.long 0x1C "DDR_SDRAM_MODE_16,DDR SDRAM Mode Configuration 16 Register" hexmask.long.word 0x1C 16.--31. 1. " ESDMODE6 ,Extended SDRAM mode 6" hexmask.long.word 0x1C 0.--15. 1. " ESDMODE7 ,Extended SDRAM mode 7" group.long 0x250++0x03 line.long 0x00 "TIMING_CFG_8,DDR SDRAM Timing Configuration 8 Register" bitfld.long 0x00 28.--31. " RWT_BG ,Read-to-write turnaround for same chip select and same bank group" "Default,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " WRT_BG ,Write-to-read turnaround for same chip select and same bank group" "Default,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 20.--23. " RRT_BG ,Read-to-read turnaround for same chip select and same bank group (BL/2+ n clocks)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. " WWT_BG ,Write-to-write turnaround for same chip select and same bank group (BL/2+ n clocks)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " ACTTOACT_BG ,Activate-to-activate interval for the same bank group" "Unused,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " WRTORD_BG ,Last write data pair to read command issue interval for the same bank group" "Unused,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. " EXT_WRTORD_BG ,Adds 16 clk cycles between last write data pair and the subsequent read command to the same physical bank" "Not added,Added" bitfld.long 0x00 0.--4. " PRE_ALL_REC ,Precharge all-to-activate interval" "PRETOACT,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x258++0x03 line.long 0x00 "TIMING_CFG_10,DDR SDRAM Timing Configuration 10 Register" hexmask.long.word 0x00 0.--14. 1. " T_STAB ,T-stab wait time value" group.long 0x260++0x03 line.long 0x00 "DDR_SDRAM_CFG_3,DDR SDRAM Control Configuration 3 Register" bitfld.long 0x00 31. " DDRC_RST ,DDR controller reset" "No reset,Reset" bitfld.long 0x00 30. " ECC_FIX_EN ,ECC fixing enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " ECC_SCRUB_INT ,ECC scrubbing interval (every n seq)" "Not issued,1,2,4,8,16,32,64,128,256,512,1024,2048,4096,8192,16384" newline bitfld.long 0x00 17. " WR_PRE ,Write preamble" "1 tCK,2 tCK" bitfld.long 0x00 16. " RD_PRE ,Read preamble" "1 tCK,2 tCK" bitfld.long 0x00 12.--13. " DM_CFG ,Data mask config" "Normal data masks,,Data bus inversion,Held high" newline bitfld.long 0x00 8.--9. " REF_MODE ,Refresh mode" "Disabled,2x fine,4x fine,?..." bitfld.long 0x00 1. " SR_FAST_WK_EN ,Self refresh fast wakeup enable" "Disabled,Enabled" bitfld.long 0x00 0. " DIS_MRS_PAR ,Disable MRS on parity error" "No,Yes" group.long 0x400++0x0F line.long 0x00 "DDR_DQ_MAP0,DQ Mapping Register 0 Register" bitfld.long 0x00 26.--31. " DQ_0_3 ,DQ[0:3] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x00 20.--25. " DQ_4_7 ,DQ[4:7] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x00 14.--19. " DQ_8_11 ,DQ[8:11] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." newline bitfld.long 0x00 8.--13. " DQ_12_15 ,DQ[12:15] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x00 2.--7. " DQ_16_19 ,DQ[16:19] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." line.long 0x04 "DDR_DQ_MAP1,DQ Mapping Register 1 Register" bitfld.long 0x04 26.--31. " DQ_20_23 ,DQ[20:23] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x04 20.--25. " DQ_24_27 ,DQ[24:27] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x04 14.--19. " DQ_28_31 ,DQ[28:31] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." newline bitfld.long 0x04 8.--13. " DQ_32_35 ,DQ[32:35] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x04 2.--7. " DQ_36_39 ,DQ[36:39] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." line.long 0x08 "DDR_DQ_MAP2,DQ Mapping Register 2 Register" bitfld.long 0x08 26.--31. " DQ_40_43 ,DQ[40:43] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x08 20.--25. " DQ_44_47 ,DQ[44:47] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x08 14.--19. " DQ_48_51 ,DQ[48:51] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." newline bitfld.long 0x08 8.--13. " DQ_52_55 ,DQ[52:55] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x08 2.--7. " DQ_56_59 ,DQ[56:59] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." line.long 0x0C "DDR_DQ_MAP3,DQ Mapping Register 3 Register" bitfld.long 0x0C 26.--31. " DQ_60_63 ,DQ[60:63] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x0C 20.--25. " ECC_0_3 ,ECC[0:3] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." bitfld.long 0x0C 14.--19. " ECC_4_7 ,ECC[4:7] mapping" "0-1-2-3,0-1-2-3,0-1-3-2,0-2-1-3,0-2-3-1,0-3-1-2,0-3-2-1,1-0-2-3,1-0-3-2,1-2-0-3,1-2-3-0,1-3-0-2,1-3-2-0,2-0-1-3,2-0-3-1,2-1-0-3,2-1-3-0,2-3-0-1,2-3-1-0,3-0-1-2,3-0-2-1,3-1-0-2,3-1-2-0,3-2-0-1,3-2-1-0,,,,,,,,,4-5-6-7,4-5-7-6,4-6-5-7,4-6-7-5,4-7-5-6,4-7-6-5,5-4-6-7,5-4-7-6,5-6-4-7,5-6-7-4,5-7-4-6,5-7-6-4,6-4-5-7,6-4-7-5,6-5-4-7,6-5-7-4,6-7-4-5,6-7-5-4,7-4-5-6,7-4-6-5,7-5-4-6,7-5-6-4,7-6-4-5,7-6-5-4,?..." newline bitfld.long 0x0C 0. " ORS ,Odd rank swizzle" "Low,High" group.long 0xB24++0x03 line.long 0x00 "DDRDSR_2,DDR Debug Status 2 Register" eventfld.long 0x00 2. " PHY_INIT_CMP_LT ,DDR Phy initialization status" "Not completed,Completed" eventfld.long 0x00 1. " RPD_ST ,Rapid clear of memory start" "Not started,Started" eventfld.long 0x00 0. " RPD_END ,Rapid clear of memory end" "Not ended,Ended" rgroup.long 0xBF8++0x07 line.long 0x00 "DDR_IP_REV1,DDR IP Block Revision 1" hexmask.long.word 0x00 16.--31. 1. " IP_ID ,IP block ID" hexmask.long.byte 0x00 8.--15. 1. " IP_MJ ,Major revision" hexmask.long.byte 0x00 0.--7. 1. " IP_MN ,Minor revision" line.long 0x04 "DDR_IP_REV2,DDR IP Block Revision 2" hexmask.long.byte 0x04 16.--23. 1. " IP_INT ,IP block integration options" hexmask.long.byte 0x04 0.--7. 1. " IP_CFG ,IP block configuration options" group.long 0xD00++0x03 line.long 0x00 "DDR_MTCR,DDR Memory Test Control Register" bitfld.long 0x00 31. " MT_EN ,Memory test enable" "Disabled,Enabled" bitfld.long 0x00 24.--25. " MT_TYP ,Memory test type" "Writes and reads,Writes only,Reads only,?..." newline bitfld.long 0x00 16.--19. " MT_TRNARND ,Memory test turnaround" "Entire mem write then read,1 transaction each,2 transaction each,4 transaction each,?..." newline bitfld.long 0x00 9. " MT_ADDR_EN ,Memory test address range enable" "Disabled,Enabled" bitfld.long 0x00 0. " MT_STAT ,Memory test status" "No fail,Fail" group.long 0xD20++0x03 line.long 0x00 "DDR_MTP0,DDR Memory Test Pattern 0 Register" group.long 0xD24++0x03 line.long 0x00 "DDR_MTP1,DDR Memory Test Pattern 1 Register" group.long 0xD28++0x03 line.long 0x00 "DDR_MTP2,DDR Memory Test Pattern 2 Register" group.long 0xD2C++0x03 line.long 0x00 "DDR_MTP3,DDR Memory Test Pattern 3 Register" group.long 0xD30++0x03 line.long 0x00 "DDR_MTP4,DDR Memory Test Pattern 4 Register" group.long 0xD34++0x03 line.long 0x00 "DDR_MTP5,DDR Memory Test Pattern 5 Register" group.long 0xD38++0x03 line.long 0x00 "DDR_MTP6,DDR Memory Test Pattern 6 Register" group.long 0xD3C++0x03 line.long 0x00 "DDR_MTP7,DDR Memory Test Pattern 7 Register" group.long 0xD40++0x03 line.long 0x00 "DDR_MTP8,DDR Memory Test Pattern 8 Register" group.long 0xD44++0x03 line.long 0x00 "DDR_MTP9,DDR Memory Test Pattern 9 Register" group.long 0xD60++0x0F line.long 0x00 "DDR_MT_ST_EXT_ADDR,DDR Memory Test Start Extended Address" hexmask.long.byte 0x00 0.--7. 0x01 " MT_ST_EXT_ADDR ,This field represents the starting extended address" line.long 0x04 "DDR_MT_ST_ADDR,DDR Memory Test Start Address" line.long 0x08 "DDR_MT_END_EXT_ADDR,DDR Memory Test End Extended Address" hexmask.long.byte 0x08 0.--7. 0x01 " MT_END_EXT_ADDR ,This field represents the ending extended address" line.long 0x0C "DDR_MT_END_ADDR,DDR Memory Test End Address" group.long 0xE00++0x0B line.long 0x00 "DATA_ERR_INJECT_HI,Memory Data Path Error Injection Mask High" bitfld.long 0x00 31. " EEIMH[31] ,Error injection mask high data path bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Error injection mask high data path bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Error injection mask high data path bit 29" "Not masked,Masked" newline bitfld.long 0x00 28. " [28] ,Error injection mask high data path bit 28" "Not masked,Masked" bitfld.long 0x00 27. " [27] ,Error injection mask high data path bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Error injection mask high data path bit 26" "Not masked,Masked" newline bitfld.long 0x00 25. " [25] ,Error injection mask high data path bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Error injection mask high data path bit 24" "Not masked,Masked" bitfld.long 0x00 23. " [23] ,Error injection mask high data path bit 23" "Not masked,Masked" newline bitfld.long 0x00 22. " [22] ,Error injection mask high data path bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Error injection mask high data path bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Error injection mask high data path bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Error injection mask high data path bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Error injection mask high data path bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Error injection mask high data path bit 17" "Not masked,Masked" newline bitfld.long 0x00 16. " [16] ,Error injection mask high data path bit 16" "Not masked,Masked" bitfld.long 0x00 15. " [15] ,Error injection mask high data path bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Error injection mask high data path bit 14" "Not masked,Masked" newline bitfld.long 0x00 13. " [13] ,Error injection mask high data path bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Error injection mask high data path bit 12" "Not masked,Masked" bitfld.long 0x00 11. " [11] ,Error injection mask high data path bit 11" "Not masked,Masked" newline bitfld.long 0x00 10. " [10] ,Error injection mask high data path bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Error injection mask high data path bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Error injection mask high data path bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Error injection mask high data path bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Error injection mask high data path bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Error injection mask high data path bit 5" "Not masked,Masked" newline bitfld.long 0x00 4. " [4] ,Error injection mask high data path bit 4" "Not masked,Masked" bitfld.long 0x00 3. " [3] ,Error injection mask high data path bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Error injection mask high data path bit 2" "Not masked,Masked" newline bitfld.long 0x00 1. " [1] ,Error injection mask high data path bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Error injection mask high data path bit 0" "Not masked,Masked" line.long 0x04 "DATA_ERR_INJECT_LO,Memory Data Path Error Injection Mask Low" bitfld.long 0x04 31. " EEIML[31] ,Error injection mask low data path bit 31" "Not masked,Masked" bitfld.long 0x04 30. " [30] ,Error injection mask low data path bit 30" "Not masked,Masked" bitfld.long 0x04 29. " [29] ,Error injection mask low data path bit 29" "Not masked,Masked" newline bitfld.long 0x04 28. " [28] ,Error injection mask low data path bit 28" "Not masked,Masked" bitfld.long 0x04 27. " [27] ,Error injection mask low data path bit 27" "Not masked,Masked" bitfld.long 0x04 26. " [26] ,Error injection mask low data path bit 26" "Not masked,Masked" newline bitfld.long 0x04 25. " [25] ,Error injection mask low data path bit 25" "Not masked,Masked" bitfld.long 0x04 24. " [24] ,Error injection mask low data path bit 24" "Not masked,Masked" bitfld.long 0x04 23. " [23] ,Error injection mask low data path bit 23" "Not masked,Masked" newline bitfld.long 0x04 22. " [22] ,Error injection mask low data path bit 22" "Not masked,Masked" bitfld.long 0x04 21. " [21] ,Error injection mask low data path bit 21" "Not masked,Masked" bitfld.long 0x04 20. " [20] ,Error injection mask low data path bit 20" "Not masked,Masked" newline bitfld.long 0x04 19. " [19] ,Error injection mask low data path bit 19" "Not masked,Masked" bitfld.long 0x04 18. " [18] ,Error injection mask low data path bit 18" "Not masked,Masked" bitfld.long 0x04 17. " [17] ,Error injection mask low data path bit 17" "Not masked,Masked" newline bitfld.long 0x04 16. " [16] ,Error injection mask low data path bit 16" "Not masked,Masked" bitfld.long 0x04 15. " [15] ,Error injection mask low data path bit 15" "Not masked,Masked" bitfld.long 0x04 14. " [14] ,Error injection mask low data path bit 14" "Not masked,Masked" newline bitfld.long 0x04 13. " [13] ,Error injection mask low data path bit 13" "Not masked,Masked" bitfld.long 0x04 12. " [12] ,Error injection mask low data path bit 12" "Not masked,Masked" bitfld.long 0x04 11. " [11] ,Error injection mask low data path bit 11" "Not masked,Masked" newline bitfld.long 0x04 10. " [10] ,Error injection mask low data path bit 10" "Not masked,Masked" bitfld.long 0x04 9. " [9] ,Error injection mask low data path bit 9" "Not masked,Masked" bitfld.long 0x04 8. " [8] ,Error injection mask low data path bit 8" "Not masked,Masked" newline bitfld.long 0x04 7. " [7] ,Error injection mask low data path bit 7" "Not masked,Masked" bitfld.long 0x04 6. " [6] ,Error injection mask low data path bit 6" "Not masked,Masked" bitfld.long 0x04 5. " [5] ,Error injection mask low data path bit 5" "Not masked,Masked" newline bitfld.long 0x04 4. " [4] ,Error injection mask low data path bit 4" "Not masked,Masked" bitfld.long 0x04 3. " [3] ,Error injection mask low data path bit 3" "Not masked,Masked" bitfld.long 0x04 2. " [2] ,Error injection mask low data path bit 2" "Not masked,Masked" newline bitfld.long 0x04 1. " [1] ,Error injection mask low data path bit 1" "Not masked,Masked" bitfld.long 0x04 0. " [0] ,Error injection mask low data path bit 0" "Not masked,Masked" line.long 0x08 "ECC_ERR_INJECT,Memory Data Path Error Injection Mask ECC" bitfld.long 0x08 16. " APIEN ,Address parity error injection enable" "Disabled,Enabled" bitfld.long 0x08 9. " EMB ,ECC mirror byte enable" "Disabled,Enabled" bitfld.long 0x08 8. " EIEN ,Error injection enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " EEIM[7] ,ECC error injection mask bit 7" "Not masked,Masked" bitfld.long 0x08 6. " [6] ,ECC error injection mask bit 6" "Not masked,Masked" bitfld.long 0x08 5. " [5] ,ECC error injection mask bit 5" "Not masked,Masked" newline bitfld.long 0x08 4. " [4] ,ECC error injection mask bit 4" "Not masked,Masked" bitfld.long 0x08 3. " [3] ,ECC error injection mask bit 3" "Not masked,Masked" bitfld.long 0x08 2. " [2] ,ECC error injection mask bit 2" "Not masked,Masked" newline bitfld.long 0x08 1. " [1] ,ECC error injection mask bit 1" "Not masked,Masked" bitfld.long 0x08 0. " [0] ,ECC error injection mask bit 0" "Not masked,Masked" group.long 0xE20++0x0B line.long 0x00 "CAPTURE_DATA_HI,Memory Data Path Read Capture High" line.long 0x04 "CAPTURE_DATA_LO,Memory Data Path Read Capture Low" line.long 0x08 "CAPTURE_ECC,Memory Data Path Read Capture ECC" group.long 0xE40++0x1B line.long 0x00 "ERR_DETECT,Memory Error Detect" eventfld.long 0x00 31. " MME ,Multiple memory errors" "No error,Error" eventfld.long 0x00 20. " CFGWRE ,Configuration write error checking" "Disabled,Enabled" eventfld.long 0x00 12. " SSBE ,Scrubbed single-bit ECC error" "No error,Error" newline eventfld.long 0x00 8. " APE ,Address parity error" "No error,Error" eventfld.long 0x00 7. " ACE ,Automatic calibration error" "No error,Error" eventfld.long 0x00 4. " CDE ,Corrupted data error" "No error,Error" newline eventfld.long 0x00 3. " MBE ,Multiple-bit error" "No error,Error" eventfld.long 0x00 2. " SBE ,Single-bit ECC error" "No error,Error" eventfld.long 0x00 0. " MSE ,Memory select error" "No error,Error" line.long 0x04 "ERR_DISABLE,Memory Error Disable" bitfld.long 0x04 20. " CFGWRED ,Configuration write error disable" "No,Yes" bitfld.long 0x04 12. " SSBED ,Scrubbed single-bit ECC error disable" "No,Yes" bitfld.long 0x04 8. " APED ,Address parity error disable" "No,Yes" newline bitfld.long 0x04 7. " ACED ,Automatic calibration error disable" "No,Yes" bitfld.long 0x04 4. " CDED ,Corrupted data error disable" "No,Yes" bitfld.long 0x04 3. " MBED ,Multiple-bit ECC error disable" "No,Yes" newline bitfld.long 0x04 2. " SBED ,Single-bit ECC error disable" "No,Yes" bitfld.long 0x04 0. " MSED ,Memory select error disable" "No,Yes" line.long 0x08 "ERR_INT_EN,Memory Error Interrupt Enable" bitfld.long 0x08 20. " CFGWRED ,Configuration write error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 12. " SSBEE ,Scrubbed single-bit ECC error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 8. " APEE ,Address parity error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 7. " ACEE ,Automatic calibration error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 4. " CDEE ,Corrupted data error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 3. " MBEE ,Multiple-bit ECC error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 2. " SBEE ,Single-bit ECC error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 0. " MSEE ,Memory select error interrupt enable" "Disabled,Enabled" line.long 0x0C "CAPTURE_ATTRIBUTES,Memory Error Attributes Capture" bitfld.long 0x0C 28.--30. " BNUM ,Data beat number" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 24.--26. " TSIZ ,Transaction size for the error in double words" "8,1,2,3,4,5,6,7" hexmask.long.byte 0x0C 16.--23. 1. " TSRC ,Transaction source for the error" newline bitfld.long 0x0C 12.--13. " TTYP ,Transaction type for the error" ",Write,Read,Read-modify-write" newline bitfld.long 0x0C 0. " VLD ,Valid" "Not valid,Valid" line.long 0x10 "CAPTURE_ADDRESS,Memory Error Address Capture" line.long 0x14 "CAPTURE_EXT_ADDRESS,Memory Error Extended Address Capture" hexmask.long.byte 0x14 0.--7. 0x01 " CEADDR ,Captured extended address" line.long 0x18 "ERR_SBE,Single-Bit ECC Memory Error Management" hexmask.long.byte 0x18 24.--31. 1. " SSBET ,Scrubbed single-bit error threshold" hexmask.long.byte 0x18 16.--23. 1. " SBET ,Single-bit error threshold" hexmask.long.byte 0x18 8.--15. 1. " SSBEC ,Scrubbed single-bit error counter" newline hexmask.long.byte 0x18 0.--7. 1. " SBEC ,Single-bit error counter" width 0x0B tree.end endif tree.end tree.open "eSDHC (Enhanced Secured Digital Host Controller)" tree "eSDHC 1" base ad:0x02140000 width 18. group.long 0x00++0x03 line.long 0x00 "DSADDR_BLKATTR2,SDMA System Address Register/Block Attributes 2" if (((per.l(ad:0x02140000+0x0C))&0x02)==0x02) group.long 0x04++0x03 line.long 0x00 "BLKATTR,Block Attributes Register" hexmask.long.word 0x00 16.--31. 1. " BLKCNT ,Blocks count for current transfer" hexmask.long.word 0x00 0.--11. 1. " BLKSIZE ,Transfer block size" else group.long 0x04++0x03 line.long 0x00 "BLKATTR,Block Attributes Register" hexmask.long.word 0x00 0.--11. 1. " BLKSIZE ,Transfer block size" endif if (((per.l(ad:0x02140000+0x24))&0x02)==0x00) rgroup.long 0x08++0x03 line.long 0x00 "CMDARG,Command Argument Register" else group.long 0x08++0x03 line.long 0x00 "CMDARG,Command Argument Register" endif group.long 0x0C++0x03 line.long 0x00 "XFERTYP,Transfer Type Register" bitfld.long 0x00 24.--29. " CMDINX ,Command index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 22.--23. " CMDTYP ,Command type" "Normal,Suspend CMD52,Resume CMD52,Abort CMD12/CMD52" bitfld.long 0x00 21. " DPSEL ,Data present select" "Not present,Present" newline bitfld.long 0x00 20. " CICEN ,Command index check enable" "Disabled,Enabled" bitfld.long 0x00 19. " CCCEN ,Command CRC check enable" "Disabled,Enabled" bitfld.long 0x00 16.--17. " RSPTYP ,Response type select" "No response,136,48,48-check busy after" newline bitfld.long 0x00 5. " MSBSEL ,Multi-/single-block select" "Single,Multiple" bitfld.long 0x00 4. " DTDSEL ,Data transfer direction select" "Write (host to card),Read (card to host)" bitfld.long 0x00 2.--3. " ACEN ,Auto CMD12 enable" "CMD disabled,CMD12 enabled,CMD23 enabled,?..." newline bitfld.long 0x00 1. " BCEN ,Block count enable" "Disabled,Enabled" bitfld.long 0x00 0. " DMAEN ,DMA enable" "Disabled,Enabled" rgroup.long 0x10++0x0F line.long 0x00 "CMDRSP0,Command Response 0 Register" line.long 0x04 "CMDRSP1,Command Response 1 Register" line.long 0x08 "CMDRSP2,Command Response 2 Register" line.long 0x0C "CMDRSP3,Command Response 3 Register" group.long 0x20++0x03 line.long 0x00 "DATPORT,Buffer Data Port Register" rgroup.long 0x24++0x03 line.long 0x00 "PRSSTAT,Present State Register" bitfld.long 0x00 31. " DLSL[7] ,DAT 7 line signal level" "Low,High" bitfld.long 0x00 30. " [6] ,DAT 6 line signal level" "Low,High" bitfld.long 0x00 29. " [5] ,DAT 5 line signal level" "Low,High" newline bitfld.long 0x00 28. " [4] ,DAT 4 line signal level" "Low,High" bitfld.long 0x00 27. " [3] ,DAT 3 line signal level" "Low,High" bitfld.long 0x00 26. " [2] ,DAT 2 line signal level" "Low,High" newline bitfld.long 0x00 25. " [1] ,DAT 1 line signal level" "Low,High" bitfld.long 0x00 24. " [0] ,DAT 0 line signal level" "Low,High" bitfld.long 0x00 23. " CLSL ,CMD line signal level" "Low,High" newline bitfld.long 0x00 19. " WPS ,Write protect state" "Protected,Not protected" bitfld.long 0x00 18. " CDS ,Card detect state" "Not present,Present" bitfld.long 0x00 16. " CINS ,Card inserted" "Not inserted,Inserted" newline bitfld.long 0x00 11. " BREN ,Buffer read enable" "Disabled,Enabled" bitfld.long 0x00 10. " BWEN ,Buffer write enable" "Disabled,Enabled" bitfld.long 0x00 9. " RTA ,Read transfer active" "Inactive,Active" newline bitfld.long 0x00 8. " WTA ,Write transfer active" "Inactive,Active" bitfld.long 0x00 7. " SDOFF ,SD clock gated off internally" "Active,Gated off" bitfld.long 0x00 3. " SDSTB ,SD clock stable" "Not stable,Stable" newline bitfld.long 0x00 2. " DLA ,Data line active" "Inactive,Active" bitfld.long 0x00 1. " CDIHB ,Command inhibit (DAT)" "Not inhibited,Inhibited" bitfld.long 0x00 0. " CIHB ,Command inhibit (CMD)" "Not inhibited,Inhibited" group.long 0x28++0x03 line.long 0x00 "PROCTL,Protocol Control Register" bitfld.long 0x00 26. " WECRM ,Wakeup event enable on SD card removal enable" "Disabled,Enabled" bitfld.long 0x00 25. " WECINS ,Wakeup event enable on SD card insertion enable" "Disabled,Enabled" bitfld.long 0x00 24. " WECINT ,Wakeup event enable on card interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 19. " IABG ,Interrupt at block gap enable" "Disabled,Enabled" bitfld.long 0x00 18. " RWCTL ,Read wait control enable" "Disabled,Enabled" bitfld.long 0x00 17. " CREQ ,Continue request" "No effect,Restart" newline bitfld.long 0x00 16. " SABGREQ ,Stop at block gap request" "Transfer,Stop" bitfld.long 0x00 10. " VOLT_SEL ,Voltage selection" "3.0V,1.8V" bitfld.long 0x00 8.--9. " DMAS ,DMA select" "Single DMA,ADMA1,,64-bit ADMA2" newline bitfld.long 0x00 7. " CDSS ,Card detect signal selection" "SD CD pin,CDTL bit" bitfld.long 0x00 6. " CDTL ,Card detect test level" "Low,High" bitfld.long 0x00 4.--5. " EMODE ,Endian mode" "Big endian,,Little endian,?..." newline bitfld.long 0x00 1.--2. " DTW ,Data transfer width" "1-bit,4-bit,8-bit,?..." if (((per.l(ad:0x02140000+0x40C))&0x10000)==0x10000) group.long 0x2C++0x03 line.long 0x00 "SYSCTL_ESDHCCTL,System Control Register" bitfld.long 0x00 27. " INITA ,Initialization active" "Not active,Active" bitfld.long 0x00 26. " RSTD ,Software reset for DAT line" "No reset,Reset" bitfld.long 0x00 25. " RSTC ,Software reset for CMD line" "No reset,Reset" newline bitfld.long 0x00 24. " RSTA ,Software reset for all" "No reset,Reset" bitfld.long 0x00 16.--19. " DTOCV ,Data timeout counter value" "SDCLK x 2^13,SDCLK x 2^14,SDCLK x 2^15,SDCLK x 2^16,SDCLK x 2^17,SDCLK x 2^18,SDCLK x 2^19,SDCLK x 2^20,SDCLK x 2^21,SDCLK x 2^22,SDCLK x 2^23,SDCLK x 2^24,SDCLK x 2^25,SDCLK x 2^26,SDCLK x 2^27,?..." hexmask.long.byte 0x00 8.--15. 1. " SDCLKFS ,SDCLK frequency select" newline bitfld.long 0x00 6.--7. " USDCLKFS ,Upper bits of SDCLK frequency select" "0,1,2,3" bitfld.long 0x00 5. " CGS ,10-bit SDCLKFS clock mode" "Divided,Programmable" bitfld.long 0x00 3. " SDCLKEN ,SD clock enable" "Disabled,Enabled" else group.long 0x2C++0x03 line.long 0x00 "SYSCTL_ESDHCCTL,System Control Register" bitfld.long 0x00 27. " INITA ,Initialization active" "Not active,Active" bitfld.long 0x00 26. " RSTD ,Software reset for DAT line" "No reset,Reset" bitfld.long 0x00 25. " RSTC ,Software reset for CMD line" "No reset,Reset" newline bitfld.long 0x00 24. " RSTA ,Software reset for all" "No reset,Reset" bitfld.long 0x00 16.--19. " DTOCV ,Data timeout counter value" "SDCLK x 2^13,SDCLK x 2^14,SDCLK x 2^15,SDCLK x 2^16,SDCLK x 2^17,SDCLK x 2^18,SDCLK x 2^19,SDCLK x 2^20,SDCLK x 2^21,SDCLK x 2^22,SDCLK x 2^23,SDCLK x 2^24,SDCLK x 2^25,SDCLK x 2^26,SDCLK x 2^27,?..." hexmask.long.byte 0x00 8.--15. 1. " SDCLKFS ,SDCLK frequency select" newline bitfld.long 0x00 4.--7. " DVS ,Divisor" "/1,/2,/3,/4,/5,/6,/7,/8,/9,/10,/11,/12,/13,/14,/15,/16" bitfld.long 0x00 3. " SDCLKEN ,SD clock enable" "Disabled,Enabled" endif group.long 0x30++0x0F line.long 0x00 "IRQSTAT,Interrupt Status Register" eventfld.long 0x00 29. " RTOE ,Register access timeout error" "No error,Error" eventfld.long 0x00 28. " DMAE ,DMA error" "No error,Error" eventfld.long 0x00 26. " TNE ,Tuning error" "No error,Error" newline eventfld.long 0x00 25. " ADMAE ,ADMA error" "No error,Error" eventfld.long 0x00 24. " AC12E ,Auto CMD12 error" "No error,Error" eventfld.long 0x00 22. " DEBE ,Data end bit error" "No error,Error" newline eventfld.long 0x00 21. " DCE ,Data CRC error" "No error,Error" eventfld.long 0x00 20. " DTOE ,Data timeout error" "No error,Error" eventfld.long 0x00 19. " CIE ,Command index error" "No error,Error" newline eventfld.long 0x00 18. " CEBE ,Command end bit error" "No error,Error" eventfld.long 0x00 17. " CCE ,Command CRC error" "No error,Error" eventfld.long 0x00 16. " CTOE ,Command timeout error" "No error,Error" newline eventfld.long 0x00 12. " RTE ,Re-tuning event" "Not required,Required" rbitfld.long 0x00 8. " CINT ,Card interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " CRM ,Card removal" "Inserted or unstable,Removed" newline eventfld.long 0x00 6. " CINS ,Card insertion" "Removed or unstable,Inserted" eventfld.long 0x00 5. " BRR ,Buffer read ready" "Not ready,Ready" eventfld.long 0x00 4. " BWR ,Buffer write ready" "Not ready,Ready" newline eventfld.long 0x00 3. " DINT ,DMA interrupt" "No interrupt,Interrupt" eventfld.long 0x00 2. " BGE ,Block gap event" "No block gap,Stopped at block gap" eventfld.long 0x00 1. " TC ,Transfer complete" "Not completed,Completed" newline eventfld.long 0x00 0. " CC ,Command complete" "Not completed,Completed" line.long 0x04 "IRQSTATEN,Interrupt Status Enable Register" bitfld.long 0x04 29. " RTOESEN ,Register access timeout status enable" "Disabled,Enabled" bitfld.long 0x04 28. " DMAESEN ,DMA error status enable" "Disabled,Enabled" bitfld.long 0x04 26. " TNESEN ,Tuning error status enable" "Disabled,Enabled" newline bitfld.long 0x04 25. " ADMAESEN ,ADMA error status enable" "Disabled,Enabled" bitfld.long 0x04 24. " AC12ESEN ,Auto CMD12 error status enable" "Disabled,Enabled" bitfld.long 0x04 22. " DEBESEN ,Data end bit error status enable" "Disabled,Enabled" newline bitfld.long 0x04 21. " DCESEN ,Data CRC error status enable" "Disabled,Enabled" bitfld.long 0x04 20. " DTOESEN ,Data timeout error status enable" "Disabled,Enabled" bitfld.long 0x04 19. " CIESEN ,Command index error status enable" "Disabled,Enabled" newline bitfld.long 0x04 18. " CEBESEN ,Command end bit error status enable" "Disabled,Enabled" bitfld.long 0x04 17. " CCESEN ,Command CRC error status enable" "Disabled,Enabled" bitfld.long 0x04 16. " CTOESEN ,Command timeout error status enable" "Disabled,Enabled" newline bitfld.long 0x04 12. " RTESEN ,Re-tuning event status enable" "Disabled,Enabled" bitfld.long 0x04 8. " CINTSEN ,Card interrupt status enable" "Disabled,Enabled" bitfld.long 0x04 7. " CRMSEN ,Card removal status enable" "Disabled,Enabled" newline bitfld.long 0x04 6. " CINSEN ,Card insertion status enable" "Disabled,Enabled" bitfld.long 0x04 5. " BRRSEN ,Buffer read ready status enable" "Disabled,Enabled" bitfld.long 0x04 4. " BWRSEN ,Buffer write ready status enable" "Disabled,Enabled" newline bitfld.long 0x04 3. " DINTSEN ,DMA interrupt status enable" "Disabled,Enabled" bitfld.long 0x04 2. " BGESEN ,Block gap event status enable" "Disabled,Enabled" bitfld.long 0x04 1. " TCSEN ,Transfer complete status enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " CCSEN ,Command complete status enable" "Disabled,Enabled" line.long 0x08 "IRQSIGEN,Interrupt Signal Enable Register" bitfld.long 0x08 29. " RTOEIEN ,Register access timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x08 28. " DMAEIEN ,DMA error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 26. " TNEIEN ,Tuning error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 25. " ADMAEIEN ,ADMA error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 24. " AC12EIEN ,Auto CMD12 error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 22. " DEBEIEN ,Data end bit error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 21. " DCEIEN ,Data CRC error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 20. " DTOEIEN ,Data timeout error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 19. " CIEIEN ,Command index error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 18. " CEBEIEN ,Command end bit error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 17. " CCEIEN ,Command CRC error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 16. " CTOEIEN ,Command timeout error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 12. " RTEIEN ,Re-tuning event interrupt enable" "Disabled,Enabled" bitfld.long 0x08 8. " CINTIEN ,Card interrupt interrupt enable" "Disabled,Enabled" bitfld.long 0x08 7. " CRMIEN ,Card removal interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 6. " CINSIEN ,Card insertion interrupt enable" "Disabled,Enabled" bitfld.long 0x08 5. " BRRIEN ,Buffer read ready interrupt enable" "Disabled,Enabled" bitfld.long 0x08 4. " BWRIEN ,Buffer write ready interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 3. " DINTIEN ,DMA interrupt enable" "Disabled,Enabled" bitfld.long 0x08 2. " BGEIEN ,Block gap event interrupt enable" "Disabled,Enabled" bitfld.long 0x08 1. " TCIEN ,Transfer complete interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 0. " CCIEN ,Command complete interrupt enable" "Disabled,Enabled" line.long 0x0C "AUTOCERR_SYSCTL2,Auto CMD Error Status Register / System Control 2 Register" bitfld.long 0x0C 30. " AIE ,Asynchronous interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 23. " SMPCLKSEL ,Sampling clock select" "Unsuccessful,Successful" bitfld.long 0x0C 22. " EXTN ,Execute tuning" "Not executed,Executed" newline bitfld.long 0x0C 16.--18. " UHSM ,UHS mode select" "SD: SDR12 SD2.0: 52Mhz,SD: SDR25,SD: SDR50,SD: SDR104 MMC: HS200,DDR,?..." rbitfld.long 0x0C 7. " CNIBAC12E ,Command not issued by auto CMD12 error" "No error,Error" rbitfld.long 0x0C 4. " AC12IE ,Auto CMD index error" "No error,Error" newline rbitfld.long 0x0C 3. " AC12EBE ,Auto CMD end bit error" "No error,Error" rbitfld.long 0x0C 2. " AC12CE ,Auto CMD CRC error" "No error,Error" rbitfld.long 0x0C 1. " AC12TOE ,Auto CMD timeout error" "No error,Error" newline rbitfld.long 0x0C 0. " AC12NE ,Auto CMD12 not executed" "Executed,Not executed" rgroup.long 0x40++0x03 line.long 0x00 "HOSTCAPBLT,Host Controller Capabilities Register" bitfld.long 0x00 29. " AIS ,Asynchronous interrupt support" "Not supported,Supported" bitfld.long 0x00 28. " 64BSBS ,64-bit system bus support" "Not supported,Supported" bitfld.long 0x00 26. " VS18 ,Voltage support 1.8V" "Not supported,Supported" newline bitfld.long 0x00 25. " VS30 ,Voltage support 3.0V" "Not supported,Supported" bitfld.long 0x00 24. " VS33 ,Voltage support 3.3V" "Not supported,Supported" bitfld.long 0x00 23. " SRS ,Suspend/resume support" "Not supported,Supported" newline bitfld.long 0x00 22. " DMAS ,DMA support" "Not supported,Supported" bitfld.long 0x00 21. " HSS ,High speed support" "Not supported,Supported" bitfld.long 0x00 20. " ADMAS ,ADMA support" "Not supported,Supported" newline bitfld.long 0x00 16.--18. " MBL ,Maximum block length in bytes" "512,1024,2048,?..." group.long 0x44++0x03 line.long 0x00 "WML,Watermark Level Register" bitfld.long 0x00 24.--27. " WR_BRST_LEN ,Max write burst length" "16,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 16.--23. 1. " WR_WML ,Write watermark level" bitfld.long 0x00 8.--11. " RD_BRST_LEN ,Max read burst length" "16,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 0.--7. 1. " RD_WML ,Read watermark level" wgroup.long 0x50++0x03 line.long 0x00 "FEVT,Force Event Register" bitfld.long 0x00 28. " FEVTDMAE ,Force event DMA error" "No effect,Force" bitfld.long 0x00 25. " FEVTADMAE ,Force event ADMA error" "No effect,Force" bitfld.long 0x00 24. " FEVTAC12E ,Force event auto CMD12 error" "No effect,Force" newline bitfld.long 0x00 22. " FEVTDEBE ,Force event data end bit error" "No effect,Force" bitfld.long 0x00 21. " FEVTDCE ,Force event data CRC error" "No effect,Force" bitfld.long 0x00 20. " FEVTDTOE ,Force event data time out error" "No effect,Force" newline bitfld.long 0x00 19. " FEVTCIE ,Force event command index error" "No effect,Force" bitfld.long 0x00 18. " FEVTCEBE ,Force event command end bit error" "No effect,Force" bitfld.long 0x00 17. " FEVTCCE ,Force event command CRC error" "No effect,Force" newline bitfld.long 0x00 16. " FEVTCTOE ,Force event command time out error" "No effect,Force" bitfld.long 0x00 7. " FEVTCNIBAC12E ,Force event command not executed by auto CMD12 error" "No effect,Force" bitfld.long 0x00 4. " FEVTAC12IE ,Force event auto CMD12 index error" "No effect,Force" newline bitfld.long 0x00 3. " FEVTAC12EBE ,Force event auto CMD12 end bit error" "No effect,Force" bitfld.long 0x00 2. " FEVTAC12CE ,Force event auto CMD12 CRC error" "No effect,Force" bitfld.long 0x00 1. " EVTAC12TOE ,Force event auto CMD12 time out error" "No effect,Force" newline bitfld.long 0x00 0. " FEVTAC12NE ,Force event auto CMD12 not executed" "No effect,Force" rgroup.long 0x54++0x03 line.long 0x00 "ADMAES,ADMA Error Status Register" bitfld.long 0x00 4. " ADMAIBE ,ADMA internal bus error" "No error,Error" bitfld.long 0x00 3. " ADMADCE ,ADMA descriptor error" "No error,Error" bitfld.long 0x00 2. " ADMALME ,ADMA length mismatch error" "No error,Error" newline bitfld.long 0x00 0.--1. " ADMAES ,ADMA error state" "Idle,Fetch descriptor,Data transfer,Wait for ADMA to stop" group.long 0x58++0x07 line.long 0x00 "ADSADDRL,ADMA System Address Low Register" line.long 0x04 "ADSADDRH,ADMA System Address High Register" rgroup.long 0xFC++0x03 line.long 0x00 "HOSTVER,Host Controller Version Register" hexmask.long.byte 0x00 8.--15. 1. " VVN ,Vendor version number" hexmask.long.byte 0x00 0.--7. 1. " SVN ,Specification version number" rgroup.long 0x104++0x0B line.long 0x00 "DMAERRADDRL,DMA Error Address Low Register" line.long 0x04 "DMAERRADDRH,DMA Error Address High Register" line.long 0x08 "DMAERRATTR,DMA Error Attribute Register" bitfld.long 0x08 4.--6. " DMA_SIZE ,System bus burst size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 0.--3. " DMA_LEN ,System bus burst length" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x114++0x03 line.long 0x00 "HOSTCAPBLT2,Host Controller Capabilities Register 2" bitfld.long 0x00 14.--15. " RTM ,Re-tuning modes" "Mode 1,Mode 2,Mode 3,?..." bitfld.long 0x00 13. " UTSDR50 ,Use tuning for SDR50" "Not supported,Supported" bitfld.long 0x00 8.--11. " TCRT ,Timer count for re-tuning" "Disabled,1s,2s,4s,8s,16s,32s,64s,128s,256s,512s,1024s,,,,Other source" newline bitfld.long 0x00 6. " DTDS ,Driver type D support" "Not supported,Supported" bitfld.long 0x00 5. " DTCS ,Driver type C support" "Not supported,Supported" bitfld.long 0x00 4. " DTAS ,Driver type A support" "Not supported,Supported" newline bitfld.long 0x00 2. " DDR50 ,DDR50 support" "Not supported,Supported" bitfld.long 0x00 1. " SDR104 ,SDR104 support" "Not supported,Supported" bitfld.long 0x00 0. " SDR50 ,SDR50 support" "Not supported,Supported" group.long 0x120++0x0B line.long 0x00 "TBCTL,Tuning Block Control Register" bitfld.long 0x00 6. " HS400_WNDW_ADJUST ,HS400 tuning window adjust" "Not shifted,Shifted" bitfld.long 0x00 5. " SAMPL_CMD_RSP_DQS ,Sample command response on DQS" "DQS,SD clock" bitfld.long 0x00 4. " HS400_MODE ,HS400 mode enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " TB_EN ,Tuning block enabled" "Disabled,Enabled" bitfld.long 0x00 0.--1. " TB_MODE ,Tuning mode" "Mode 1,Mode 2,Mode 3,?..." line.long 0x04 "TBSTAT,Tuning Block Status Register" line.long 0x08 "TBPTR,Tuning Block Pointer Register" hexmask.long.byte 0x08 8.--14. 0x01 " TB_WNDW_STRT_PTR ,Selects window start pointer for software tuning mode" hexmask.long.byte 0x08 0.--6. 0x01 " TB_WNDW_END_PTR ,Selects window end pointer for software tuning mode" group.long 0x140++0x0B line.long 0x00 "SDDIRCTL,SD Direction Control Register" bitfld.long 0x00 0.--2. " DIR_CTL ,Direction control-SD clock periods for turnaround" "Not required,1 SD clk,2 SD clk,3 SD clk,4 SD clk,5 SD clk,6 SD clk,7 SD clk" line.long 0x04 "SDCLKCTL,SD Clock Control Register" bitfld.long 0x04 31. " LPBK_CLK_SEL ,SD loopback clock delay" "Internal,External" bitfld.long 0x04 30. " LPBK_SD_CLK_DLY_DIR ,SD loopback clock delay direction" "Delayed,Early" hexmask.long.word 0x04 16.--27. 1. " LPBK_CLK_DLY ,SD loopback clock delay" newline bitfld.long 0x04 15. " CMD_CLK_CTL ,Command logic clock control" "Same,25% earlier" line.long 0x08 "SDTIMNGCTL,SD Timing Control Register" bitfld.long 0x08 15. " FLW_CTL_BG ,Flow control at block gap enable" "Disabled,Enabled" bitfld.long 0x08 5. " OBE_EARLY ,Output buffer enable early" "Disabled,Enabled" group.long 0x160++0x07 line.long 0x00 "DLLCFG0,DLL Config 0 Register" bitfld.long 0x00 31. " DLL_ENABLE ,DLL enable" "Disabled,Enabled" bitfld.long 0x00 30. " DLL_RESET ,DLL reset" "No reset,Reset" bitfld.long 0x00 27. " DLL_FREQ_SEL ,This field selects between the fast and the slow delay chain for DQS shift" "Slow,Fast" line.long 0x04 "DLLCFG1,DLL Config 1 Register" bitfld.long 0x04 31. " DLL_PD_PULSE_STRETCH_SEL ,Used to select between a 2 delay cells or 4 delays cells" "4 delay cells,2 delay cells" rgroup.long 0x170++0x03 line.long 0x00 "DLLSTAT0,DLL Status 0 Register" bitfld.long 0x00 31. " DLL_STS_REF_LOCK ,DLL reference delay line lock status" "Not locked,Locked" bitfld.long 0x00 27. " DLL_STS_SLV_LOCK ,DLL slave delay chain lock status" "Not locked,Locked" hexmask.long.byte 0x00 12.--19. 1. " DLL_STS_REF_SEL ,Status of selected tap for reference delay line" newline hexmask.long.byte 0x00 0.--7. 1. " DLL_STS_SLV_SEL ,Status of selected tap for slave delay line" group.long 0x40C++0x03 line.long 0x00 "ESDHCCTL,ESDHC Control Register" bitfld.long 0x00 20.--21. " RTOCV ,Register timeout count value" "2^10 clocks,2^11 clocks,2^12 clocks,2^13 clocks" bitfld.long 0x00 19. " PCS ,Peripheral clock select" "Platform,Peripheral/2" bitfld.long 0x00 18. " FAF ,Flush asynchronous FIFO" "Not flushed,Flushed" newline rbitfld.long 0x00 17. " RTR ,Re-tuning request" "Not requested,Requested" bitfld.long 0x00 16. " CRS ,Clock register select" "8-bit/DVS active,10-bit/CGS active" bitfld.long 0x00 8.--12. " RD_PRFTCH_BLKCNT ,Read prefetch block count in SD blocks" "No prefetch,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 7. " PAD_DIS ,Pad disable" "No,Yes" bitfld.long 0x00 6. " SNOOP ,DMA transaction snoop enable" "Disabled,Enabled" bitfld.long 0x00 3. " WR_BUF ,Write bufferable" "Not bufferable,Bufferable" newline bitfld.long 0x00 2. " RD_SAFE ,Read safe (to read more bytes that were intended)" "Not safe,Safe" width 0x0B tree.end tree "eSDHC 2" base ad:0x02150000 width 18. group.long 0x00++0x03 line.long 0x00 "DSADDR_BLKATTR2,SDMA System Address Register/Block Attributes 2" if (((per.l(ad:0x02150000+0x0C))&0x02)==0x02) group.long 0x04++0x03 line.long 0x00 "BLKATTR,Block Attributes Register" hexmask.long.word 0x00 16.--31. 1. " BLKCNT ,Blocks count for current transfer" hexmask.long.word 0x00 0.--11. 1. " BLKSIZE ,Transfer block size" else group.long 0x04++0x03 line.long 0x00 "BLKATTR,Block Attributes Register" hexmask.long.word 0x00 0.--11. 1. " BLKSIZE ,Transfer block size" endif if (((per.l(ad:0x02150000+0x24))&0x02)==0x00) rgroup.long 0x08++0x03 line.long 0x00 "CMDARG,Command Argument Register" else group.long 0x08++0x03 line.long 0x00 "CMDARG,Command Argument Register" endif group.long 0x0C++0x03 line.long 0x00 "XFERTYP,Transfer Type Register" bitfld.long 0x00 24.--29. " CMDINX ,Command index" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 22.--23. " CMDTYP ,Command type" "Normal,Suspend CMD52,Resume CMD52,Abort CMD12/CMD52" bitfld.long 0x00 21. " DPSEL ,Data present select" "Not present,Present" newline bitfld.long 0x00 20. " CICEN ,Command index check enable" "Disabled,Enabled" bitfld.long 0x00 19. " CCCEN ,Command CRC check enable" "Disabled,Enabled" bitfld.long 0x00 16.--17. " RSPTYP ,Response type select" "No response,136,48,48-check busy after" newline bitfld.long 0x00 5. " MSBSEL ,Multi-/single-block select" "Single,Multiple" bitfld.long 0x00 4. " DTDSEL ,Data transfer direction select" "Write (host to card),Read (card to host)" bitfld.long 0x00 2.--3. " ACEN ,Auto CMD12 enable" "CMD disabled,CMD12 enabled,CMD23 enabled,?..." newline bitfld.long 0x00 1. " BCEN ,Block count enable" "Disabled,Enabled" bitfld.long 0x00 0. " DMAEN ,DMA enable" "Disabled,Enabled" rgroup.long 0x10++0x0F line.long 0x00 "CMDRSP0,Command Response 0 Register" line.long 0x04 "CMDRSP1,Command Response 1 Register" line.long 0x08 "CMDRSP2,Command Response 2 Register" line.long 0x0C "CMDRSP3,Command Response 3 Register" group.long 0x20++0x03 line.long 0x00 "DATPORT,Buffer Data Port Register" rgroup.long 0x24++0x03 line.long 0x00 "PRSSTAT,Present State Register" bitfld.long 0x00 31. " DLSL[7] ,DAT 7 line signal level" "Low,High" bitfld.long 0x00 30. " [6] ,DAT 6 line signal level" "Low,High" bitfld.long 0x00 29. " [5] ,DAT 5 line signal level" "Low,High" newline bitfld.long 0x00 28. " [4] ,DAT 4 line signal level" "Low,High" bitfld.long 0x00 27. " [3] ,DAT 3 line signal level" "Low,High" bitfld.long 0x00 26. " [2] ,DAT 2 line signal level" "Low,High" newline bitfld.long 0x00 25. " [1] ,DAT 1 line signal level" "Low,High" bitfld.long 0x00 24. " [0] ,DAT 0 line signal level" "Low,High" bitfld.long 0x00 23. " CLSL ,CMD line signal level" "Low,High" newline bitfld.long 0x00 19. " WPS ,Write protect state" "Protected,Not protected" bitfld.long 0x00 18. " CDS ,Card detect state" "Not present,Present" bitfld.long 0x00 16. " CINS ,Card inserted" "Not inserted,Inserted" newline bitfld.long 0x00 11. " BREN ,Buffer read enable" "Disabled,Enabled" bitfld.long 0x00 10. " BWEN ,Buffer write enable" "Disabled,Enabled" bitfld.long 0x00 9. " RTA ,Read transfer active" "Inactive,Active" newline bitfld.long 0x00 8. " WTA ,Write transfer active" "Inactive,Active" bitfld.long 0x00 7. " SDOFF ,SD clock gated off internally" "Active,Gated off" bitfld.long 0x00 3. " SDSTB ,SD clock stable" "Not stable,Stable" newline bitfld.long 0x00 2. " DLA ,Data line active" "Inactive,Active" bitfld.long 0x00 1. " CDIHB ,Command inhibit (DAT)" "Not inhibited,Inhibited" bitfld.long 0x00 0. " CIHB ,Command inhibit (CMD)" "Not inhibited,Inhibited" group.long 0x28++0x03 line.long 0x00 "PROCTL,Protocol Control Register" bitfld.long 0x00 26. " WECRM ,Wakeup event enable on SD card removal enable" "Disabled,Enabled" bitfld.long 0x00 25. " WECINS ,Wakeup event enable on SD card insertion enable" "Disabled,Enabled" bitfld.long 0x00 24. " WECINT ,Wakeup event enable on card interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 19. " IABG ,Interrupt at block gap enable" "Disabled,Enabled" bitfld.long 0x00 18. " RWCTL ,Read wait control enable" "Disabled,Enabled" bitfld.long 0x00 17. " CREQ ,Continue request" "No effect,Restart" newline bitfld.long 0x00 16. " SABGREQ ,Stop at block gap request" "Transfer,Stop" bitfld.long 0x00 10. " VOLT_SEL ,Voltage selection" "3.0V,1.8V" bitfld.long 0x00 8.--9. " DMAS ,DMA select" "Single DMA,ADMA1,,64-bit ADMA2" newline bitfld.long 0x00 7. " CDSS ,Card detect signal selection" "SD CD pin,CDTL bit" bitfld.long 0x00 6. " CDTL ,Card detect test level" "Low,High" bitfld.long 0x00 4.--5. " EMODE ,Endian mode" "Big endian,,Little endian,?..." newline bitfld.long 0x00 1.--2. " DTW ,Data transfer width" "1-bit,4-bit,8-bit,?..." if (((per.l(ad:0x02150000+0x40C))&0x10000)==0x10000) group.long 0x2C++0x03 line.long 0x00 "SYSCTL_ESDHCCTL,System Control Register" bitfld.long 0x00 27. " INITA ,Initialization active" "Not active,Active" bitfld.long 0x00 26. " RSTD ,Software reset for DAT line" "No reset,Reset" bitfld.long 0x00 25. " RSTC ,Software reset for CMD line" "No reset,Reset" newline bitfld.long 0x00 24. " RSTA ,Software reset for all" "No reset,Reset" bitfld.long 0x00 16.--19. " DTOCV ,Data timeout counter value" "SDCLK x 2^13,SDCLK x 2^14,SDCLK x 2^15,SDCLK x 2^16,SDCLK x 2^17,SDCLK x 2^18,SDCLK x 2^19,SDCLK x 2^20,SDCLK x 2^21,SDCLK x 2^22,SDCLK x 2^23,SDCLK x 2^24,SDCLK x 2^25,SDCLK x 2^26,SDCLK x 2^27,?..." hexmask.long.byte 0x00 8.--15. 1. " SDCLKFS ,SDCLK frequency select" newline bitfld.long 0x00 6.--7. " USDCLKFS ,Upper bits of SDCLK frequency select" "0,1,2,3" bitfld.long 0x00 5. " CGS ,10-bit SDCLKFS clock mode" "Divided,Programmable" bitfld.long 0x00 3. " SDCLKEN ,SD clock enable" "Disabled,Enabled" else group.long 0x2C++0x03 line.long 0x00 "SYSCTL_ESDHCCTL,System Control Register" bitfld.long 0x00 27. " INITA ,Initialization active" "Not active,Active" bitfld.long 0x00 26. " RSTD ,Software reset for DAT line" "No reset,Reset" bitfld.long 0x00 25. " RSTC ,Software reset for CMD line" "No reset,Reset" newline bitfld.long 0x00 24. " RSTA ,Software reset for all" "No reset,Reset" bitfld.long 0x00 16.--19. " DTOCV ,Data timeout counter value" "SDCLK x 2^13,SDCLK x 2^14,SDCLK x 2^15,SDCLK x 2^16,SDCLK x 2^17,SDCLK x 2^18,SDCLK x 2^19,SDCLK x 2^20,SDCLK x 2^21,SDCLK x 2^22,SDCLK x 2^23,SDCLK x 2^24,SDCLK x 2^25,SDCLK x 2^26,SDCLK x 2^27,?..." hexmask.long.byte 0x00 8.--15. 1. " SDCLKFS ,SDCLK frequency select" newline bitfld.long 0x00 4.--7. " DVS ,Divisor" "/1,/2,/3,/4,/5,/6,/7,/8,/9,/10,/11,/12,/13,/14,/15,/16" bitfld.long 0x00 3. " SDCLKEN ,SD clock enable" "Disabled,Enabled" endif group.long 0x30++0x0F line.long 0x00 "IRQSTAT,Interrupt Status Register" eventfld.long 0x00 29. " RTOE ,Register access timeout error" "No error,Error" eventfld.long 0x00 28. " DMAE ,DMA error" "No error,Error" eventfld.long 0x00 26. " TNE ,Tuning error" "No error,Error" newline eventfld.long 0x00 25. " ADMAE ,ADMA error" "No error,Error" eventfld.long 0x00 24. " AC12E ,Auto CMD12 error" "No error,Error" eventfld.long 0x00 22. " DEBE ,Data end bit error" "No error,Error" newline eventfld.long 0x00 21. " DCE ,Data CRC error" "No error,Error" eventfld.long 0x00 20. " DTOE ,Data timeout error" "No error,Error" eventfld.long 0x00 19. " CIE ,Command index error" "No error,Error" newline eventfld.long 0x00 18. " CEBE ,Command end bit error" "No error,Error" eventfld.long 0x00 17. " CCE ,Command CRC error" "No error,Error" eventfld.long 0x00 16. " CTOE ,Command timeout error" "No error,Error" newline eventfld.long 0x00 12. " RTE ,Re-tuning event" "Not required,Required" rbitfld.long 0x00 8. " CINT ,Card interrupt" "No interrupt,Interrupt" eventfld.long 0x00 7. " CRM ,Card removal" "Inserted or unstable,Removed" newline eventfld.long 0x00 6. " CINS ,Card insertion" "Removed or unstable,Inserted" eventfld.long 0x00 5. " BRR ,Buffer read ready" "Not ready,Ready" eventfld.long 0x00 4. " BWR ,Buffer write ready" "Not ready,Ready" newline eventfld.long 0x00 3. " DINT ,DMA interrupt" "No interrupt,Interrupt" eventfld.long 0x00 2. " BGE ,Block gap event" "No block gap,Stopped at block gap" eventfld.long 0x00 1. " TC ,Transfer complete" "Not completed,Completed" newline eventfld.long 0x00 0. " CC ,Command complete" "Not completed,Completed" line.long 0x04 "IRQSTATEN,Interrupt Status Enable Register" bitfld.long 0x04 29. " RTOESEN ,Register access timeout status enable" "Disabled,Enabled" bitfld.long 0x04 28. " DMAESEN ,DMA error status enable" "Disabled,Enabled" bitfld.long 0x04 26. " TNESEN ,Tuning error status enable" "Disabled,Enabled" newline bitfld.long 0x04 25. " ADMAESEN ,ADMA error status enable" "Disabled,Enabled" bitfld.long 0x04 24. " AC12ESEN ,Auto CMD12 error status enable" "Disabled,Enabled" bitfld.long 0x04 22. " DEBESEN ,Data end bit error status enable" "Disabled,Enabled" newline bitfld.long 0x04 21. " DCESEN ,Data CRC error status enable" "Disabled,Enabled" bitfld.long 0x04 20. " DTOESEN ,Data timeout error status enable" "Disabled,Enabled" bitfld.long 0x04 19. " CIESEN ,Command index error status enable" "Disabled,Enabled" newline bitfld.long 0x04 18. " CEBESEN ,Command end bit error status enable" "Disabled,Enabled" bitfld.long 0x04 17. " CCESEN ,Command CRC error status enable" "Disabled,Enabled" bitfld.long 0x04 16. " CTOESEN ,Command timeout error status enable" "Disabled,Enabled" newline bitfld.long 0x04 12. " RTESEN ,Re-tuning event status enable" "Disabled,Enabled" bitfld.long 0x04 8. " CINTSEN ,Card interrupt status enable" "Disabled,Enabled" bitfld.long 0x04 7. " CRMSEN ,Card removal status enable" "Disabled,Enabled" newline bitfld.long 0x04 6. " CINSEN ,Card insertion status enable" "Disabled,Enabled" bitfld.long 0x04 5. " BRRSEN ,Buffer read ready status enable" "Disabled,Enabled" bitfld.long 0x04 4. " BWRSEN ,Buffer write ready status enable" "Disabled,Enabled" newline bitfld.long 0x04 3. " DINTSEN ,DMA interrupt status enable" "Disabled,Enabled" bitfld.long 0x04 2. " BGESEN ,Block gap event status enable" "Disabled,Enabled" bitfld.long 0x04 1. " TCSEN ,Transfer complete status enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " CCSEN ,Command complete status enable" "Disabled,Enabled" line.long 0x08 "IRQSIGEN,Interrupt Signal Enable Register" bitfld.long 0x08 29. " RTOEIEN ,Register access timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x08 28. " DMAEIEN ,DMA error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 26. " TNEIEN ,Tuning error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 25. " ADMAEIEN ,ADMA error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 24. " AC12EIEN ,Auto CMD12 error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 22. " DEBEIEN ,Data end bit error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 21. " DCEIEN ,Data CRC error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 20. " DTOEIEN ,Data timeout error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 19. " CIEIEN ,Command index error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 18. " CEBEIEN ,Command end bit error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 17. " CCEIEN ,Command CRC error interrupt enable" "Disabled,Enabled" bitfld.long 0x08 16. " CTOEIEN ,Command timeout error interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 12. " RTEIEN ,Re-tuning event interrupt enable" "Disabled,Enabled" bitfld.long 0x08 8. " CINTIEN ,Card interrupt interrupt enable" "Disabled,Enabled" bitfld.long 0x08 7. " CRMIEN ,Card removal interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 6. " CINSIEN ,Card insertion interrupt enable" "Disabled,Enabled" bitfld.long 0x08 5. " BRRIEN ,Buffer read ready interrupt enable" "Disabled,Enabled" bitfld.long 0x08 4. " BWRIEN ,Buffer write ready interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 3. " DINTIEN ,DMA interrupt enable" "Disabled,Enabled" bitfld.long 0x08 2. " BGEIEN ,Block gap event interrupt enable" "Disabled,Enabled" bitfld.long 0x08 1. " TCIEN ,Transfer complete interrupt enable" "Disabled,Enabled" newline bitfld.long 0x08 0. " CCIEN ,Command complete interrupt enable" "Disabled,Enabled" line.long 0x0C "AUTOCERR_SYSCTL2,Auto CMD Error Status Register / System Control 2 Register" bitfld.long 0x0C 30. " AIE ,Asynchronous interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 23. " SMPCLKSEL ,Sampling clock select" "Unsuccessful,Successful" bitfld.long 0x0C 22. " EXTN ,Execute tuning" "Not executed,Executed" newline bitfld.long 0x0C 16.--18. " UHSM ,UHS mode select" "SD: SDR12 SD2.0: 52Mhz,SD: SDR25,SD: SDR50,SD: SDR104 MMC: HS200,DDR,?..." rbitfld.long 0x0C 7. " CNIBAC12E ,Command not issued by auto CMD12 error" "No error,Error" rbitfld.long 0x0C 4. " AC12IE ,Auto CMD index error" "No error,Error" newline rbitfld.long 0x0C 3. " AC12EBE ,Auto CMD end bit error" "No error,Error" rbitfld.long 0x0C 2. " AC12CE ,Auto CMD CRC error" "No error,Error" rbitfld.long 0x0C 1. " AC12TOE ,Auto CMD timeout error" "No error,Error" newline rbitfld.long 0x0C 0. " AC12NE ,Auto CMD12 not executed" "Executed,Not executed" rgroup.long 0x40++0x03 line.long 0x00 "HOSTCAPBLT,Host Controller Capabilities Register" bitfld.long 0x00 29. " AIS ,Asynchronous interrupt support" "Not supported,Supported" bitfld.long 0x00 28. " 64BSBS ,64-bit system bus support" "Not supported,Supported" bitfld.long 0x00 26. " VS18 ,Voltage support 1.8V" "Not supported,Supported" newline bitfld.long 0x00 25. " VS30 ,Voltage support 3.0V" "Not supported,Supported" bitfld.long 0x00 24. " VS33 ,Voltage support 3.3V" "Not supported,Supported" bitfld.long 0x00 23. " SRS ,Suspend/resume support" "Not supported,Supported" newline bitfld.long 0x00 22. " DMAS ,DMA support" "Not supported,Supported" bitfld.long 0x00 21. " HSS ,High speed support" "Not supported,Supported" bitfld.long 0x00 20. " ADMAS ,ADMA support" "Not supported,Supported" newline bitfld.long 0x00 16.--18. " MBL ,Maximum block length in bytes" "512,1024,2048,?..." group.long 0x44++0x03 line.long 0x00 "WML,Watermark Level Register" bitfld.long 0x00 24.--27. " WR_BRST_LEN ,Max write burst length" "16,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 16.--23. 1. " WR_WML ,Write watermark level" bitfld.long 0x00 8.--11. " RD_BRST_LEN ,Max read burst length" "16,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 0.--7. 1. " RD_WML ,Read watermark level" wgroup.long 0x50++0x03 line.long 0x00 "FEVT,Force Event Register" bitfld.long 0x00 28. " FEVTDMAE ,Force event DMA error" "No effect,Force" bitfld.long 0x00 25. " FEVTADMAE ,Force event ADMA error" "No effect,Force" bitfld.long 0x00 24. " FEVTAC12E ,Force event auto CMD12 error" "No effect,Force" newline bitfld.long 0x00 22. " FEVTDEBE ,Force event data end bit error" "No effect,Force" bitfld.long 0x00 21. " FEVTDCE ,Force event data CRC error" "No effect,Force" bitfld.long 0x00 20. " FEVTDTOE ,Force event data time out error" "No effect,Force" newline bitfld.long 0x00 19. " FEVTCIE ,Force event command index error" "No effect,Force" bitfld.long 0x00 18. " FEVTCEBE ,Force event command end bit error" "No effect,Force" bitfld.long 0x00 17. " FEVTCCE ,Force event command CRC error" "No effect,Force" newline bitfld.long 0x00 16. " FEVTCTOE ,Force event command time out error" "No effect,Force" bitfld.long 0x00 7. " FEVTCNIBAC12E ,Force event command not executed by auto CMD12 error" "No effect,Force" bitfld.long 0x00 4. " FEVTAC12IE ,Force event auto CMD12 index error" "No effect,Force" newline bitfld.long 0x00 3. " FEVTAC12EBE ,Force event auto CMD12 end bit error" "No effect,Force" bitfld.long 0x00 2. " FEVTAC12CE ,Force event auto CMD12 CRC error" "No effect,Force" bitfld.long 0x00 1. " EVTAC12TOE ,Force event auto CMD12 time out error" "No effect,Force" newline bitfld.long 0x00 0. " FEVTAC12NE ,Force event auto CMD12 not executed" "No effect,Force" rgroup.long 0x54++0x03 line.long 0x00 "ADMAES,ADMA Error Status Register" bitfld.long 0x00 4. " ADMAIBE ,ADMA internal bus error" "No error,Error" bitfld.long 0x00 3. " ADMADCE ,ADMA descriptor error" "No error,Error" bitfld.long 0x00 2. " ADMALME ,ADMA length mismatch error" "No error,Error" newline bitfld.long 0x00 0.--1. " ADMAES ,ADMA error state" "Idle,Fetch descriptor,Data transfer,Wait for ADMA to stop" group.long 0x58++0x07 line.long 0x00 "ADSADDRL,ADMA System Address Low Register" line.long 0x04 "ADSADDRH,ADMA System Address High Register" rgroup.long 0xFC++0x03 line.long 0x00 "HOSTVER,Host Controller Version Register" hexmask.long.byte 0x00 8.--15. 1. " VVN ,Vendor version number" hexmask.long.byte 0x00 0.--7. 1. " SVN ,Specification version number" rgroup.long 0x104++0x0B line.long 0x00 "DMAERRADDRL,DMA Error Address Low Register" line.long 0x04 "DMAERRADDRH,DMA Error Address High Register" line.long 0x08 "DMAERRATTR,DMA Error Attribute Register" bitfld.long 0x08 4.--6. " DMA_SIZE ,System bus burst size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 0.--3. " DMA_LEN ,System bus burst length" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x114++0x03 line.long 0x00 "HOSTCAPBLT2,Host Controller Capabilities Register 2" bitfld.long 0x00 14.--15. " RTM ,Re-tuning modes" "Mode 1,Mode 2,Mode 3,?..." bitfld.long 0x00 13. " UTSDR50 ,Use tuning for SDR50" "Not supported,Supported" bitfld.long 0x00 8.--11. " TCRT ,Timer count for re-tuning" "Disabled,1s,2s,4s,8s,16s,32s,64s,128s,256s,512s,1024s,,,,Other source" newline bitfld.long 0x00 6. " DTDS ,Driver type D support" "Not supported,Supported" bitfld.long 0x00 5. " DTCS ,Driver type C support" "Not supported,Supported" bitfld.long 0x00 4. " DTAS ,Driver type A support" "Not supported,Supported" newline bitfld.long 0x00 2. " DDR50 ,DDR50 support" "Not supported,Supported" bitfld.long 0x00 1. " SDR104 ,SDR104 support" "Not supported,Supported" bitfld.long 0x00 0. " SDR50 ,SDR50 support" "Not supported,Supported" group.long 0x120++0x0B line.long 0x00 "TBCTL,Tuning Block Control Register" bitfld.long 0x00 6. " HS400_WNDW_ADJUST ,HS400 tuning window adjust" "Not shifted,Shifted" bitfld.long 0x00 5. " SAMPL_CMD_RSP_DQS ,Sample command response on DQS" "DQS,SD clock" bitfld.long 0x00 4. " HS400_MODE ,HS400 mode enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " TB_EN ,Tuning block enabled" "Disabled,Enabled" bitfld.long 0x00 0.--1. " TB_MODE ,Tuning mode" "Mode 1,Mode 2,Mode 3,?..." line.long 0x04 "TBSTAT,Tuning Block Status Register" line.long 0x08 "TBPTR,Tuning Block Pointer Register" hexmask.long.byte 0x08 8.--14. 0x01 " TB_WNDW_STRT_PTR ,Selects window start pointer for software tuning mode" hexmask.long.byte 0x08 0.--6. 0x01 " TB_WNDW_END_PTR ,Selects window end pointer for software tuning mode" group.long 0x140++0x0B line.long 0x00 "SDDIRCTL,SD Direction Control Register" bitfld.long 0x00 0.--2. " DIR_CTL ,Direction control-SD clock periods for turnaround" "Not required,1 SD clk,2 SD clk,3 SD clk,4 SD clk,5 SD clk,6 SD clk,7 SD clk" line.long 0x04 "SDCLKCTL,SD Clock Control Register" bitfld.long 0x04 31. " LPBK_CLK_SEL ,SD loopback clock delay" "Internal,External" bitfld.long 0x04 30. " LPBK_SD_CLK_DLY_DIR ,SD loopback clock delay direction" "Delayed,Early" hexmask.long.word 0x04 16.--27. 1. " LPBK_CLK_DLY ,SD loopback clock delay" newline bitfld.long 0x04 15. " CMD_CLK_CTL ,Command logic clock control" "Same,25% earlier" line.long 0x08 "SDTIMNGCTL,SD Timing Control Register" bitfld.long 0x08 15. " FLW_CTL_BG ,Flow control at block gap enable" "Disabled,Enabled" bitfld.long 0x08 5. " OBE_EARLY ,Output buffer enable early" "Disabled,Enabled" group.long 0x160++0x07 line.long 0x00 "DLLCFG0,DLL Config 0 Register" bitfld.long 0x00 31. " DLL_ENABLE ,DLL enable" "Disabled,Enabled" bitfld.long 0x00 30. " DLL_RESET ,DLL reset" "No reset,Reset" bitfld.long 0x00 27. " DLL_FREQ_SEL ,This field selects between the fast and the slow delay chain for DQS shift" "Slow,Fast" line.long 0x04 "DLLCFG1,DLL Config 1 Register" bitfld.long 0x04 31. " DLL_PD_PULSE_STRETCH_SEL ,Used to select between a 2 delay cells or 4 delays cells" "4 delay cells,2 delay cells" rgroup.long 0x170++0x03 line.long 0x00 "DLLSTAT0,DLL Status 0 Register" bitfld.long 0x00 31. " DLL_STS_REF_LOCK ,DLL reference delay line lock status" "Not locked,Locked" bitfld.long 0x00 27. " DLL_STS_SLV_LOCK ,DLL slave delay chain lock status" "Not locked,Locked" hexmask.long.byte 0x00 12.--19. 1. " DLL_STS_REF_SEL ,Status of selected tap for reference delay line" newline hexmask.long.byte 0x00 0.--7. 1. " DLL_STS_SLV_SEL ,Status of selected tap for slave delay line" group.long 0x40C++0x03 line.long 0x00 "ESDHCCTL,ESDHC Control Register" bitfld.long 0x00 20.--21. " RTOCV ,Register timeout count value" "2^10 clocks,2^11 clocks,2^12 clocks,2^13 clocks" bitfld.long 0x00 19. " PCS ,Peripheral clock select" "Platform,Peripheral/2" bitfld.long 0x00 18. " FAF ,Flush asynchronous FIFO" "Not flushed,Flushed" newline rbitfld.long 0x00 17. " RTR ,Re-tuning request" "Not requested,Requested" bitfld.long 0x00 16. " CRS ,Clock register select" "8-bit/DVS active,10-bit/CGS active" bitfld.long 0x00 8.--12. " RD_PRFTCH_BLKCNT ,Read prefetch block count in SD blocks" "No prefetch,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 7. " PAD_DIS ,Pad disable" "No,Yes" bitfld.long 0x00 6. " SNOOP ,DMA transaction snoop enable" "Disabled,Enabled" bitfld.long 0x00 3. " WR_BUF ,Write bufferable" "Not bufferable,Bufferable" newline bitfld.long 0x00 2. " RD_SAFE ,Read safe (to read more bytes that were intended)" "Not safe,Safe" width 0x0B tree.end tree.end tree.open "FlexCAN (Controller Area Network)" tree "CAN 1" base ad:0x02180000 width 11. if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" bitfld.long 0x00 31. " MDIS ,Module disable" "No,Yes" bitfld.long 0x00 30. " FRZ ,Freeze enable" "Disabled,Enabled" bitfld.long 0x00 29. " RFEN ,RX FIFO enable" "Disabled,Enabled" bitfld.long 0x00 28. " HALT ,Halt flexcan" "Not halted,Halted" newline rbitfld.long 0x00 27. " NOTRDY ,FlexCAN not ready" "Ready,Not ready" bitfld.long 0x00 26. " WAKMSK ,Wake up interrupt enable" "Disabled,Enabled" bitfld.long 0x00 25. " SOFTRST ,Soft reset" "No reset,Reset" rbitfld.long 0x00 24. " FRZACK ,Freeze mode acknowledge" "Not in mode,In mode" newline bitfld.long 0x00 23. " SUPV ,Supervisor mode" "User,Supervisor" bitfld.long 0x00 22. " SLFWAK ,Self wake up" "Disabled,Enabled" bitfld.long 0x00 21. " WRNEN ,Warning interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 20. " LPMACK ,Low-power mode acknowledge" "Not in mode,In mode" newline bitfld.long 0x00 19. " WAKSRC ,Wake up source" "Unfiltered,Filtered" bitfld.long 0x00 17. " SRXDIS ,Self reception disable" "No,Yes" bitfld.long 0x00 16. " IRMQ ,Individual RX masking and queue enable" "Disabled,Enabled" bitfld.long 0x00 13. " LPRIOEN ,Local priority enable" "Disabled,Enabled" newline bitfld.long 0x00 12. " AEN ,Abort enable" "Disabled,Enabled" bitfld.long 0x00 11. " FDEN ,CAN flexible data rate enable" "Disabled,Enabled" bitfld.long 0x00 8.--9. " IDAM ,ID acceptance mode" "Format A,Format B,Format C,Format D" hexmask.long.byte 0x00 0.--6. 1. " MAXMB ,Number of the last message buffer" else group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" bitfld.long 0x00 31. " MDIS ,Module disable" "No,Yes" bitfld.long 0x00 30. " FRZ ,Freeze enable" "Disabled,Enabled" rbitfld.long 0x00 29. " RFEN ,RX FIFO enable" "Disabled,Enabled" bitfld.long 0x00 28. " HALT ,Halt flexcan" "Not halted,Halted" newline rbitfld.long 0x00 27. " NOTRDY ,FlexCAN not ready" "Ready,Not ready" bitfld.long 0x00 26. " WAKMSK ,Wake up interrupt enable" "Disabled,Enabled" bitfld.long 0x00 25. " SOFTRST ,Soft reset" "No reset,Reset" rbitfld.long 0x00 24. " FRZACK ,Freeze mode acknowledge" "Not in mode,In mode" newline rbitfld.long 0x00 23. " SUPV ,Supervisor mode" "User,Supervisor" bitfld.long 0x00 22. " SLFWAK ,Self wake up" "Disabled,Enabled" rbitfld.long 0x00 21. " WRNEN ,Warning interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 20. " LPMACK ,Low-power mode acknowledge" "Not in mode,In mode" newline rbitfld.long 0x00 19. " WAKSRC ,Wake up source" "Unfiltered,Filtered" rbitfld.long 0x00 17. " SRXDIS ,Self reception disable" "No,Yes" rbitfld.long 0x00 16. " IRMQ ,Individual RX masking and queue enable" "Disabled,Enabled" rbitfld.long 0x00 13. " LPRIOEN ,Local priority enable" "Disabled,Enabled" newline rbitfld.long 0x00 12. " AEN ,Abort enable" "Disabled,Enabled" rbitfld.long 0x00 11. " FDEN ,CAN flexible data rate enable" "Disabled,Enabled" rbitfld.long 0x00 8.--9. " IDAM ,ID acceptance mode" "Format A,Format B,Format C,Format D" hexmask.long.byte 0x00 0.--6. 1. " MAXMB ,Number of the last message buffer" endif if ((per.l(ad:0x02180000)&0x81200000)==0x81200000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" bitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" bitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" bitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" bitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline bitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline bitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" bitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" bitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" bitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02180000)&0x81200000)==0x81000000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" bitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" bitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" bitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" bitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline rbitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline bitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" bitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" bitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" bitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02180000)&0x81200000)==0x80200000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" rbitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" rbitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" rbitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" rbitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline bitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline rbitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" rbitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" rbitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" rbitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02180000)&0x81200000)==0x80000000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" rbitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" rbitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" rbitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" rbitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline rbitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline rbitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" rbitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" rbitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" rbitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02180000)&0x81200000)==0x1200000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" bitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" bitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" bitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" rbitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" bitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline bitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline bitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" bitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" bitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" bitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02180000)&0x81200000)==0x1000000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" bitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" bitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" bitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" rbitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" bitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline rbitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline bitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" bitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" bitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" bitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02180000)&0x81200000)==0x200000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" rbitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" rbitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" rbitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" rbitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" rbitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline bitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline rbitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" rbitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" rbitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" rbitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" else group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" rbitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" rbitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" rbitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" rbitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" rbitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline rbitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline rbitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" rbitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" rbitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" rbitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" endif group.long 0x08++0x03 line.long 0x00 "TIMER,Free Running Timer Register" hexmask.long.word 0x00 0.--15. 1. " TIMER ,Timer value" if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) rgroup.long 0x10++0x0F line.long 0x00 "RXMGMASK,RX Mailboxes Global Mask Register" bitfld.long 0x00 31. " MG[31] ,RX mailboxes global mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,RX mailboxes global mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,RX mailboxes global mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,RX mailboxes global mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,RX mailboxes global mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,RX mailboxes global mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,RX mailboxes global mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,RX mailboxes global mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,RX mailboxes global mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,RX mailboxes global mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,RX mailboxes global mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,RX mailboxes global mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,RX mailboxes global mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,RX mailboxes global mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,RX mailboxes global mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,RX mailboxes global mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,RX mailboxes global mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,RX mailboxes global mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,RX mailboxes global mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,RX mailboxes global mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,RX mailboxes global mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,RX mailboxes global mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,RX mailboxes global mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,RX mailboxes global mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,RX mailboxes global mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,RX mailboxes global mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,RX mailboxes global mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,RX mailboxes global mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,RX mailboxes global mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,RX mailboxes global mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,RX mailboxes global mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,RX mailboxes global mask bit 0" "Not masked,Masked" line.long 0x04 "RX14MASK,RX 14 Mask Register" bitfld.long 0x04 31. " RX14M[31] ,RX buffer 14 mask bit 31" "Not masked,Masked" bitfld.long 0x04 30. " [30] ,RX buffer 14 mask bit 30" "Not masked,Masked" bitfld.long 0x04 29. " [29] ,RX buffer 14 mask bit 29" "Not masked,Masked" bitfld.long 0x04 28. " [28] ,RX buffer 14 mask bit 28" "Not masked,Masked" newline bitfld.long 0x04 27. " [27] ,RX buffer 14 mask bit 27" "Not masked,Masked" bitfld.long 0x04 26. " [26] ,RX buffer 14 mask bit 26" "Not masked,Masked" bitfld.long 0x04 25. " [25] ,RX buffer 14 mask bit 25" "Not masked,Masked" bitfld.long 0x04 24. " [24] ,RX buffer 14 mask bit 24" "Not masked,Masked" newline bitfld.long 0x04 23. " [23] ,RX buffer 14 mask bit 23" "Not masked,Masked" bitfld.long 0x04 22. " [22] ,RX buffer 14 mask bit 22" "Not masked,Masked" bitfld.long 0x04 21. " [21] ,RX buffer 14 mask bit 21" "Not masked,Masked" bitfld.long 0x04 20. " [20] ,RX buffer 14 mask bit 20" "Not masked,Masked" newline bitfld.long 0x04 19. " [19] ,RX buffer 14 mask bit 19" "Not masked,Masked" bitfld.long 0x04 18. " [18] ,RX buffer 14 mask bit 18" "Not masked,Masked" bitfld.long 0x04 17. " [17] ,RX buffer 14 mask bit 17" "Not masked,Masked" bitfld.long 0x04 16. " [16] ,RX buffer 14 mask bit 16" "Not masked,Masked" newline bitfld.long 0x04 15. " [15] ,RX buffer 14 mask bit 15" "Not masked,Masked" bitfld.long 0x04 14. " [14] ,RX buffer 14 mask bit 14" "Not masked,Masked" bitfld.long 0x04 13. " [13] ,RX buffer 14 mask bit 13" "Not masked,Masked" bitfld.long 0x04 12. " [12] ,RX buffer 14 mask bit 12" "Not masked,Masked" newline bitfld.long 0x04 11. " [11] ,RX buffer 14 mask bit 11" "Not masked,Masked" bitfld.long 0x04 10. " [10] ,RX buffer 14 mask bit 10" "Not masked,Masked" bitfld.long 0x04 9. " [9] ,RX buffer 14 mask bit 9" "Not masked,Masked" bitfld.long 0x04 8. " [8] ,RX buffer 14 mask bit 8" "Not masked,Masked" newline bitfld.long 0x04 7. " [7] ,RX buffer 14 mask bit 7" "Not masked,Masked" bitfld.long 0x04 6. " [6] ,RX buffer 14 mask bit 6" "Not masked,Masked" bitfld.long 0x04 5. " [5] ,RX buffer 14 mask bit 5" "Not masked,Masked" bitfld.long 0x04 4. " [4] ,RX buffer 14 mask bit 4" "Not masked,Masked" newline bitfld.long 0x04 3. " [3] ,RX buffer 14 mask bit 3" "Not masked,Masked" bitfld.long 0x04 2. " [2] ,RX buffer 14 mask bit 2" "Not masked,Masked" bitfld.long 0x04 1. " [1] ,RX buffer 14 mask bit 1" "Not masked,Masked" bitfld.long 0x04 0. " [0] ,RX buffer 14 mask bit 0" "Not masked,Masked" line.long 0x08 "RX15MASK,RX 15 Mask Register" bitfld.long 0x08 31. " RX15M[31] ,RX buffer 15 mask bit 31" "Not masked,Masked" bitfld.long 0x08 30. " [30] ,RX buffer 15 mask bit 30" "Not masked,Masked" bitfld.long 0x08 29. " [29] ,RX buffer 15 mask bit 29" "Not masked,Masked" bitfld.long 0x08 28. " [28] ,RX buffer 15 mask bit 28" "Not masked,Masked" newline bitfld.long 0x08 27. " [27] ,RX buffer 15 mask bit 27" "Not masked,Masked" bitfld.long 0x08 26. " [26] ,RX buffer 15 mask bit 26" "Not masked,Masked" bitfld.long 0x08 25. " [25] ,RX buffer 15 mask bit 25" "Not masked,Masked" bitfld.long 0x08 24. " [24] ,RX buffer 15 mask bit 24" "Not masked,Masked" newline bitfld.long 0x08 23. " [23] ,RX buffer 15 mask bit 23" "Not masked,Masked" bitfld.long 0x08 22. " [22] ,RX buffer 15 mask bit 22" "Not masked,Masked" bitfld.long 0x08 21. " [21] ,RX buffer 15 mask bit 21" "Not masked,Masked" bitfld.long 0x08 20. " [20] ,RX buffer 15 mask bit 20" "Not masked,Masked" newline bitfld.long 0x08 19. " [19] ,RX buffer 15 mask bit 19" "Not masked,Masked" bitfld.long 0x08 18. " [18] ,RX buffer 15 mask bit 18" "Not masked,Masked" bitfld.long 0x08 17. " [17] ,RX buffer 15 mask bit 17" "Not masked,Masked" bitfld.long 0x08 16. " [16] ,RX buffer 15 mask bit 16" "Not masked,Masked" newline bitfld.long 0x08 15. " [15] ,RX buffer 15 mask bit 15" "Not masked,Masked" bitfld.long 0x08 14. " [14] ,RX buffer 15 mask bit 14" "Not masked,Masked" bitfld.long 0x08 13. " [13] ,RX buffer 15 mask bit 13" "Not masked,Masked" bitfld.long 0x08 12. " [12] ,RX buffer 15 mask bit 12" "Not masked,Masked" newline bitfld.long 0x08 11. " [11] ,RX buffer 15 mask bit 11" "Not masked,Masked" bitfld.long 0x08 10. " [10] ,RX buffer 15 mask bit 10" "Not masked,Masked" bitfld.long 0x08 9. " [9] ,RX buffer 15 mask bit 9" "Not masked,Masked" bitfld.long 0x08 8. " [8] ,RX buffer 15 mask bit 8" "Not masked,Masked" newline bitfld.long 0x08 7. " [7] ,RX buffer 15 mask bit 7" "Not masked,Masked" bitfld.long 0x08 6. " [6] ,RX buffer 15 mask bit 6" "Not masked,Masked" bitfld.long 0x08 5. " [5] ,RX buffer 15 mask bit 5" "Not masked,Masked" bitfld.long 0x08 4. " [4] ,RX buffer 15 mask bit 4" "Not masked,Masked" newline bitfld.long 0x08 3. " [3] ,RX buffer 15 mask bit 3" "Not masked,Masked" bitfld.long 0x08 2. " [2] ,RX buffer 15 mask bit 2" "Not masked,Masked" bitfld.long 0x08 1. " [1] ,RX buffer 15 mask bit 1" "Not masked,Masked" bitfld.long 0x08 0. " [0] ,RX buffer 15 mask bit 0" "Not masked,Masked" line.long 0x0C "ECR,Error Counter Register" rhexmask.long.byte 0x0C 24.--31. 1. " RXERRCNT_FAST ,Receive error counter for fast bits" rhexmask.long.byte 0x0C 16.--23. 1. " TXERRCNT_FAST ,Transmit error counter for fast bits" hexmask.long.byte 0x0C 8.--15. 1. " RXERRCNT ,Receive error counter" hexmask.long.byte 0x0C 0.--7. 1. " TXERRCNT ,Transmit error counter" else group.long 0x10++0x0F line.long 0x00 "RXMGMASK,RX Mailboxes Global Mask Register" bitfld.long 0x00 31. " MG[31] ,RX mailboxes global mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,RX mailboxes global mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,RX mailboxes global mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,RX mailboxes global mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,RX mailboxes global mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,RX mailboxes global mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,RX mailboxes global mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,RX mailboxes global mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,RX mailboxes global mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,RX mailboxes global mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,RX mailboxes global mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,RX mailboxes global mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,RX mailboxes global mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,RX mailboxes global mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,RX mailboxes global mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,RX mailboxes global mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,RX mailboxes global mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,RX mailboxes global mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,RX mailboxes global mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,RX mailboxes global mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,RX mailboxes global mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,RX mailboxes global mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,RX mailboxes global mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,RX mailboxes global mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,RX mailboxes global mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,RX mailboxes global mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,RX mailboxes global mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,RX mailboxes global mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,RX mailboxes global mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,RX mailboxes global mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,RX mailboxes global mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,RX mailboxes global mask bit 0" "Not masked,Masked" line.long 0x04 "RX14MASK,RX 14 Mask Register" bitfld.long 0x04 31. " RX14M[31] ,RX buffer 14 mask bit 31" "Not masked,Masked" bitfld.long 0x04 30. " [30] ,RX buffer 14 mask bit 30" "Not masked,Masked" bitfld.long 0x04 29. " [29] ,RX buffer 14 mask bit 29" "Not masked,Masked" bitfld.long 0x04 28. " [28] ,RX buffer 14 mask bit 28" "Not masked,Masked" newline bitfld.long 0x04 27. " [27] ,RX buffer 14 mask bit 27" "Not masked,Masked" bitfld.long 0x04 26. " [26] ,RX buffer 14 mask bit 26" "Not masked,Masked" bitfld.long 0x04 25. " [25] ,RX buffer 14 mask bit 25" "Not masked,Masked" bitfld.long 0x04 24. " [24] ,RX buffer 14 bask bit 24" "Not masked,Masked" newline bitfld.long 0x04 23. " [23] ,RX buffer 14 mask bit 23" "Not masked,Masked" bitfld.long 0x04 22. " [22] ,RX buffer 14 mask bit 22" "Not masked,Masked" bitfld.long 0x04 21. " [21] ,RX buffer 14 mask bit 21" "Not masked,Masked" bitfld.long 0x04 20. " [20] ,RX buffer 14 mask bit 20" "Not masked,Masked" newline bitfld.long 0x04 19. " [19] ,RX buffer 14 mask bit 19" "Not masked,Masked" bitfld.long 0x04 18. " [18] ,RX buffer 14 mask bit 18" "Not masked,Masked" bitfld.long 0x04 17. " [17] ,RX buffer 14 mask bit 17" "Not masked,Masked" bitfld.long 0x04 16. " [16] ,RX buffer 14 mask bit 16" "Not masked,Masked" newline bitfld.long 0x04 15. " [15] ,RX buffer 14 mask bit 15" "Not masked,Masked" bitfld.long 0x04 14. " [14] ,RX buffer 14 mask bit 14" "Not masked,Masked" bitfld.long 0x04 13. " [13] ,RX buffer 14 mask bit 13" "Not masked,Masked" bitfld.long 0x04 12. " [12] ,RX buffer 14 mask bit 12" "Not masked,Masked" newline bitfld.long 0x04 11. " [11] ,RX buffer 14 mask bit 11" "Not masked,Masked" bitfld.long 0x04 10. " [10] ,RX buffer 14 mask bit 10" "Not masked,Masked" bitfld.long 0x04 9. " [9] ,RX buffer 14 mask bit 9" "Not masked,Masked" bitfld.long 0x04 8. " [8] ,RX buffer 14 mask bit 8" "Not masked,Masked" newline bitfld.long 0x04 7. " [7] ,RX buffer 14 mask bit 7" "Not masked,Masked" bitfld.long 0x04 6. " [6] ,RX buffer 14 mask bit 6" "Not masked,Masked" bitfld.long 0x04 5. " [5] ,RX buffer 14 mask bit 5" "Not masked,Masked" bitfld.long 0x04 4. " [4] ,RX buffer 14 mask bit 4" "Not masked,Masked" newline bitfld.long 0x04 3. " [3] ,RX buffer 14 mask bit 3" "Not masked,Masked" bitfld.long 0x04 2. " [2] ,RX buffer 14 mask bit 2" "Not masked,Masked" bitfld.long 0x04 1. " [1] ,RX buffer 14 mask bit 1" "Not masked,Masked" bitfld.long 0x04 0. " [0] ,RX buffer 14 mask bit 0" "Not masked,Masked" line.long 0x08 "RX15MASK,RX 15 Mask Register" bitfld.long 0x08 31. " RX15M[31] ,RX buffer 15 mask bit 31" "Not masked,Masked" bitfld.long 0x08 30. " [30] ,RX buffer 15 mask bit 30" "Not masked,Masked" bitfld.long 0x08 29. " [29] ,RX buffer 15 mask bit 29" "Not masked,Masked" bitfld.long 0x08 28. " [28] ,RX buffer 15 mask bit 28" "Not masked,Masked" newline bitfld.long 0x08 27. " [27] ,RX buffer 15 mask bit 27" "Not masked,Masked" bitfld.long 0x08 26. " [26] ,RX buffer 15 mask bit 26" "Not masked,Masked" bitfld.long 0x08 25. " [25] ,RX buffer 15 mask bit 25" "Not masked,Masked" bitfld.long 0x08 24. " [24] ,RX buffer 15 mask bit 24" "Not masked,Masked" newline bitfld.long 0x08 23. " [23] ,RX buffer 15 mask bit 23" "Not masked,Masked" bitfld.long 0x08 22. " [22] ,RX buffer 15 mask bit 22" "Not masked,Masked" bitfld.long 0x08 21. " [21] ,RX buffer 15 mask bit 21" "Not masked,Masked" bitfld.long 0x08 20. " [20] ,RX buffer 15 mask bit 20" "Not masked,Masked" newline bitfld.long 0x08 19. " [19] ,RX buffer 15 mask bit 19" "Not masked,Masked" bitfld.long 0x08 18. " [18] ,RX buffer 15 mask bit 18" "Not masked,Masked" bitfld.long 0x08 17. " [17] ,RX buffer 15 mask bit 17" "Not masked,Masked" bitfld.long 0x08 16. " [16] ,RX buffer 15 mask bit 16" "Not masked,Masked" newline bitfld.long 0x08 15. " [15] ,RX buffer 15 mask bit 15" "Not masked,Masked" bitfld.long 0x08 14. " [14] ,RX buffer 15 mask bit 14" "Not masked,Masked" bitfld.long 0x08 13. " [13] ,RX buffer 15 mask bit 13" "Not masked,Masked" bitfld.long 0x08 12. " [12] ,RX buffer 15 mask bit 12" "Not masked,Masked" newline bitfld.long 0x08 11. " [11] ,RX buffer 15 mask bit 11" "Not masked,Masked" bitfld.long 0x08 10. " [10] ,RX buffer 15 mask bit 10" "Not masked,Masked" bitfld.long 0x08 9. " [9] ,RX buffer 15 mask bit 9" "Not masked,Masked" bitfld.long 0x08 8. " [8] ,RX buffer 15 mask bit 8" "Not masked,Masked" newline bitfld.long 0x08 7. " [7] ,RX buffer 15 mask bit 7" "Not masked,Masked" bitfld.long 0x08 6. " [6] ,RX buffer 15 mask bit 6" "Not masked,Masked" bitfld.long 0x08 5. " [5] ,RX buffer 15 mask bit 5" "Not masked,Masked" bitfld.long 0x08 4. " [4] ,RX buffer 15 mask bit 4" "Not masked,Masked" newline bitfld.long 0x08 3. " [3] ,RX buffer 15 mask bit 3" "Not masked,Masked" bitfld.long 0x08 2. " [2] ,RX buffer 15 mask bit 2" "Not masked,Masked" bitfld.long 0x08 1. " [1] ,RX buffer 15 mask bit 1" "Not masked,Masked" bitfld.long 0x08 0. " [0] ,RX buffer 15 mask bit 0" "Not masked,Masked" line.long 0x0C "ECR,Error Counter Register" rhexmask.long.byte 0x0C 24.--31. 1. " RXERRCNT_FAST ,Receive error counter for fast bits" rhexmask.long.byte 0x0C 16.--23. 1. " TXERRCNT_FAST ,Transmit error counter for fast bits" hexmask.long.byte 0x0C 8.--15. 1. " RXERRCNT ,Receive error counter" hexmask.long.byte 0x0C 0.--7. 1. " TXERRCNT ,Transmit error counter" endif newline group.long 0x20++0x0F line.long 0x00 "ESR1,Error And Status 1 Register" rbitfld.long 0x00 31. " BIT1ERR_FAST ,Bit1 error in the data phase of CAN FD frames with the BRS bit set" "Not occurred,Occurred" rbitfld.long 0x00 30. " BIT0ERR_FAST ,Bit0 error in the data phase of CAN FD frames with the BRS bit set" "Not occurred,Occurred" rbitfld.long 0x00 28. " CRCERR_FAST ,Cyclic redundancy check error in the CRC field of CAN FD frames with the BRS bit set" "Not occurred,Occurred" rbitfld.long 0x00 27. " FRMERR_FAST ,Form error in the data phase of CAN FD frames with the BRS bit set" "Not occurred,Occurred" newline rbitfld.long 0x00 26. " STFERR_FAST ,Stuffing error in the data phase of CAN FD frames with the BRS bit set" "Not occurred,Occurred" eventfld.long 0x00 21. " ERROVR ,Error overrun" "Not occurred,Occurred" eventfld.long 0x00 20. " ERRINT_FAST ,Error interrupt for errors detected in data phase of CAN FD frames with BRS bit set" "Not occurred,Occurred" eventfld.long 0x00 19. " BOFFDONEINT ,Bus off done interrupt" "Not occurred,Occurred" newline rbitfld.long 0x00 18. " SYNCH ,CAN synchronization status" "Not synchronized,Synchronized" eventfld.long 0x00 17. " TWRNINT ,TX warning interrupt flag" "Not occurred,Occurred" eventfld.long 0x00 16. " RWRNINT ,RX warning interrupt flag" "Not occurred,Occurred" rbitfld.long 0x00 15. " BIT1ERR ,Bit1 error" "Not occurred,Occurred" newline rbitfld.long 0x00 14. " BIT0ERR ,Bit0 error" "Not occurred,Occurred" rbitfld.long 0x00 13. " ACKERR ,Acknowledge error" "Not occurred,Occurred" rbitfld.long 0x00 12. " CRCERR ,Cyclic redundancy check error" "Not occurred,Occurred" rbitfld.long 0x00 11. " FRMERR ,Form error" "Not occurred,Occurred" newline rbitfld.long 0x00 10. " STFERR ,Stuffing error" "Not occurred,Occurred" rbitfld.long 0x00 9. " TXWRN ,TX error warning" "Not occurred,Occurred" rbitfld.long 0x00 8. " RXWRN ,RX error warning" "Not occurred,Occurred" rbitfld.long 0x00 7. " IDLE ,CAN bus is in IDLE state indication" "Not occurred,Occurred" newline rbitfld.long 0x00 6. " TX ,FlexCAN in transmission" "Not transmitted,Transmitted" rbitfld.long 0x00 4.--5. " FLTCONF ,Fault confinement state" "Error Active,Error Passive,Bus Off,Bus Off" rbitfld.long 0x00 3. " RX ,FlexCAN in reception" "Not received,Received" eventfld.long 0x00 2. " BOFFINT ,Bus off interrupt" "Not occurred,Occurred" newline eventfld.long 0x00 1. " ERRINT ,Error interrupt" "Not occurred,Occurred" eventfld.long 0x00 0. " WAKINT ,Wake-Up interrupt" "Not occurred,Occurred" line.long 0x04 "IMASK2,Interrupt Masks 2 Register" bitfld.long 0x04 31. " MB[63] ,Buffer MB63 interrupt mask" "Not masked,Masked" bitfld.long 0x04 30. " [62] ,Buffer MB62 interrupt mask" "Not masked,Masked" bitfld.long 0x04 29. " [61] ,Buffer MB61 interrupt mask" "Not masked,Masked" bitfld.long 0x04 28. " [60] ,Buffer MB60 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 27. " [59] ,Buffer MB59 interrupt mask" "Not masked,Masked" bitfld.long 0x04 26. " [58] ,Buffer MB58 interrupt mask" "Not masked,Masked" bitfld.long 0x04 25. " [57] ,Buffer MB57 interrupt mask" "Not masked,Masked" bitfld.long 0x04 24. " [56] ,Buffer MB56 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 23. " [55] ,Buffer MB55 interrupt mask" "Not masked,Masked" bitfld.long 0x04 22. " [54] ,Buffer MB54 interrupt mask" "Not masked,Masked" bitfld.long 0x04 21. " [53] ,Buffer MB53 interrupt mask" "Not masked,Masked" bitfld.long 0x04 20. " [52] ,Buffer MB52 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 19. " [51] ,Buffer MB51 interrupt mask" "Not masked,Masked" bitfld.long 0x04 18. " [50] ,Buffer MB50 interrupt mask" "Not masked,Masked" bitfld.long 0x04 17. " [49] ,Buffer MB49 interrupt mask" "Not masked,Masked" bitfld.long 0x04 16. " [48] ,Buffer MB48 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 15. " [47] ,Buffer MB47 interrupt mask" "Not masked,Masked" bitfld.long 0x04 14. " [46] ,Buffer MB46 interrupt mask" "Not masked,Masked" bitfld.long 0x04 13. " [45] ,Buffer MB45 interrupt mask" "Not masked,Masked" bitfld.long 0x04 12. " [44] ,Buffer MB44 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 11. " [43] ,Buffer MB43 interrupt mask" "Not masked,Masked" bitfld.long 0x04 10. " [42] ,Buffer MB42 interrupt mask" "Not masked,Masked" bitfld.long 0x04 9. " [41] ,Buffer MB41 interrupt mask" "Not masked,Masked" bitfld.long 0x04 8. " [40] ,Buffer MB40 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 7. " [39] ,Buffer MB39 interrupt mask" "Not masked,Masked" bitfld.long 0x04 6. " [38] ,Buffer MB38 interrupt mask" "Not masked,Masked" bitfld.long 0x04 5. " [37] ,Buffer MB37 interrupt mask" "Not masked,Masked" bitfld.long 0x04 4. " [36] ,Buffer MB36 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 3. " [35] ,Buffer MB35 interrupt mask" "Not masked,Masked" bitfld.long 0x04 2. " [34] ,Buffer MB34 interrupt mask" "Not masked,Masked" bitfld.long 0x04 1. " [33] ,Buffer MB33 interrupt mask" "Not masked,Masked" bitfld.long 0x04 0. " [32] ,Buffer MB32 interrupt mask" "Not masked,Masked" line.long 0x08 "IMASK1,Interrupt Masks 1 Register" bitfld.long 0x08 31. " MB[31] ,Buffer MB31 interrupt mask" "Not masked,Masked" bitfld.long 0x08 30. " [30] ,Buffer MB30 interrupt mask" "Not masked,Masked" bitfld.long 0x08 29. " [29] ,Buffer MB29 interrupt mask" "Not masked,Masked" bitfld.long 0x08 28. " [28] ,Buffer MB28 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 27. " [27] ,Buffer MB27 interrupt mask" "Not masked,Masked" bitfld.long 0x08 26. " [26] ,Buffer MB26 interrupt mask" "Not masked,Masked" bitfld.long 0x08 25. " [25] ,Buffer MB25 interrupt mask" "Not masked,Masked" bitfld.long 0x08 24. " [24] ,Buffer MB24 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 23. " [23] ,Buffer MB23 interrupt mask" "Not masked,Masked" bitfld.long 0x08 22. " [22] ,Buffer MB22 interrupt mask" "Not masked,Masked" bitfld.long 0x08 21. " [21] ,Buffer MB21 interrupt mask" "Not masked,Masked" bitfld.long 0x08 20. " [20] ,Buffer MB20 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 19. " [19] ,Buffer MB19 interrupt mask" "Not masked,Masked" bitfld.long 0x08 18. " [18] ,Buffer MB18 interrupt mask" "Not masked,Masked" bitfld.long 0x08 17. " [17] ,Buffer MB17 interrupt mask" "Not masked,Masked" bitfld.long 0x08 16. " [16] ,Buffer MB16 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 15. " [15] ,Buffer MB15 interrupt mask" "Not masked,Masked" bitfld.long 0x08 14. " [14] ,Buffer MB14 interrupt mask" "Not masked,Masked" bitfld.long 0x08 13. " [13] ,Buffer MB13 interrupt mask" "Not masked,Masked" bitfld.long 0x08 12. " [12] ,Buffer MB12 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 11. " [11] ,Buffer MB11 interrupt mask" "Not masked,Masked" bitfld.long 0x08 10. " [10] ,Buffer MB10 interrupt mask" "Not masked,Masked" bitfld.long 0x08 9. " [9] ,Buffer MB9 interrupt mask" "Not masked,Masked" bitfld.long 0x08 8. " [8] ,Buffer MB8 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 7. " [7] ,Buffer MB7 interrupt mask" "Not masked,Masked" bitfld.long 0x08 6. " [6] ,Buffer MB6 interrupt mask" "Not masked,Masked" bitfld.long 0x08 5. " [5] ,Buffer MB5 interrupt mask" "Not masked,Masked" bitfld.long 0x08 4. " [4] ,Buffer MB4 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 3. " [3] ,Buffer MB3 interrupt mask" "Not masked,Masked" bitfld.long 0x08 2. " [2] ,Buffer MB2 interrupt mask" "Not masked,Masked" bitfld.long 0x08 1. " [1] ,Buffer MB1 interrupt mask" "Not masked,Masked" bitfld.long 0x08 0. " [0] ,Buffer MB0 interrupt mask" "Not masked,Masked" line.long 0x0C "IFLAG2,Interrupt Flags 2 Register" eventfld.long 0x0C 31. " BUFI[63] ,Buffer MB63 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 30. " [62] ,Buffer MB62 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 29. " [61] ,Buffer MB61 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 28. " [60] ,Buffer MB60 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 27. " [59] ,Buffer MB59 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 26. " [58] ,Buffer MB58 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 25. " [57] ,Buffer MB57 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 24. " [56] ,Buffer MB56 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 23. " [55] ,Buffer MB55 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 22. " [54] ,Buffer MB54 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 21. " [53] ,Buffer MB53 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 20. " [52] ,Buffer MB52 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 19. " [51] ,Buffer MB51 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 18. " [50] ,Buffer MB50 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 17. " [49] ,Buffer MB49 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 16. " [48] ,Buffer MB48 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 15. " [47] ,Buffer MB47 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 14. " [46] ,Buffer MB46 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 13. " [45] ,Buffer MB45 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 12. " [44] ,Buffer MB44 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 11. " [43] ,Buffer MB43 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 10. " [42] ,Buffer MB42 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 9. " [41] ,Buffer MB41 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 8. " [40] ,Buffer MB40 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 7. " [39] ,Buffer MB39 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 6. " [38] ,Buffer MB38 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 5. " [37] ,Buffer MB37 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 4. " [36] ,Buffer MB36 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 3. " [35] ,Buffer MB35 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 2. " [34] ,Buffer MB34 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 1. " [33] ,Buffer MB33 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 0. " [32] ,Buffer MB32 interrupt" "No interrupt,Interrupt" if ((per.l(ad:0x02180000)&0x20000000)==0x00) group.long 0x30++0x03 line.long 0x00 "IFLAG1,Interrupt Flags 1 Register" eventfld.long 0x00 31. " BUFI[31] ,Buffer MB31 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 30. " [30] ,Buffer MB30 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 29. " [29] ,Buffer MB29 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 28. " [28] ,Buffer MB28 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 27. " [27] ,Buffer MB27 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 26. " [26] ,Buffer MB26 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 25. " [25] ,Buffer MB25 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 24. " [24] ,Buffer MB24 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 23. " [23] ,Buffer MB23 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 22. " [22] ,Buffer MB22 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 21. " [21] ,Buffer MB21 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 20. " [20] ,Buffer MB20 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 19. " [19] ,Buffer MB19 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 18. " [18] ,Buffer MB18 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 17. " [17] ,Buffer MB17 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 16. " [16] ,Buffer MB16 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 15. " [15] ,Buffer MB15 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,Buffer MB14 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,Buffer MB13 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,Buffer MB12 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,Buffer MB11 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,Buffer MB10 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,Buffer MB9 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,Buffer MB8 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,Buffer MB7 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,Buffer MB6 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,Buffer MB5 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,Buffer MB4 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,Buffer MB3 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,Buffer MB2 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,Buffer MB1 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,Buffer MB0 interrupt" "No interrupt,Interrupt" else group.long 0x30++0x03 line.long 0x00 "IFLAG1,Interrupt Flags 1 Register" eventfld.long 0x00 31. " BUFI[31] ,Buffer MB31 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 30. " [30] ,Buffer MB30 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 29. " [29] ,Buffer MB29 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 28. " [28] ,Buffer MB28 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 27. " [27] ,Buffer MB27 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 26. " [26] ,Buffer MB26 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 25. " [25] ,Buffer MB25 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 24. " [24] ,Buffer MB24 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 23. " [23] ,Buffer MB23 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 22. " [22] ,Buffer MB22 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 21. " [21] ,Buffer MB21 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 20. " [20] ,Buffer MB20 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 19. " [19] ,Buffer MB19 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 18. " [18] ,Buffer MB18 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 17. " [17] ,Buffer MB17 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 16. " [16] ,Buffer MB16 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 15. " [15] ,Buffer MB15 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,Buffer MB14 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,Buffer MB13 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,Buffer MB12 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,Buffer MB11 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,Buffer MB10 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,Buffer MB9 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,Buffer MB8 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,RX FIFO overflow" "No overflow,Overflow" eventfld.long 0x00 6. " [6] ,RX FIFO warning" "Not full,Almost full" eventfld.long 0x00 5. " [5] ,Frames available in RX FIFO" "Not available,Available" eventfld.long 0x00 0. " [0] ,Buffer MB0 interrupt" "No interrupt,Interrupt" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x34++0x03 line.long 0x00 "CTRL2,Control 2 Register" bitfld.long 0x00 31. " ERRMSK_FAST ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 30. " BOFFDONEMSK ,Bus off done interrupt mask" "Not masked,Masked" bitfld.long 0x00 29. " ECRWRE ,Error-correction configuration register write enable" "Disabled,Enabled" bitfld.long 0x00 28. " WRMFRZ ,Write-access to memory in freeze mode" "Disabled,Enabled" newline bitfld.long 0x00 24.--27. " RFFN ,Number of RX FIFO filters" "8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128" bitfld.long 0x00 19.--23. " TASD ,TX arbitration start delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 18. " MRP ,Mailboxes reception priority" "RX FIFO -> Mailboxes,Mailboxes -> RX FIFO" bitfld.long 0x00 17. " RRS ,Remote request storing" "Generated,Stored" newline bitfld.long 0x00 16. " EACEN ,Entire frame arbitration field comparison enable for RX mailboxes" "Disabled,Enabled" bitfld.long 0x00 14. " PREXCEN ,Protocol exception enable" "Disabled,Enabled" bitfld.long 0x00 12. " ISOCANFDEN ,ISO CAN FD enable" "Disabled,Enabled" bitfld.long 0x00 11. " EDFLTDIS ,Edge filter disable" "No,Yes" else group.long 0x34++0x03 line.long 0x00 "CTRL2,Control 2 Register" bitfld.long 0x00 31. " ERRMSK_FAST ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 30. " BOFFDONEMSK ,Bus off done interrupt mask" "Not masked,Masked" bitfld.long 0x00 29. " ECRWRE ,Error-correction configuration register write enable" "Disabled,Enabled" rbitfld.long 0x00 28. " WRMFRZ ,Write-access to memory in freeze mode" "Disabled,Enabled" newline rbitfld.long 0x00 24.--27. " RFFN ,Number of RX FIFO filters" "8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128" rbitfld.long 0x00 19.--23. " TASD ,TX arbitration start delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 18. " MRP ,Mailboxes reception priority" "RX FIFO -> Mailboxes,Mailboxes -> RX FIFO" rbitfld.long 0x00 17. " RRS ,Remote request storing" "Generated,Stored" newline rbitfld.long 0x00 16. " EACEN ,Entire frame arbitration field comparison enable for RX mailboxes" "Disabled,Enabled" rbitfld.long 0x00 14. " PREXCEN ,Protocol exception enable" "Disabled,Enabled" rbitfld.long 0x00 12. " ISOCANFDEN ,ISO CAN FD enable" "Disabled,Enabled" rbitfld.long 0x00 11. " EDFLTDIS ,Edge filter disable" "No,Yes" endif rgroup.long 0x38++0x03 line.long 0x00 "ESR2,Error And Status 2 Register" hexmask.long.byte 0x00 16.--22. 1. " LPTM ,Lowest priority TX mailbox" bitfld.long 0x00 14. " VPS ,Valid priority status" "Invalid,Valid" bitfld.long 0x00 13. " IMB ,Inactive mailbox" "No inactive,>= 1 inactive" rgroup.long 0x44++0x03 line.long 0x00 "CRCR,CRC Register" hexmask.long.byte 0x00 16.--22. 1. " MBCRC ,CRC mailbox" hexmask.long.word 0x00 0.--14. 1. " TXCRC ,CRC transmitted" if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) rgroup.long 0x48++0x03 line.long 0x00 "RXFGMASK,RX FIFO Global Mask Register" bitfld.long 0x00 31. " FGM[31] ,RX FIFO global mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,RX FIFO global mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,RX FIFO global mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,RX FIFO global mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,RX FIFO global mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,RX FIFO global mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,RX FIFO global mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,RX FIFO global mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,RX FIFO global mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,RX FIFO global mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,RX FIFO global mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,RX FIFO global mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,RX FIFO global mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,RX FIFO global mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,RX FIFO global mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,RX FIFO global mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,RX FIFO global mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,RX FIFO global mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,RX FIFO global mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,RX FIFO global mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,RX FIFO global mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,RX FIFO global mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,RX FIFO global mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,RX FIFO global mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,RX FIFO global mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,RX FIFO global mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,RX FIFO global mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,RX FIFO global mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,RX FIFO global mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,RX FIFO global mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,RX FIFO global mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,RX FIFO global mask bit 0" "Not masked,Masked" else group.long 0x48++0x03 line.long 0x00 "RXFGMASK,RX FIFO Global Mask Register" bitfld.long 0x00 31. " FGM[31] ,RX FIFO global mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,RX FIFO global mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,RX FIFO global mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,RX FIFO global mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,RX FIFO global mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,RX FIFO global mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,RX FIFO global mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,RX FIFO global mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,RX FIFO global mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,RX FIFO global mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,RX FIFO global mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,RX FIFO global mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,RX FIFO global mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,RX FIFO global mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,RX FIFO global mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,RX FIFO global mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,RX FIFO global mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,RX FIFO global mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,RX FIFO global mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,RX FIFO global mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,RX FIFO global mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,RX FIFO global mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,RX FIFO global mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,RX FIFO global mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,RX FIFO global mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,RX FIFO global mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,RX FIFO global mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,RX FIFO global mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,RX FIFO global mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,RX FIFO global mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,RX FIFO global mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,RX FIFO global mask bit 0" "Not masked,Masked" endif rgroup.long 0x4C++0x03 line.long 0x00 "RXFIR,Legacy RX FIFO Information Register" hexmask.long.word 0x00 0.--8. 1. " IDHIT ,Identifier acceptance filter hit indicator" if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x50++0x03 line.long 0x00 "CBT,CAN Bit Timing Register" bitfld.long 0x00 31. " BTF ,Bit timing format enable" "Disabled,Enabled" hexmask.long.word 0x00 21.--30. 1. " EPRESDIV ,Extended prescaler division factor" bitfld.long 0x00 16.--19. " ERJW ,Extended resync jump width" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" bitfld.long 0x00 10.--15. " EPROPSEG ,Extended propagation segment" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64" newline bitfld.long 0x00 5.--9. " EPSEG1 ,Extended phase segment 1" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" bitfld.long 0x00 0.--4. " EPSEG2 ,Extended phase segment 2" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" else rgroup.long 0x50++0x03 line.long 0x00 "CBT,CAN Bit Timing Register" bitfld.long 0x00 31. " BTF ,Bit timing format enable" "Disabled,Enabled" hexmask.long.word 0x00 21.--30. 1. " EPRESDIV ,Extended prescaler division factor" bitfld.long 0x00 16.--19. " ERJW ,Extended resync jump width" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" bitfld.long 0x00 10.--15. " EPROPSEG ,Extended propagation segment" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64" newline bitfld.long 0x00 5.--9. " EPSEG1 ,Extended phase segment 1" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" bitfld.long 0x00 0.--4. " EPSEG2 ,Extended phase segment 2" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" endif tree "RX Individual Mask Registers" if (((per.l(ad:0x02180000)&0x1000000)==0x1000000)) group.long 0x880++0x03 line.long 0x00 "RXIMR0,RX Individual Mask Register 0 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x884++0x03 line.long 0x00 "RXIMR1,RX Individual Mask Register 1 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x888++0x03 line.long 0x00 "RXIMR2,RX Individual Mask Register 2 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x88C++0x03 line.long 0x00 "RXIMR3,RX Individual Mask Register 3 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x890++0x03 line.long 0x00 "RXIMR4,RX Individual Mask Register 4 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x894++0x03 line.long 0x00 "RXIMR5,RX Individual Mask Register 5 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x898++0x03 line.long 0x00 "RXIMR6,RX Individual Mask Register 6 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x89C++0x03 line.long 0x00 "RXIMR7,RX Individual Mask Register 7 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8A0++0x03 line.long 0x00 "RXIMR8,RX Individual Mask Register 8 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8A4++0x03 line.long 0x00 "RXIMR9,RX Individual Mask Register 9 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8A8++0x03 line.long 0x00 "RXIMR10,RX Individual Mask Register 10 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8AC++0x03 line.long 0x00 "RXIMR11,RX Individual Mask Register 11 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8B0++0x03 line.long 0x00 "RXIMR12,RX Individual Mask Register 12 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8B4++0x03 line.long 0x00 "RXIMR13,RX Individual Mask Register 13 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8B8++0x03 line.long 0x00 "RXIMR14,RX Individual Mask Register 14 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8BC++0x03 line.long 0x00 "RXIMR15,RX Individual Mask Register 15 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8C0++0x03 line.long 0x00 "RXIMR16,RX Individual Mask Register 16 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8C4++0x03 line.long 0x00 "RXIMR17,RX Individual Mask Register 17 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8C8++0x03 line.long 0x00 "RXIMR18,RX Individual Mask Register 18 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8CC++0x03 line.long 0x00 "RXIMR19,RX Individual Mask Register 19 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8D0++0x03 line.long 0x00 "RXIMR20,RX Individual Mask Register 20 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8D4++0x03 line.long 0x00 "RXIMR21,RX Individual Mask Register 21 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8D8++0x03 line.long 0x00 "RXIMR22,RX Individual Mask Register 22 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8DC++0x03 line.long 0x00 "RXIMR23,RX Individual Mask Register 23 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8E0++0x03 line.long 0x00 "RXIMR24,RX Individual Mask Register 24 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8E4++0x03 line.long 0x00 "RXIMR25,RX Individual Mask Register 25 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8E8++0x03 line.long 0x00 "RXIMR26,RX Individual Mask Register 26 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8EC++0x03 line.long 0x00 "RXIMR27,RX Individual Mask Register 27 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8F0++0x03 line.long 0x00 "RXIMR28,RX Individual Mask Register 28 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8F4++0x03 line.long 0x00 "RXIMR29,RX Individual Mask Register 29 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8F8++0x03 line.long 0x00 "RXIMR30,RX Individual Mask Register 30 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8FC++0x03 line.long 0x00 "RXIMR31,RX Individual Mask Register 31 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x900++0x03 line.long 0x00 "RXIMR32,RX Individual Mask Register 32 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x904++0x03 line.long 0x00 "RXIMR33,RX Individual Mask Register 33 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x908++0x03 line.long 0x00 "RXIMR34,RX Individual Mask Register 34 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x90C++0x03 line.long 0x00 "RXIMR35,RX Individual Mask Register 35 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x910++0x03 line.long 0x00 "RXIMR36,RX Individual Mask Register 36 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x914++0x03 line.long 0x00 "RXIMR37,RX Individual Mask Register 37 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x918++0x03 line.long 0x00 "RXIMR38,RX Individual Mask Register 38 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x91C++0x03 line.long 0x00 "RXIMR39,RX Individual Mask Register 39 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x920++0x03 line.long 0x00 "RXIMR40,RX Individual Mask Register 40 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x924++0x03 line.long 0x00 "RXIMR41,RX Individual Mask Register 41 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x928++0x03 line.long 0x00 "RXIMR42,RX Individual Mask Register 42 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x92C++0x03 line.long 0x00 "RXIMR43,RX Individual Mask Register 43 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x930++0x03 line.long 0x00 "RXIMR44,RX Individual Mask Register 44 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x934++0x03 line.long 0x00 "RXIMR45,RX Individual Mask Register 45 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x938++0x03 line.long 0x00 "RXIMR46,RX Individual Mask Register 46 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x93C++0x03 line.long 0x00 "RXIMR47,RX Individual Mask Register 47 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x940++0x03 line.long 0x00 "RXIMR48,RX Individual Mask Register 48 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x944++0x03 line.long 0x00 "RXIMR49,RX Individual Mask Register 49 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x948++0x03 line.long 0x00 "RXIMR50,RX Individual Mask Register 50 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x94C++0x03 line.long 0x00 "RXIMR51,RX Individual Mask Register 51 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x950++0x03 line.long 0x00 "RXIMR52,RX Individual Mask Register 52 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x954++0x03 line.long 0x00 "RXIMR53,RX Individual Mask Register 53 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x958++0x03 line.long 0x00 "RXIMR54,RX Individual Mask Register 54 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x95C++0x03 line.long 0x00 "RXIMR55,RX Individual Mask Register 55 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x960++0x03 line.long 0x00 "RXIMR56,RX Individual Mask Register 56 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x964++0x03 line.long 0x00 "RXIMR57,RX Individual Mask Register 57 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x968++0x03 line.long 0x00 "RXIMR58,RX Individual Mask Register 58 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x96C++0x03 line.long 0x00 "RXIMR59,RX Individual Mask Register 59 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x970++0x03 line.long 0x00 "RXIMR60,RX Individual Mask Register 60 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x974++0x03 line.long 0x00 "RXIMR61,RX Individual Mask Register 61 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x978++0x03 line.long 0x00 "RXIMR62,RX Individual Mask Register 62 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x97C++0x03 line.long 0x00 "RXIMR63,RX Individual Mask Register 63 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" else hgroup.long 0x880++0x03 hide.long 0x00 "RXIMR0,RX Individual Mask Register 0 Register" hgroup.long 0x884++0x03 hide.long 0x00 "RXIMR1,RX Individual Mask Register 1 Register" hgroup.long 0x888++0x03 hide.long 0x00 "RXIMR2,RX Individual Mask Register 2 Register" hgroup.long 0x88C++0x03 hide.long 0x00 "RXIMR3,RX Individual Mask Register 3 Register" hgroup.long 0x890++0x03 hide.long 0x00 "RXIMR4,RX Individual Mask Register 4 Register" hgroup.long 0x894++0x03 hide.long 0x00 "RXIMR5,RX Individual Mask Register 5 Register" hgroup.long 0x898++0x03 hide.long 0x00 "RXIMR6,RX Individual Mask Register 6 Register" hgroup.long 0x89C++0x03 hide.long 0x00 "RXIMR7,RX Individual Mask Register 7 Register" hgroup.long 0x8A0++0x03 hide.long 0x00 "RXIMR8,RX Individual Mask Register 8 Register" hgroup.long 0x8A4++0x03 hide.long 0x00 "RXIMR9,RX Individual Mask Register 9 Register" hgroup.long 0x8A8++0x03 hide.long 0x00 "RXIMR10,RX Individual Mask Register 10 Register" hgroup.long 0x8AC++0x03 hide.long 0x00 "RXIMR11,RX Individual Mask Register 11 Register" hgroup.long 0x8B0++0x03 hide.long 0x00 "RXIMR12,RX Individual Mask Register 12 Register" hgroup.long 0x8B4++0x03 hide.long 0x00 "RXIMR13,RX Individual Mask Register 13 Register" hgroup.long 0x8B8++0x03 hide.long 0x00 "RXIMR14,RX Individual Mask Register 14 Register" hgroup.long 0x8BC++0x03 hide.long 0x00 "RXIMR15,RX Individual Mask Register 15 Register" hgroup.long 0x8C0++0x03 hide.long 0x00 "RXIMR16,RX Individual Mask Register 16 Register" hgroup.long 0x8C4++0x03 hide.long 0x00 "RXIMR17,RX Individual Mask Register 17 Register" hgroup.long 0x8C8++0x03 hide.long 0x00 "RXIMR18,RX Individual Mask Register 18 Register" hgroup.long 0x8CC++0x03 hide.long 0x00 "RXIMR19,RX Individual Mask Register 19 Register" hgroup.long 0x8D0++0x03 hide.long 0x00 "RXIMR20,RX Individual Mask Register 20 Register" hgroup.long 0x8D4++0x03 hide.long 0x00 "RXIMR21,RX Individual Mask Register 21 Register" hgroup.long 0x8D8++0x03 hide.long 0x00 "RXIMR22,RX Individual Mask Register 22 Register" hgroup.long 0x8DC++0x03 hide.long 0x00 "RXIMR23,RX Individual Mask Register 23 Register" hgroup.long 0x8E0++0x03 hide.long 0x00 "RXIMR24,RX Individual Mask Register 24 Register" hgroup.long 0x8E4++0x03 hide.long 0x00 "RXIMR25,RX Individual Mask Register 25 Register" hgroup.long 0x8E8++0x03 hide.long 0x00 "RXIMR26,RX Individual Mask Register 26 Register" hgroup.long 0x8EC++0x03 hide.long 0x00 "RXIMR27,RX Individual Mask Register 27 Register" hgroup.long 0x8F0++0x03 hide.long 0x00 "RXIMR28,RX Individual Mask Register 28 Register" hgroup.long 0x8F4++0x03 hide.long 0x00 "RXIMR29,RX Individual Mask Register 29 Register" hgroup.long 0x8F8++0x03 hide.long 0x00 "RXIMR30,RX Individual Mask Register 30 Register" hgroup.long 0x8FC++0x03 hide.long 0x00 "RXIMR31,RX Individual Mask Register 31 Register" hgroup.long 0x900++0x03 hide.long 0x00 "RXIMR32,RX Individual Mask Register 32 Register" hgroup.long 0x904++0x03 hide.long 0x00 "RXIMR33,RX Individual Mask Register 33 Register" hgroup.long 0x908++0x03 hide.long 0x00 "RXIMR34,RX Individual Mask Register 34 Register" hgroup.long 0x90C++0x03 hide.long 0x00 "RXIMR35,RX Individual Mask Register 35 Register" hgroup.long 0x910++0x03 hide.long 0x00 "RXIMR36,RX Individual Mask Register 36 Register" hgroup.long 0x914++0x03 hide.long 0x00 "RXIMR37,RX Individual Mask Register 37 Register" hgroup.long 0x918++0x03 hide.long 0x00 "RXIMR38,RX Individual Mask Register 38 Register" hgroup.long 0x91C++0x03 hide.long 0x00 "RXIMR39,RX Individual Mask Register 39 Register" hgroup.long 0x920++0x03 hide.long 0x00 "RXIMR40,RX Individual Mask Register 40 Register" hgroup.long 0x924++0x03 hide.long 0x00 "RXIMR41,RX Individual Mask Register 41 Register" hgroup.long 0x928++0x03 hide.long 0x00 "RXIMR42,RX Individual Mask Register 42 Register" hgroup.long 0x92C++0x03 hide.long 0x00 "RXIMR43,RX Individual Mask Register 43 Register" hgroup.long 0x930++0x03 hide.long 0x00 "RXIMR44,RX Individual Mask Register 44 Register" hgroup.long 0x934++0x03 hide.long 0x00 "RXIMR45,RX Individual Mask Register 45 Register" hgroup.long 0x938++0x03 hide.long 0x00 "RXIMR46,RX Individual Mask Register 46 Register" hgroup.long 0x93C++0x03 hide.long 0x00 "RXIMR47,RX Individual Mask Register 47 Register" hgroup.long 0x940++0x03 hide.long 0x00 "RXIMR48,RX Individual Mask Register 48 Register" hgroup.long 0x944++0x03 hide.long 0x00 "RXIMR49,RX Individual Mask Register 49 Register" hgroup.long 0x948++0x03 hide.long 0x00 "RXIMR50,RX Individual Mask Register 50 Register" hgroup.long 0x94C++0x03 hide.long 0x00 "RXIMR51,RX Individual Mask Register 51 Register" hgroup.long 0x950++0x03 hide.long 0x00 "RXIMR52,RX Individual Mask Register 52 Register" hgroup.long 0x954++0x03 hide.long 0x00 "RXIMR53,RX Individual Mask Register 53 Register" hgroup.long 0x958++0x03 hide.long 0x00 "RXIMR54,RX Individual Mask Register 54 Register" hgroup.long 0x95C++0x03 hide.long 0x00 "RXIMR55,RX Individual Mask Register 55 Register" hgroup.long 0x960++0x03 hide.long 0x00 "RXIMR56,RX Individual Mask Register 56 Register" hgroup.long 0x964++0x03 hide.long 0x00 "RXIMR57,RX Individual Mask Register 57 Register" hgroup.long 0x968++0x03 hide.long 0x00 "RXIMR58,RX Individual Mask Register 58 Register" hgroup.long 0x96C++0x03 hide.long 0x00 "RXIMR59,RX Individual Mask Register 59 Register" hgroup.long 0x970++0x03 hide.long 0x00 "RXIMR60,RX Individual Mask Register 60 Register" hgroup.long 0x974++0x03 hide.long 0x00 "RXIMR61,RX Individual Mask Register 61 Register" hgroup.long 0x978++0x03 hide.long 0x00 "RXIMR62,RX Individual Mask Register 62 Register" hgroup.long 0x97C++0x03 hide.long 0x00 "RXIMR63,RX Individual Mask Register 63 Register" endif tree.end newline if (((per.l(ad:0x02180000+0x34)&0x20000000)==0x20000000)) group.long 0xAE0++0x03 line.long 0x00 "MECR,Memory Error Control Register" bitfld.long 0x00 31. " ECRWRDIS ,Error configuration register write disable" "No,Yes" bitfld.long 0x00 19. " HANCEI_MSK ,Host access with non-correctable errors interrupt enable" "Disabled,Enabled" bitfld.long 0x00 18. " FANCEI_MSK ,FlexCAN access with non-correctable errors interrupt enable" "Disabled,Enabled" bitfld.long 0x00 16. " CEI_MSK ,Correctable errors interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 15. " HAERRIE ,Host access error injection enable" "Disabled,Enabled" bitfld.long 0x00 14. " FAERRIE ,FlexCAN access error injection enable" "Disabled,Enabled" bitfld.long 0x00 13. " EXTERRIE ,Extended error injection enable" "32bit,64bit" bitfld.long 0x00 9. " RERRDIS ,Error report disable" "No,Yes" newline bitfld.long 0x00 8. " ECCDIS ,Error correction disable" "No,Yes" bitfld.long 0x00 7. " NCEFAFRZ ,Non-correctable errors in flexcan access put device in freeze mode" "Normal operation,Freeze mode" else group.long 0xAE0++0x03 line.long 0x00 "MECR,Memory Error Control Register" bitfld.long 0x00 31. " ECRWRDIS ,Error configuration register write disable" "No,Yes" rbitfld.long 0x00 19. " HANCEI_MSK ,Host access with non-correctable errors interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 18. " FANCEI_MSK ,FlexCAN access with non-correctable errors interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 16. " CEI_MSK ,Correctable errors interrupt enable" "Disabled,Enabled" newline rbitfld.long 0x00 15. " HAERRIE ,Host access error injection enable" "Disabled,Enabled" rbitfld.long 0x00 14. " FAERRIE ,FlexCAN access error injection enable" "Disabled,Enabled" rbitfld.long 0x00 13. " EXTERRIE ,Extended error injection enable" "32bit,64bit" rbitfld.long 0x00 9. " RERRDIS ,Error report disable" "No,Yes" newline rbitfld.long 0x00 8. " ECCDIS ,Error correction disable" "No,Yes" rbitfld.long 0x00 7. " NCEFAFRZ ,Non-correctable errors in flexcan access put device in freeze mode" "Normal operation,Freeze mode" endif group.long 0xAE4++0x0B line.long 0x00 "ERRIAR,Error Injection Address Register" hexmask.long.word 0x00 2.--13. 0x04 " INJADDR_H ,Error injection address high" rhexmask.long.byte 0x00 0.--1. 0x01 " INJADDR_L ,Error injection address low" line.long 0x04 "ERRIDPR,Error Injection Data Pattern Register" bitfld.long 0x04 31. " DFLIP[31] ,Data flip pattern 31" "Not inverted,Inverted" bitfld.long 0x04 30. " [30] ,Data flip pattern 30" "Not inverted,Inverted" bitfld.long 0x04 29. " [29] ,Data flip pattern 29" "Not inverted,Inverted" bitfld.long 0x04 28. " [28] ,Data flip pattern 28" "Not inverted,Inverted" newline bitfld.long 0x04 27. " [27] ,Data flip pattern 27" "Not inverted,Inverted" bitfld.long 0x04 26. " [26] ,Data flip pattern 26" "Not inverted,Inverted" bitfld.long 0x04 25. " [25] ,Data flip pattern 25" "Not inverted,Inverted" bitfld.long 0x04 24. " [24] ,Data flip pattern 24" "Not inverted,Inverted" newline bitfld.long 0x04 23. " [23] ,Data flip pattern 23" "Not inverted,Inverted" bitfld.long 0x04 22. " [22] ,Data flip pattern 22" "Not inverted,Inverted" bitfld.long 0x04 21. " [21] ,Data flip pattern 21" "Not inverted,Inverted" bitfld.long 0x04 20. " [20] ,Data flip pattern 20" "Not inverted,Inverted" newline bitfld.long 0x04 19. " [19] ,Data flip pattern 19" "Not inverted,Inverted" bitfld.long 0x04 18. " [18] ,Data flip pattern 18" "Not inverted,Inverted" bitfld.long 0x04 17. " [17] ,Data flip pattern 17" "Not inverted,Inverted" bitfld.long 0x04 16. " [16] ,Data flip pattern 16" "Not inverted,Inverted" newline bitfld.long 0x04 15. " [15] ,Data flip pattern 15" "Not inverted,Inverted" bitfld.long 0x04 14. " [14] ,Data flip pattern 14" "Not inverted,Inverted" bitfld.long 0x04 13. " [13] ,Data flip pattern 13" "Not inverted,Inverted" bitfld.long 0x04 12. " [12] ,Data flip pattern 12" "Not inverted,Inverted" newline bitfld.long 0x04 11. " [11] ,Data flip pattern 11" "Not inverted,Inverted" bitfld.long 0x04 10. " [10] ,Data flip pattern 10" "Not inverted,Inverted" bitfld.long 0x04 9. " [9] ,Data flip pattern 9" "Not inverted,Inverted" bitfld.long 0x04 8. " [8] ,Data flip pattern 8" "Not inverted,Inverted" newline bitfld.long 0x04 7. " [7] ,Data flip pattern 7" "Not inverted,Inverted" bitfld.long 0x04 6. " [6] ,Data flip pattern 6" "Not inverted,Inverted" bitfld.long 0x04 5. " [5] ,Data flip pattern 5" "Not inverted,Inverted" bitfld.long 0x04 4. " [4] ,Data flip pattern 4" "Not inverted,Inverted" newline bitfld.long 0x04 3. " [3] ,Data flip pattern 3" "Not inverted,Inverted" bitfld.long 0x04 2. " [2] ,Data flip pattern 2" "Not inverted,Inverted" bitfld.long 0x04 1. " [1] ,Data flip pattern 1" "Not inverted,Inverted" bitfld.long 0x04 0. " [0] ,Data flip pattern 0" "Not inverted,Inverted" line.long 0x08 "ERRIPPR,Error Injection Parity Pattern Register" bitfld.long 0x08 28. " PFLIP3[4] ,Parity flip pattern for byte 3 [4]" "Not inverted,Inverted" bitfld.long 0x08 27. " [3] ,Parity flip pattern for byte 3 [3]" "Not inverted,Inverted" bitfld.long 0x08 26. " [2] ,Parity flip pattern for byte 3 [2]" "Not inverted,Inverted" bitfld.long 0x08 25. " [1] ,Parity flip pattern for byte 3 [1]" "Not inverted,Inverted" newline bitfld.long 0x08 24. " [0] ,Parity flip pattern for byte 3 [0]" "Not inverted,Inverted" bitfld.long 0x08 20. " PFLIP2[4] ,Parity flip pattern for byte 2 [4]" "Not inverted,Inverted" bitfld.long 0x08 19. " [3] ,Parity flip pattern for byte 2 [3]" "Not inverted,Inverted" bitfld.long 0x08 18. " [2] ,Parity flip pattern for byte 2 [2]" "Not inverted,Inverted" newline bitfld.long 0x08 17. " [1] ,Parity flip pattern for byte 2 [1]" "Not inverted,Inverted" bitfld.long 0x08 16. " [0] ,Parity flip pattern for byte 2 [0]" "Not inverted,Inverted" bitfld.long 0x08 12. " PFLIP1[4] ,Parity flip pattern for byte 1 [4]" "Not inverted,Inverted" bitfld.long 0x08 11. " [3] ,Parity flip pattern for byte 1 [3]" "Not inverted,Inverted" newline bitfld.long 0x08 10. " [2] ,Parity flip pattern for byte 1 [2]" "Not inverted,Inverted" bitfld.long 0x08 9. " [1] ,Parity flip pattern for byte 1 [1]" "Not inverted,Inverted" bitfld.long 0x08 8. " [0] ,Parity flip pattern for byte 1 [0]" "Not inverted,Inverted" bitfld.long 0x08 4. " PFLIP0[4] ,Parity flip pattern for byte 0 [4]" "Not inverted,Inverted" newline bitfld.long 0x08 3. " [3] ,Parity flip pattern for byte 0 [3]" "Not inverted,Inverted" bitfld.long 0x08 2. " [2] ,Parity flip pattern for byte 0 [2]" "Not inverted,Inverted" bitfld.long 0x08 1. " [1] ,Parity flip pattern for byte 0 [1]" "Not inverted,Inverted" bitfld.long 0x08 0. " [0] ,Parity flip pattern for byte 0 [0]" "Not inverted,Inverted" rgroup.long 0xAF0++0x0B line.long 0x00 "RERRAR,Error Report Address Register" bitfld.long 0x00 24. " NCE ,Non-correctable error" "No error,Error" bitfld.long 0x00 18. " SAID[2] ,Identification of the requester of the memory read request" "FlexCAN,CPU" bitfld.long 0x00 17. " [1] ,Details of flexcan operation" "Move,Scanning" bitfld.long 0x00 16. " [0] ,Operation that requested the memory read" "Transmission,Reception" newline hexmask.long.word 0x00 0.--13. 0x01 " ERRADDR ,Address where error detected" line.long 0x04 "RERRDR,Error Report Data Register" line.long 0x08 "RERRSYNR,Error Report Syndrome Register" bitfld.long 0x08 31. " BE3 ,Byte enabled for byte 3" "Not read,Read" bitfld.long 0x08 24.--28. " SYND3 ,Byte enabled for byte 3" "No error,0,1,Non-correctable error,2,Non-correctable error,All-zeros,5,3,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,7,Non-correctable error,4,Non-correctable error,Non-correctable error,2,Non-correctable error,6,Non-correctable error,Non-correctable error,Non-correctable error,3,4,Non-correctable error,0,Non-correctable error,Non-correctable error,All-ones" bitfld.long 0x08 23. " BE2 ,Byte enabled for byte 2" "Not read,Read" bitfld.long 0x08 16.--20. " SYND2 ,Byte enabled for byte 2" "No error,0,1,Non-correctable error,2,Non-correctable error,All-zeros,5,3,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,7,Non-correctable error,4,Non-correctable error,Non-correctable error,2,Non-correctable error,6,Non-correctable error,Non-correctable error,Non-correctable error,3,4,Non-correctable error,0,Non-correctable error,Non-correctable error,All-ones" newline bitfld.long 0x08 15. " BE1 ,Byte enabled for byte 1" "Not read,Read" bitfld.long 0x08 8.--12. " SYND1 ,Byte enabled for byte 1" "No error,0,1,Non-correctable error,2,Non-correctable error,All-zeros,5,3,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,7,Non-correctable error,4,Non-correctable error,Non-correctable error,2,Non-correctable error,6,Non-correctable error,Non-correctable error,Non-correctable error,3,4,Non-correctable error,0,Non-correctable error,Non-correctable error,All-ones" bitfld.long 0x08 7. " BE0 ,Byte enabled for byte 0" "Not read,Read" bitfld.long 0x08 0.--4. " SYND0 ,Byte enabled for byte 0" "No error,0,1,Non-correctable error,2,Non-correctable error,All-zeros,5,3,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,7,Non-correctable error,4,Non-correctable error,Non-correctable error,2,Non-correctable error,6,Non-correctable error,Non-correctable error,Non-correctable error,3,4,Non-correctable error,0,Non-correctable error,Non-correctable error,All-ones" group.long 0xAFC++0x03 line.long 0x00 "ERRSR,Error Status Register" eventfld.long 0x00 19. " HANCEIF ,Host access with non-correctable error interrupt flag" "Not detected,Detected" eventfld.long 0x00 18. " FANCEIF ,FlexCAN access with non-correctable error interrupt flag" "Not detected,Detected" eventfld.long 0x00 16. " CEIF ,Correctable error interrupt flag" "Not detected,Detected" eventfld.long 0x00 3. " HANCEIOF ,Host access with non-correctable error interrupt overrun flag" "No overrun,Overrun" newline eventfld.long 0x00 2. " FANCEIOF ,FlexCAN access with non-correctable error interrupt overrun flag" "No overrun,Overrun" eventfld.long 0x00 0. " CEIOF ,Correctable error interrupt overrun flag" "No overrun,Overrun" if (((per.l(ad:0x02180000)&0x1000000)==0x1000000)) group.long 0xC00++0x03 line.long 0x00 "FDCTRL,CAN FD Control Register" bitfld.long 0x00 31. " FDRATE ,Bit rate switch enable" "Normal,Bit rate switching" bitfld.long 0x00 19.--20. " MBDSR1 ,Message buffer data size for region 1" "8,16,32,64" bitfld.long 0x00 16.--17. " MBDSR0 ,Message buffer data size for region 0" "8,16,32,64" bitfld.long 0x00 15. " TDCEN ,Transceiver delay compensation enable" "Disabled,Enabled" newline eventfld.long 0x00 14. " TDCFAIL ,Transceiver delay compensation fail" "In range,Out of range" bitfld.long 0x00 8.--12. " TDCOFF ,Transceiver delay compensation offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--5. " TDCVAL ,Transceiver delay compensation value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long 0xC00++0x03 line.long 0x00 "FDCTRL,CAN FD Control Register" bitfld.long 0x00 31. " FDRATE ,Bit rate switch enable" "Normal,Bit rate switching" rbitfld.long 0x00 19.--20. " MBDSR1 ,Message buffer data size for region 1" "8,16,32,64" rbitfld.long 0x00 16.--17. " MBDSR0 ,Message buffer data size for region 0" "8,16,32,64" rbitfld.long 0x00 15. " TDCEN ,Transceiver delay compensation enable" "Disabled,Enabled" newline eventfld.long 0x00 14. " TDCFAIL ,Transceiver delay compensation fail" "In range,Out of range" bitfld.long 0x00 8.--12. " TDCOFF ,Transceiver delay compensation offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--5. " TDCVAL ,Transceiver delay compensation value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0xC04++0x03 line.long 0x00 "FDCBT,CAN FD Bit Timing Register" hexmask.long.word 0x00 20.--29. 1. " FPRESDIV ,Fast prescaler division factor" bitfld.long 0x00 16.--17. " FRJW ,Fast resync jump width" "0,1,2,3" bitfld.long 0x00 10.--14. " FPROPSEG ,Fast propagation segment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. " FPSEG1 ,Fast phase segment 1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. " FPSEG2 ,Fast phase segment 2" "0,1,2,3,4,5,6,7" else rgroup.long 0xC04++0x03 line.long 0x00 "FDCBT,CAN FD Bit Timing Register" hexmask.long.word 0x00 20.--29. 1. " FPRESDIV ,Fast prescaler division factor" bitfld.long 0x00 16.--17. " FRJW ,Fast resync jump width" "0,1,2,3" bitfld.long 0x00 10.--14. " FPROPSEG ,Fast propagation segment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. " FPSEG1 ,Fast phase segment 1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. " FPSEG2 ,Fast phase segment 2" "0,1,2,3,4,5,6,7" endif rgroup.long 0xC08++0x03 line.long 0x00 "FDCRC,CAN FD CRC Register" hexmask.long.byte 0x00 24.--30. 1. " FD_MBCRC ,CRC mailbox number for FD_TXCRC" hexmask.long.tbyte 0x00 0.--20. 1. " FD_TXCRC ,Extended transmitted CRC value" sif !cpuis("LX2160A*") if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0xC0C++0x03 line.long 0x00 "ERFCR,Enhanced RX FIFO Control Register" bitfld.long 0x00 31. " ERFEN ,Enhanced RX FIFO enable" "Disabled,Enabled" hexmask.long.byte 0x00 16.--22. 1. " NEXIF ,Number of extended id filter elements" bitfld.long 0x00 8.--13. " NFE ,Number of enhanced RX FIFO filter elements" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--4. " ERFWM ,Enhanced RX FIFO watermark" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" else rgroup.long 0xC0C++0x03 line.long 0x00 "ERFCR,Enhanced RX FIFO Control Register" bitfld.long 0x00 31. " ERFEN ,Enhanced RX FIFO enable" "Disabled,Enabled" hexmask.long.byte 0x00 16.--22. 1. " NEXIF ,Number of extended id filter elements" bitfld.long 0x00 8.--13. " NFE ,Number of enhanced RX FIFO filter elements" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--4. " ERFWM ,Enhanced RX FIFO watermark" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" endif group.long 0xC10++0x07 line.long 0x00 "ERFIER,Enhanced RX FIFO Interrupt Enable Register" bitfld.long 0x00 31. " ERFUFWIE ,Enhanced RX FIFO underflow interrupt enable" "Disabled,Enabled" bitfld.long 0x00 30. " ERFOVFIE ,Enhanced RX FIFO overflow interrupt enable" "Disabled,Enabled" bitfld.long 0x00 29. " ERFWMIIE ,Enhanced RX FIFO watermark indication interrupt enable" "Disabled,Enabled" bitfld.long 0x00 28. " ERFDAIE ,Enhanced RX FIFO data available interrupt enable" "Disabled,Enabled" line.long 0x04 "ERFSR,Enhanced RX FIFO Status Register" eventfld.long 0x04 31. " ERFUFW ,Enhanced RX FIFO underflow" "Not occurred,Occurred" eventfld.long 0x04 30. " ERFOVF ,Enhanced RX FIFO overflow" "Not occurred,Occurred" eventfld.long 0x04 29. " ERFWMI ,Enhanced RX FIFO watermark indication" "Not occurred,Occurred" eventfld.long 0x04 28. " ERFDA ,Enhanced RX FIFO data available" "Not occurred,Occurred" newline bitfld.long 0x04 27. " ERFCLR ,Enhanced RX FIFO clear" "No effect,Clear" rbitfld.long 0x04 17. " ERFE ,Enhanced RX FIFO empty" "Not empty,Empty" rbitfld.long 0x04 16. " ERFF ,Enhanced RX FIFO full" "Not full,Full" rbitfld.long 0x04 0.--5. " ERFEL ,Enhanced RX FIFO elements" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" tree.open "Enhanced RX FIFO Filter Element Registers" if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3000++0x03 line.long 0x00 "ERFFEL0,Enhanced RX FIFO Filter Element 0" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3000++0x03 line.long 0x00 "ERFFEL0,Enhanced RX FIFO Filter Element 0" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3004++0x03 line.long 0x00 "ERFFEL1,Enhanced RX FIFO Filter Element 1" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3004++0x03 line.long 0x00 "ERFFEL1,Enhanced RX FIFO Filter Element 1" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3008++0x03 line.long 0x00 "ERFFEL2,Enhanced RX FIFO Filter Element 2" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3008++0x03 line.long 0x00 "ERFFEL2,Enhanced RX FIFO Filter Element 2" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x300C++0x03 line.long 0x00 "ERFFEL3,Enhanced RX FIFO Filter Element 3" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x300C++0x03 line.long 0x00 "ERFFEL3,Enhanced RX FIFO Filter Element 3" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3010++0x03 line.long 0x00 "ERFFEL4,Enhanced RX FIFO Filter Element 4" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3010++0x03 line.long 0x00 "ERFFEL4,Enhanced RX FIFO Filter Element 4" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3014++0x03 line.long 0x00 "ERFFEL5,Enhanced RX FIFO Filter Element 5" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3014++0x03 line.long 0x00 "ERFFEL5,Enhanced RX FIFO Filter Element 5" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3018++0x03 line.long 0x00 "ERFFEL6,Enhanced RX FIFO Filter Element 6" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3018++0x03 line.long 0x00 "ERFFEL6,Enhanced RX FIFO Filter Element 6" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x301C++0x03 line.long 0x00 "ERFFEL7,Enhanced RX FIFO Filter Element 7" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x301C++0x03 line.long 0x00 "ERFFEL7,Enhanced RX FIFO Filter Element 7" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3020++0x03 line.long 0x00 "ERFFEL8,Enhanced RX FIFO Filter Element 8" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3020++0x03 line.long 0x00 "ERFFEL8,Enhanced RX FIFO Filter Element 8" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3024++0x03 line.long 0x00 "ERFFEL9,Enhanced RX FIFO Filter Element 9" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3024++0x03 line.long 0x00 "ERFFEL9,Enhanced RX FIFO Filter Element 9" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3028++0x03 line.long 0x00 "ERFFEL10,Enhanced RX FIFO Filter Element 10" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3028++0x03 line.long 0x00 "ERFFEL10,Enhanced RX FIFO Filter Element 10" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x302C++0x03 line.long 0x00 "ERFFEL11,Enhanced RX FIFO Filter Element 11" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x302C++0x03 line.long 0x00 "ERFFEL11,Enhanced RX FIFO Filter Element 11" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3030++0x03 line.long 0x00 "ERFFEL12,Enhanced RX FIFO Filter Element 12" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3030++0x03 line.long 0x00 "ERFFEL12,Enhanced RX FIFO Filter Element 12" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3034++0x03 line.long 0x00 "ERFFEL13,Enhanced RX FIFO Filter Element 13" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3034++0x03 line.long 0x00 "ERFFEL13,Enhanced RX FIFO Filter Element 13" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3038++0x03 line.long 0x00 "ERFFEL14,Enhanced RX FIFO Filter Element 14" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3038++0x03 line.long 0x00 "ERFFEL14,Enhanced RX FIFO Filter Element 14" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x303C++0x03 line.long 0x00 "ERFFEL15,Enhanced RX FIFO Filter Element 15" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x303C++0x03 line.long 0x00 "ERFFEL15,Enhanced RX FIFO Filter Element 15" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3040++0x03 line.long 0x00 "ERFFEL16,Enhanced RX FIFO Filter Element 16" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3040++0x03 line.long 0x00 "ERFFEL16,Enhanced RX FIFO Filter Element 16" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3044++0x03 line.long 0x00 "ERFFEL17,Enhanced RX FIFO Filter Element 17" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3044++0x03 line.long 0x00 "ERFFEL17,Enhanced RX FIFO Filter Element 17" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3048++0x03 line.long 0x00 "ERFFEL18,Enhanced RX FIFO Filter Element 18" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3048++0x03 line.long 0x00 "ERFFEL18,Enhanced RX FIFO Filter Element 18" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x304C++0x03 line.long 0x00 "ERFFEL19,Enhanced RX FIFO Filter Element 19" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x304C++0x03 line.long 0x00 "ERFFEL19,Enhanced RX FIFO Filter Element 19" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3050++0x03 line.long 0x00 "ERFFEL20,Enhanced RX FIFO Filter Element 20" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3050++0x03 line.long 0x00 "ERFFEL20,Enhanced RX FIFO Filter Element 20" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3054++0x03 line.long 0x00 "ERFFEL21,Enhanced RX FIFO Filter Element 21" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3054++0x03 line.long 0x00 "ERFFEL21,Enhanced RX FIFO Filter Element 21" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3058++0x03 line.long 0x00 "ERFFEL22,Enhanced RX FIFO Filter Element 22" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3058++0x03 line.long 0x00 "ERFFEL22,Enhanced RX FIFO Filter Element 22" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x305C++0x03 line.long 0x00 "ERFFEL23,Enhanced RX FIFO Filter Element 23" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x305C++0x03 line.long 0x00 "ERFFEL23,Enhanced RX FIFO Filter Element 23" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3060++0x03 line.long 0x00 "ERFFEL24,Enhanced RX FIFO Filter Element 24" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3060++0x03 line.long 0x00 "ERFFEL24,Enhanced RX FIFO Filter Element 24" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3064++0x03 line.long 0x00 "ERFFEL25,Enhanced RX FIFO Filter Element 25" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3064++0x03 line.long 0x00 "ERFFEL25,Enhanced RX FIFO Filter Element 25" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3068++0x03 line.long 0x00 "ERFFEL26,Enhanced RX FIFO Filter Element 26" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3068++0x03 line.long 0x00 "ERFFEL26,Enhanced RX FIFO Filter Element 26" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x306C++0x03 line.long 0x00 "ERFFEL27,Enhanced RX FIFO Filter Element 27" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x306C++0x03 line.long 0x00 "ERFFEL27,Enhanced RX FIFO Filter Element 27" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3070++0x03 line.long 0x00 "ERFFEL28,Enhanced RX FIFO Filter Element 28" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3070++0x03 line.long 0x00 "ERFFEL28,Enhanced RX FIFO Filter Element 28" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3074++0x03 line.long 0x00 "ERFFEL29,Enhanced RX FIFO Filter Element 29" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3074++0x03 line.long 0x00 "ERFFEL29,Enhanced RX FIFO Filter Element 29" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3078++0x03 line.long 0x00 "ERFFEL30,Enhanced RX FIFO Filter Element 30" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3078++0x03 line.long 0x00 "ERFFEL30,Enhanced RX FIFO Filter Element 30" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x307C++0x03 line.long 0x00 "ERFFEL31,Enhanced RX FIFO Filter Element 31" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x307C++0x03 line.long 0x00 "ERFFEL31,Enhanced RX FIFO Filter Element 31" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3080++0x03 line.long 0x00 "ERFFEL32,Enhanced RX FIFO Filter Element 32" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3080++0x03 line.long 0x00 "ERFFEL32,Enhanced RX FIFO Filter Element 32" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3084++0x03 line.long 0x00 "ERFFEL33,Enhanced RX FIFO Filter Element 33" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3084++0x03 line.long 0x00 "ERFFEL33,Enhanced RX FIFO Filter Element 33" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3088++0x03 line.long 0x00 "ERFFEL34,Enhanced RX FIFO Filter Element 34" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3088++0x03 line.long 0x00 "ERFFEL34,Enhanced RX FIFO Filter Element 34" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x308C++0x03 line.long 0x00 "ERFFEL35,Enhanced RX FIFO Filter Element 35" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x308C++0x03 line.long 0x00 "ERFFEL35,Enhanced RX FIFO Filter Element 35" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3090++0x03 line.long 0x00 "ERFFEL36,Enhanced RX FIFO Filter Element 36" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3090++0x03 line.long 0x00 "ERFFEL36,Enhanced RX FIFO Filter Element 36" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3094++0x03 line.long 0x00 "ERFFEL37,Enhanced RX FIFO Filter Element 37" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3094++0x03 line.long 0x00 "ERFFEL37,Enhanced RX FIFO Filter Element 37" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3098++0x03 line.long 0x00 "ERFFEL38,Enhanced RX FIFO Filter Element 38" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3098++0x03 line.long 0x00 "ERFFEL38,Enhanced RX FIFO Filter Element 38" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x309C++0x03 line.long 0x00 "ERFFEL39,Enhanced RX FIFO Filter Element 39" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x309C++0x03 line.long 0x00 "ERFFEL39,Enhanced RX FIFO Filter Element 39" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30A0++0x03 line.long 0x00 "ERFFEL40,Enhanced RX FIFO Filter Element 40" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30A0++0x03 line.long 0x00 "ERFFEL40,Enhanced RX FIFO Filter Element 40" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30A4++0x03 line.long 0x00 "ERFFEL41,Enhanced RX FIFO Filter Element 41" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30A4++0x03 line.long 0x00 "ERFFEL41,Enhanced RX FIFO Filter Element 41" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30A8++0x03 line.long 0x00 "ERFFEL42,Enhanced RX FIFO Filter Element 42" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30A8++0x03 line.long 0x00 "ERFFEL42,Enhanced RX FIFO Filter Element 42" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30AC++0x03 line.long 0x00 "ERFFEL43,Enhanced RX FIFO Filter Element 43" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30AC++0x03 line.long 0x00 "ERFFEL43,Enhanced RX FIFO Filter Element 43" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30B0++0x03 line.long 0x00 "ERFFEL44,Enhanced RX FIFO Filter Element 44" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30B0++0x03 line.long 0x00 "ERFFEL44,Enhanced RX FIFO Filter Element 44" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30B4++0x03 line.long 0x00 "ERFFEL45,Enhanced RX FIFO Filter Element 45" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30B4++0x03 line.long 0x00 "ERFFEL45,Enhanced RX FIFO Filter Element 45" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30B8++0x03 line.long 0x00 "ERFFEL46,Enhanced RX FIFO Filter Element 46" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30B8++0x03 line.long 0x00 "ERFFEL46,Enhanced RX FIFO Filter Element 46" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30BC++0x03 line.long 0x00 "ERFFEL47,Enhanced RX FIFO Filter Element 47" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30BC++0x03 line.long 0x00 "ERFFEL47,Enhanced RX FIFO Filter Element 47" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30C0++0x03 line.long 0x00 "ERFFEL48,Enhanced RX FIFO Filter Element 48" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30C0++0x03 line.long 0x00 "ERFFEL48,Enhanced RX FIFO Filter Element 48" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30C4++0x03 line.long 0x00 "ERFFEL49,Enhanced RX FIFO Filter Element 49" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30C4++0x03 line.long 0x00 "ERFFEL49,Enhanced RX FIFO Filter Element 49" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30C8++0x03 line.long 0x00 "ERFFEL50,Enhanced RX FIFO Filter Element 50" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30C8++0x03 line.long 0x00 "ERFFEL50,Enhanced RX FIFO Filter Element 50" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30CC++0x03 line.long 0x00 "ERFFEL51,Enhanced RX FIFO Filter Element 51" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30CC++0x03 line.long 0x00 "ERFFEL51,Enhanced RX FIFO Filter Element 51" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30D0++0x03 line.long 0x00 "ERFFEL52,Enhanced RX FIFO Filter Element 52" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30D0++0x03 line.long 0x00 "ERFFEL52,Enhanced RX FIFO Filter Element 52" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30D4++0x03 line.long 0x00 "ERFFEL53,Enhanced RX FIFO Filter Element 53" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30D4++0x03 line.long 0x00 "ERFFEL53,Enhanced RX FIFO Filter Element 53" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30D8++0x03 line.long 0x00 "ERFFEL54,Enhanced RX FIFO Filter Element 54" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30D8++0x03 line.long 0x00 "ERFFEL54,Enhanced RX FIFO Filter Element 54" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30DC++0x03 line.long 0x00 "ERFFEL55,Enhanced RX FIFO Filter Element 55" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30DC++0x03 line.long 0x00 "ERFFEL55,Enhanced RX FIFO Filter Element 55" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30E0++0x03 line.long 0x00 "ERFFEL56,Enhanced RX FIFO Filter Element 56" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30E0++0x03 line.long 0x00 "ERFFEL56,Enhanced RX FIFO Filter Element 56" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30E4++0x03 line.long 0x00 "ERFFEL57,Enhanced RX FIFO Filter Element 57" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30E4++0x03 line.long 0x00 "ERFFEL57,Enhanced RX FIFO Filter Element 57" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30E8++0x03 line.long 0x00 "ERFFEL58,Enhanced RX FIFO Filter Element 58" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30E8++0x03 line.long 0x00 "ERFFEL58,Enhanced RX FIFO Filter Element 58" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30EC++0x03 line.long 0x00 "ERFFEL59,Enhanced RX FIFO Filter Element 59" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30EC++0x03 line.long 0x00 "ERFFEL59,Enhanced RX FIFO Filter Element 59" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30F0++0x03 line.long 0x00 "ERFFEL60,Enhanced RX FIFO Filter Element 60" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30F0++0x03 line.long 0x00 "ERFFEL60,Enhanced RX FIFO Filter Element 60" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30F4++0x03 line.long 0x00 "ERFFEL61,Enhanced RX FIFO Filter Element 61" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30F4++0x03 line.long 0x00 "ERFFEL61,Enhanced RX FIFO Filter Element 61" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30F8++0x03 line.long 0x00 "ERFFEL62,Enhanced RX FIFO Filter Element 62" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30F8++0x03 line.long 0x00 "ERFFEL62,Enhanced RX FIFO Filter Element 62" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x30FC++0x03 line.long 0x00 "ERFFEL63,Enhanced RX FIFO Filter Element 63" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30FC++0x03 line.long 0x00 "ERFFEL63,Enhanced RX FIFO Filter Element 63" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3100++0x03 line.long 0x00 "ERFFEL64,Enhanced RX FIFO Filter Element 64" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3100++0x03 line.long 0x00 "ERFFEL64,Enhanced RX FIFO Filter Element 64" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3104++0x03 line.long 0x00 "ERFFEL65,Enhanced RX FIFO Filter Element 65" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3104++0x03 line.long 0x00 "ERFFEL65,Enhanced RX FIFO Filter Element 65" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3108++0x03 line.long 0x00 "ERFFEL66,Enhanced RX FIFO Filter Element 66" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3108++0x03 line.long 0x00 "ERFFEL66,Enhanced RX FIFO Filter Element 66" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x310C++0x03 line.long 0x00 "ERFFEL67,Enhanced RX FIFO Filter Element 67" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x310C++0x03 line.long 0x00 "ERFFEL67,Enhanced RX FIFO Filter Element 67" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3110++0x03 line.long 0x00 "ERFFEL68,Enhanced RX FIFO Filter Element 68" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3110++0x03 line.long 0x00 "ERFFEL68,Enhanced RX FIFO Filter Element 68" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3114++0x03 line.long 0x00 "ERFFEL69,Enhanced RX FIFO Filter Element 69" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3114++0x03 line.long 0x00 "ERFFEL69,Enhanced RX FIFO Filter Element 69" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3118++0x03 line.long 0x00 "ERFFEL70,Enhanced RX FIFO Filter Element 70" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3118++0x03 line.long 0x00 "ERFFEL70,Enhanced RX FIFO Filter Element 70" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x311C++0x03 line.long 0x00 "ERFFEL71,Enhanced RX FIFO Filter Element 71" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x311C++0x03 line.long 0x00 "ERFFEL71,Enhanced RX FIFO Filter Element 71" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3120++0x03 line.long 0x00 "ERFFEL72,Enhanced RX FIFO Filter Element 72" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3120++0x03 line.long 0x00 "ERFFEL72,Enhanced RX FIFO Filter Element 72" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3124++0x03 line.long 0x00 "ERFFEL73,Enhanced RX FIFO Filter Element 73" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3124++0x03 line.long 0x00 "ERFFEL73,Enhanced RX FIFO Filter Element 73" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3128++0x03 line.long 0x00 "ERFFEL74,Enhanced RX FIFO Filter Element 74" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3128++0x03 line.long 0x00 "ERFFEL74,Enhanced RX FIFO Filter Element 74" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x312C++0x03 line.long 0x00 "ERFFEL75,Enhanced RX FIFO Filter Element 75" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x312C++0x03 line.long 0x00 "ERFFEL75,Enhanced RX FIFO Filter Element 75" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3130++0x03 line.long 0x00 "ERFFEL76,Enhanced RX FIFO Filter Element 76" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3130++0x03 line.long 0x00 "ERFFEL76,Enhanced RX FIFO Filter Element 76" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3134++0x03 line.long 0x00 "ERFFEL77,Enhanced RX FIFO Filter Element 77" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3134++0x03 line.long 0x00 "ERFFEL77,Enhanced RX FIFO Filter Element 77" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3138++0x03 line.long 0x00 "ERFFEL78,Enhanced RX FIFO Filter Element 78" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3138++0x03 line.long 0x00 "ERFFEL78,Enhanced RX FIFO Filter Element 78" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x313C++0x03 line.long 0x00 "ERFFEL79,Enhanced RX FIFO Filter Element 79" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x313C++0x03 line.long 0x00 "ERFFEL79,Enhanced RX FIFO Filter Element 79" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3140++0x03 line.long 0x00 "ERFFEL80,Enhanced RX FIFO Filter Element 80" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3140++0x03 line.long 0x00 "ERFFEL80,Enhanced RX FIFO Filter Element 80" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3144++0x03 line.long 0x00 "ERFFEL81,Enhanced RX FIFO Filter Element 81" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3144++0x03 line.long 0x00 "ERFFEL81,Enhanced RX FIFO Filter Element 81" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3148++0x03 line.long 0x00 "ERFFEL82,Enhanced RX FIFO Filter Element 82" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3148++0x03 line.long 0x00 "ERFFEL82,Enhanced RX FIFO Filter Element 82" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x314C++0x03 line.long 0x00 "ERFFEL83,Enhanced RX FIFO Filter Element 83" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x314C++0x03 line.long 0x00 "ERFFEL83,Enhanced RX FIFO Filter Element 83" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3150++0x03 line.long 0x00 "ERFFEL84,Enhanced RX FIFO Filter Element 84" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3150++0x03 line.long 0x00 "ERFFEL84,Enhanced RX FIFO Filter Element 84" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3154++0x03 line.long 0x00 "ERFFEL85,Enhanced RX FIFO Filter Element 85" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3154++0x03 line.long 0x00 "ERFFEL85,Enhanced RX FIFO Filter Element 85" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3158++0x03 line.long 0x00 "ERFFEL86,Enhanced RX FIFO Filter Element 86" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3158++0x03 line.long 0x00 "ERFFEL86,Enhanced RX FIFO Filter Element 86" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x315C++0x03 line.long 0x00 "ERFFEL87,Enhanced RX FIFO Filter Element 87" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x315C++0x03 line.long 0x00 "ERFFEL87,Enhanced RX FIFO Filter Element 87" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3160++0x03 line.long 0x00 "ERFFEL88,Enhanced RX FIFO Filter Element 88" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3160++0x03 line.long 0x00 "ERFFEL88,Enhanced RX FIFO Filter Element 88" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3164++0x03 line.long 0x00 "ERFFEL89,Enhanced RX FIFO Filter Element 89" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3164++0x03 line.long 0x00 "ERFFEL89,Enhanced RX FIFO Filter Element 89" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3168++0x03 line.long 0x00 "ERFFEL90,Enhanced RX FIFO Filter Element 90" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3168++0x03 line.long 0x00 "ERFFEL90,Enhanced RX FIFO Filter Element 90" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x316C++0x03 line.long 0x00 "ERFFEL91,Enhanced RX FIFO Filter Element 91" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x316C++0x03 line.long 0x00 "ERFFEL91,Enhanced RX FIFO Filter Element 91" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3170++0x03 line.long 0x00 "ERFFEL92,Enhanced RX FIFO Filter Element 92" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3170++0x03 line.long 0x00 "ERFFEL92,Enhanced RX FIFO Filter Element 92" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3174++0x03 line.long 0x00 "ERFFEL93,Enhanced RX FIFO Filter Element 93" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3174++0x03 line.long 0x00 "ERFFEL93,Enhanced RX FIFO Filter Element 93" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3178++0x03 line.long 0x00 "ERFFEL94,Enhanced RX FIFO Filter Element 94" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3178++0x03 line.long 0x00 "ERFFEL94,Enhanced RX FIFO Filter Element 94" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x317C++0x03 line.long 0x00 "ERFFEL95,Enhanced RX FIFO Filter Element 95" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x317C++0x03 line.long 0x00 "ERFFEL95,Enhanced RX FIFO Filter Element 95" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3180++0x03 line.long 0x00 "ERFFEL96,Enhanced RX FIFO Filter Element 96" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3180++0x03 line.long 0x00 "ERFFEL96,Enhanced RX FIFO Filter Element 96" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3184++0x03 line.long 0x00 "ERFFEL97,Enhanced RX FIFO Filter Element 97" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3184++0x03 line.long 0x00 "ERFFEL97,Enhanced RX FIFO Filter Element 97" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3188++0x03 line.long 0x00 "ERFFEL98,Enhanced RX FIFO Filter Element 98" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3188++0x03 line.long 0x00 "ERFFEL98,Enhanced RX FIFO Filter Element 98" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x318C++0x03 line.long 0x00 "ERFFEL99,Enhanced RX FIFO Filter Element 99" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x318C++0x03 line.long 0x00 "ERFFEL99,Enhanced RX FIFO Filter Element 99" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3190++0x03 line.long 0x00 "ERFFEL100,Enhanced RX FIFO Filter Element 100" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3190++0x03 line.long 0x00 "ERFFEL100,Enhanced RX FIFO Filter Element 100" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3194++0x03 line.long 0x00 "ERFFEL101,Enhanced RX FIFO Filter Element 101" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3194++0x03 line.long 0x00 "ERFFEL101,Enhanced RX FIFO Filter Element 101" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x3198++0x03 line.long 0x00 "ERFFEL102,Enhanced RX FIFO Filter Element 102" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3198++0x03 line.long 0x00 "ERFFEL102,Enhanced RX FIFO Filter Element 102" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x319C++0x03 line.long 0x00 "ERFFEL103,Enhanced RX FIFO Filter Element 103" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x319C++0x03 line.long 0x00 "ERFFEL103,Enhanced RX FIFO Filter Element 103" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31A0++0x03 line.long 0x00 "ERFFEL104,Enhanced RX FIFO Filter Element 104" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31A0++0x03 line.long 0x00 "ERFFEL104,Enhanced RX FIFO Filter Element 104" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31A4++0x03 line.long 0x00 "ERFFEL105,Enhanced RX FIFO Filter Element 105" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31A4++0x03 line.long 0x00 "ERFFEL105,Enhanced RX FIFO Filter Element 105" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31A8++0x03 line.long 0x00 "ERFFEL106,Enhanced RX FIFO Filter Element 106" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31A8++0x03 line.long 0x00 "ERFFEL106,Enhanced RX FIFO Filter Element 106" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31AC++0x03 line.long 0x00 "ERFFEL107,Enhanced RX FIFO Filter Element 107" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31AC++0x03 line.long 0x00 "ERFFEL107,Enhanced RX FIFO Filter Element 107" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31B0++0x03 line.long 0x00 "ERFFEL108,Enhanced RX FIFO Filter Element 108" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31B0++0x03 line.long 0x00 "ERFFEL108,Enhanced RX FIFO Filter Element 108" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31B4++0x03 line.long 0x00 "ERFFEL109,Enhanced RX FIFO Filter Element 109" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31B4++0x03 line.long 0x00 "ERFFEL109,Enhanced RX FIFO Filter Element 109" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31B8++0x03 line.long 0x00 "ERFFEL110,Enhanced RX FIFO Filter Element 110" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31B8++0x03 line.long 0x00 "ERFFEL110,Enhanced RX FIFO Filter Element 110" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31BC++0x03 line.long 0x00 "ERFFEL111,Enhanced RX FIFO Filter Element 111" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31BC++0x03 line.long 0x00 "ERFFEL111,Enhanced RX FIFO Filter Element 111" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31C0++0x03 line.long 0x00 "ERFFEL112,Enhanced RX FIFO Filter Element 112" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31C0++0x03 line.long 0x00 "ERFFEL112,Enhanced RX FIFO Filter Element 112" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31C4++0x03 line.long 0x00 "ERFFEL113,Enhanced RX FIFO Filter Element 113" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31C4++0x03 line.long 0x00 "ERFFEL113,Enhanced RX FIFO Filter Element 113" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31C8++0x03 line.long 0x00 "ERFFEL114,Enhanced RX FIFO Filter Element 114" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31C8++0x03 line.long 0x00 "ERFFEL114,Enhanced RX FIFO Filter Element 114" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31CC++0x03 line.long 0x00 "ERFFEL115,Enhanced RX FIFO Filter Element 115" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31CC++0x03 line.long 0x00 "ERFFEL115,Enhanced RX FIFO Filter Element 115" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31D0++0x03 line.long 0x00 "ERFFEL116,Enhanced RX FIFO Filter Element 116" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31D0++0x03 line.long 0x00 "ERFFEL116,Enhanced RX FIFO Filter Element 116" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31D4++0x03 line.long 0x00 "ERFFEL117,Enhanced RX FIFO Filter Element 117" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31D4++0x03 line.long 0x00 "ERFFEL117,Enhanced RX FIFO Filter Element 117" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31D8++0x03 line.long 0x00 "ERFFEL118,Enhanced RX FIFO Filter Element 118" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31D8++0x03 line.long 0x00 "ERFFEL118,Enhanced RX FIFO Filter Element 118" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31DC++0x03 line.long 0x00 "ERFFEL119,Enhanced RX FIFO Filter Element 119" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31DC++0x03 line.long 0x00 "ERFFEL119,Enhanced RX FIFO Filter Element 119" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31E0++0x03 line.long 0x00 "ERFFEL120,Enhanced RX FIFO Filter Element 120" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31E0++0x03 line.long 0x00 "ERFFEL120,Enhanced RX FIFO Filter Element 120" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31E4++0x03 line.long 0x00 "ERFFEL121,Enhanced RX FIFO Filter Element 121" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31E4++0x03 line.long 0x00 "ERFFEL121,Enhanced RX FIFO Filter Element 121" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31E8++0x03 line.long 0x00 "ERFFEL122,Enhanced RX FIFO Filter Element 122" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31E8++0x03 line.long 0x00 "ERFFEL122,Enhanced RX FIFO Filter Element 122" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31EC++0x03 line.long 0x00 "ERFFEL123,Enhanced RX FIFO Filter Element 123" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31EC++0x03 line.long 0x00 "ERFFEL123,Enhanced RX FIFO Filter Element 123" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31F0++0x03 line.long 0x00 "ERFFEL124,Enhanced RX FIFO Filter Element 124" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31F0++0x03 line.long 0x00 "ERFFEL124,Enhanced RX FIFO Filter Element 124" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31F4++0x03 line.long 0x00 "ERFFEL125,Enhanced RX FIFO Filter Element 125" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31F4++0x03 line.long 0x00 "ERFFEL125,Enhanced RX FIFO Filter Element 125" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31F8++0x03 line.long 0x00 "ERFFEL126,Enhanced RX FIFO Filter Element 126" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31F8++0x03 line.long 0x00 "ERFFEL126,Enhanced RX FIFO Filter Element 126" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02180000)&0x1000000)==0x1000000) group.long 0x31FC++0x03 line.long 0x00 "ERFFEL127,Enhanced RX FIFO Filter Element 127" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31FC++0x03 line.long 0x00 "ERFFEL127,Enhanced RX FIFO Filter Element 127" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif tree.end endif width 0x0B tree.end tree "CAN 2" base ad:0x02190000 width 11. if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" bitfld.long 0x00 31. " MDIS ,Module disable" "No,Yes" bitfld.long 0x00 30. " FRZ ,Freeze enable" "Disabled,Enabled" bitfld.long 0x00 29. " RFEN ,RX FIFO enable" "Disabled,Enabled" bitfld.long 0x00 28. " HALT ,Halt flexcan" "Not halted,Halted" newline rbitfld.long 0x00 27. " NOTRDY ,FlexCAN not ready" "Ready,Not ready" bitfld.long 0x00 26. " WAKMSK ,Wake up interrupt enable" "Disabled,Enabled" bitfld.long 0x00 25. " SOFTRST ,Soft reset" "No reset,Reset" rbitfld.long 0x00 24. " FRZACK ,Freeze mode acknowledge" "Not in mode,In mode" newline bitfld.long 0x00 23. " SUPV ,Supervisor mode" "User,Supervisor" bitfld.long 0x00 22. " SLFWAK ,Self wake up" "Disabled,Enabled" bitfld.long 0x00 21. " WRNEN ,Warning interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 20. " LPMACK ,Low-power mode acknowledge" "Not in mode,In mode" newline bitfld.long 0x00 19. " WAKSRC ,Wake up source" "Unfiltered,Filtered" bitfld.long 0x00 17. " SRXDIS ,Self reception disable" "No,Yes" bitfld.long 0x00 16. " IRMQ ,Individual RX masking and queue enable" "Disabled,Enabled" bitfld.long 0x00 13. " LPRIOEN ,Local priority enable" "Disabled,Enabled" newline bitfld.long 0x00 12. " AEN ,Abort enable" "Disabled,Enabled" bitfld.long 0x00 11. " FDEN ,CAN flexible data rate enable" "Disabled,Enabled" bitfld.long 0x00 8.--9. " IDAM ,ID acceptance mode" "Format A,Format B,Format C,Format D" hexmask.long.byte 0x00 0.--6. 1. " MAXMB ,Number of the last message buffer" else group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" bitfld.long 0x00 31. " MDIS ,Module disable" "No,Yes" bitfld.long 0x00 30. " FRZ ,Freeze enable" "Disabled,Enabled" rbitfld.long 0x00 29. " RFEN ,RX FIFO enable" "Disabled,Enabled" bitfld.long 0x00 28. " HALT ,Halt flexcan" "Not halted,Halted" newline rbitfld.long 0x00 27. " NOTRDY ,FlexCAN not ready" "Ready,Not ready" bitfld.long 0x00 26. " WAKMSK ,Wake up interrupt enable" "Disabled,Enabled" bitfld.long 0x00 25. " SOFTRST ,Soft reset" "No reset,Reset" rbitfld.long 0x00 24. " FRZACK ,Freeze mode acknowledge" "Not in mode,In mode" newline rbitfld.long 0x00 23. " SUPV ,Supervisor mode" "User,Supervisor" bitfld.long 0x00 22. " SLFWAK ,Self wake up" "Disabled,Enabled" rbitfld.long 0x00 21. " WRNEN ,Warning interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 20. " LPMACK ,Low-power mode acknowledge" "Not in mode,In mode" newline rbitfld.long 0x00 19. " WAKSRC ,Wake up source" "Unfiltered,Filtered" rbitfld.long 0x00 17. " SRXDIS ,Self reception disable" "No,Yes" rbitfld.long 0x00 16. " IRMQ ,Individual RX masking and queue enable" "Disabled,Enabled" rbitfld.long 0x00 13. " LPRIOEN ,Local priority enable" "Disabled,Enabled" newline rbitfld.long 0x00 12. " AEN ,Abort enable" "Disabled,Enabled" rbitfld.long 0x00 11. " FDEN ,CAN flexible data rate enable" "Disabled,Enabled" rbitfld.long 0x00 8.--9. " IDAM ,ID acceptance mode" "Format A,Format B,Format C,Format D" hexmask.long.byte 0x00 0.--6. 1. " MAXMB ,Number of the last message buffer" endif if ((per.l(ad:0x02190000)&0x81200000)==0x81200000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" bitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" bitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" bitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" bitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline bitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline bitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" bitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" bitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" bitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02190000)&0x81200000)==0x81000000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" bitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" bitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" bitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" bitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline rbitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline bitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" bitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" bitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" bitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02190000)&0x81200000)==0x80200000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" rbitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" rbitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" rbitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" rbitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline bitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline rbitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" rbitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" rbitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" rbitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02190000)&0x81200000)==0x80000000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" rbitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" rbitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" rbitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" rbitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline rbitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline rbitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" rbitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" rbitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" rbitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02190000)&0x81200000)==0x1200000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" bitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" bitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" bitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" rbitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" bitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline bitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline bitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" bitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" bitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" bitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02190000)&0x81200000)==0x1000000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" bitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" bitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" bitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" rbitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" bitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline rbitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline bitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" bitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" bitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" bitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" elif ((per.l(ad:0x02190000)&0x81200000)==0x200000) group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" rbitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" rbitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" rbitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" rbitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" rbitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline bitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" bitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline rbitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" rbitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" rbitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" rbitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" else group.long 0x04++0x03 line.long 0x00 "CTRL1,Control 1 Register" hexmask.long.byte 0x00 24.--31. 1. " PRESDIV ,Prescaler division factor" rbitfld.long 0x00 22.--23. " RJW ,Resync jump width" "1,2,3,4" rbitfld.long 0x00 19.--21. " PSEG1 ,Phase segment 1" "1,2,3,4,5,6,7,8" rbitfld.long 0x00 16.--18. " PSEG2 ,Phase segment 2" ",2,3,4,5,6,7,8" newline bitfld.long 0x00 15. " BOFFMSK ,Bus off interrupt mask" "Not masked,Masked" bitfld.long 0x00 14. " ERRMSK ,Error interrupt mask" "Not masked,Masked" rbitfld.long 0x00 13. " CLKSRC ,CAN engine clock source" "Oscillator clk,Peripheral clk" rbitfld.long 0x00 12. " LPB ,Loop back" "Disabled,Enabled" newline rbitfld.long 0x00 11. " TWRNMSK ,TX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 10. " RWRNMSK ,RX warning interrupt mask" "Not masked,Masked" rbitfld.long 0x00 7. " SMP ,Sampling mode" "One sample,Three samples" bitfld.long 0x00 6. " BOFF_REC ,Bus off recovery mode disable" "No,Yes" newline rbitfld.long 0x00 5. " TSYN ,Timer sync mode" "Disabled,Enabled" rbitfld.long 0x00 4. " LBUF ,Lowest buffer transmitted first" "Highest priority,Lowest number" rbitfld.long 0x00 3. " LOM ,Listen-only mode" "Deactivated,Activated" rbitfld.long 0x00 0.--2. " PROPSEG ,Propagation segment" "1,2,3,4,5,6,7,8" endif group.long 0x08++0x03 line.long 0x00 "TIMER,Free Running Timer Register" hexmask.long.word 0x00 0.--15. 1. " TIMER ,Timer value" if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) rgroup.long 0x10++0x0F line.long 0x00 "RXMGMASK,RX Mailboxes Global Mask Register" bitfld.long 0x00 31. " MG[31] ,RX mailboxes global mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,RX mailboxes global mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,RX mailboxes global mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,RX mailboxes global mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,RX mailboxes global mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,RX mailboxes global mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,RX mailboxes global mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,RX mailboxes global mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,RX mailboxes global mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,RX mailboxes global mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,RX mailboxes global mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,RX mailboxes global mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,RX mailboxes global mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,RX mailboxes global mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,RX mailboxes global mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,RX mailboxes global mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,RX mailboxes global mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,RX mailboxes global mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,RX mailboxes global mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,RX mailboxes global mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,RX mailboxes global mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,RX mailboxes global mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,RX mailboxes global mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,RX mailboxes global mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,RX mailboxes global mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,RX mailboxes global mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,RX mailboxes global mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,RX mailboxes global mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,RX mailboxes global mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,RX mailboxes global mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,RX mailboxes global mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,RX mailboxes global mask bit 0" "Not masked,Masked" line.long 0x04 "RX14MASK,RX 14 Mask Register" bitfld.long 0x04 31. " RX14M[31] ,RX buffer 14 mask bit 31" "Not masked,Masked" bitfld.long 0x04 30. " [30] ,RX buffer 14 mask bit 30" "Not masked,Masked" bitfld.long 0x04 29. " [29] ,RX buffer 14 mask bit 29" "Not masked,Masked" bitfld.long 0x04 28. " [28] ,RX buffer 14 mask bit 28" "Not masked,Masked" newline bitfld.long 0x04 27. " [27] ,RX buffer 14 mask bit 27" "Not masked,Masked" bitfld.long 0x04 26. " [26] ,RX buffer 14 mask bit 26" "Not masked,Masked" bitfld.long 0x04 25. " [25] ,RX buffer 14 mask bit 25" "Not masked,Masked" bitfld.long 0x04 24. " [24] ,RX buffer 14 mask bit 24" "Not masked,Masked" newline bitfld.long 0x04 23. " [23] ,RX buffer 14 mask bit 23" "Not masked,Masked" bitfld.long 0x04 22. " [22] ,RX buffer 14 mask bit 22" "Not masked,Masked" bitfld.long 0x04 21. " [21] ,RX buffer 14 mask bit 21" "Not masked,Masked" bitfld.long 0x04 20. " [20] ,RX buffer 14 mask bit 20" "Not masked,Masked" newline bitfld.long 0x04 19. " [19] ,RX buffer 14 mask bit 19" "Not masked,Masked" bitfld.long 0x04 18. " [18] ,RX buffer 14 mask bit 18" "Not masked,Masked" bitfld.long 0x04 17. " [17] ,RX buffer 14 mask bit 17" "Not masked,Masked" bitfld.long 0x04 16. " [16] ,RX buffer 14 mask bit 16" "Not masked,Masked" newline bitfld.long 0x04 15. " [15] ,RX buffer 14 mask bit 15" "Not masked,Masked" bitfld.long 0x04 14. " [14] ,RX buffer 14 mask bit 14" "Not masked,Masked" bitfld.long 0x04 13. " [13] ,RX buffer 14 mask bit 13" "Not masked,Masked" bitfld.long 0x04 12. " [12] ,RX buffer 14 mask bit 12" "Not masked,Masked" newline bitfld.long 0x04 11. " [11] ,RX buffer 14 mask bit 11" "Not masked,Masked" bitfld.long 0x04 10. " [10] ,RX buffer 14 mask bit 10" "Not masked,Masked" bitfld.long 0x04 9. " [9] ,RX buffer 14 mask bit 9" "Not masked,Masked" bitfld.long 0x04 8. " [8] ,RX buffer 14 mask bit 8" "Not masked,Masked" newline bitfld.long 0x04 7. " [7] ,RX buffer 14 mask bit 7" "Not masked,Masked" bitfld.long 0x04 6. " [6] ,RX buffer 14 mask bit 6" "Not masked,Masked" bitfld.long 0x04 5. " [5] ,RX buffer 14 mask bit 5" "Not masked,Masked" bitfld.long 0x04 4. " [4] ,RX buffer 14 mask bit 4" "Not masked,Masked" newline bitfld.long 0x04 3. " [3] ,RX buffer 14 mask bit 3" "Not masked,Masked" bitfld.long 0x04 2. " [2] ,RX buffer 14 mask bit 2" "Not masked,Masked" bitfld.long 0x04 1. " [1] ,RX buffer 14 mask bit 1" "Not masked,Masked" bitfld.long 0x04 0. " [0] ,RX buffer 14 mask bit 0" "Not masked,Masked" line.long 0x08 "RX15MASK,RX 15 Mask Register" bitfld.long 0x08 31. " RX15M[31] ,RX buffer 15 mask bit 31" "Not masked,Masked" bitfld.long 0x08 30. " [30] ,RX buffer 15 mask bit 30" "Not masked,Masked" bitfld.long 0x08 29. " [29] ,RX buffer 15 mask bit 29" "Not masked,Masked" bitfld.long 0x08 28. " [28] ,RX buffer 15 mask bit 28" "Not masked,Masked" newline bitfld.long 0x08 27. " [27] ,RX buffer 15 mask bit 27" "Not masked,Masked" bitfld.long 0x08 26. " [26] ,RX buffer 15 mask bit 26" "Not masked,Masked" bitfld.long 0x08 25. " [25] ,RX buffer 15 mask bit 25" "Not masked,Masked" bitfld.long 0x08 24. " [24] ,RX buffer 15 mask bit 24" "Not masked,Masked" newline bitfld.long 0x08 23. " [23] ,RX buffer 15 mask bit 23" "Not masked,Masked" bitfld.long 0x08 22. " [22] ,RX buffer 15 mask bit 22" "Not masked,Masked" bitfld.long 0x08 21. " [21] ,RX buffer 15 mask bit 21" "Not masked,Masked" bitfld.long 0x08 20. " [20] ,RX buffer 15 mask bit 20" "Not masked,Masked" newline bitfld.long 0x08 19. " [19] ,RX buffer 15 mask bit 19" "Not masked,Masked" bitfld.long 0x08 18. " [18] ,RX buffer 15 mask bit 18" "Not masked,Masked" bitfld.long 0x08 17. " [17] ,RX buffer 15 mask bit 17" "Not masked,Masked" bitfld.long 0x08 16. " [16] ,RX buffer 15 mask bit 16" "Not masked,Masked" newline bitfld.long 0x08 15. " [15] ,RX buffer 15 mask bit 15" "Not masked,Masked" bitfld.long 0x08 14. " [14] ,RX buffer 15 mask bit 14" "Not masked,Masked" bitfld.long 0x08 13. " [13] ,RX buffer 15 mask bit 13" "Not masked,Masked" bitfld.long 0x08 12. " [12] ,RX buffer 15 mask bit 12" "Not masked,Masked" newline bitfld.long 0x08 11. " [11] ,RX buffer 15 mask bit 11" "Not masked,Masked" bitfld.long 0x08 10. " [10] ,RX buffer 15 mask bit 10" "Not masked,Masked" bitfld.long 0x08 9. " [9] ,RX buffer 15 mask bit 9" "Not masked,Masked" bitfld.long 0x08 8. " [8] ,RX buffer 15 mask bit 8" "Not masked,Masked" newline bitfld.long 0x08 7. " [7] ,RX buffer 15 mask bit 7" "Not masked,Masked" bitfld.long 0x08 6. " [6] ,RX buffer 15 mask bit 6" "Not masked,Masked" bitfld.long 0x08 5. " [5] ,RX buffer 15 mask bit 5" "Not masked,Masked" bitfld.long 0x08 4. " [4] ,RX buffer 15 mask bit 4" "Not masked,Masked" newline bitfld.long 0x08 3. " [3] ,RX buffer 15 mask bit 3" "Not masked,Masked" bitfld.long 0x08 2. " [2] ,RX buffer 15 mask bit 2" "Not masked,Masked" bitfld.long 0x08 1. " [1] ,RX buffer 15 mask bit 1" "Not masked,Masked" bitfld.long 0x08 0. " [0] ,RX buffer 15 mask bit 0" "Not masked,Masked" line.long 0x0C "ECR,Error Counter Register" rhexmask.long.byte 0x0C 24.--31. 1. " RXERRCNT_FAST ,Receive error counter for fast bits" rhexmask.long.byte 0x0C 16.--23. 1. " TXERRCNT_FAST ,Transmit error counter for fast bits" hexmask.long.byte 0x0C 8.--15. 1. " RXERRCNT ,Receive error counter" hexmask.long.byte 0x0C 0.--7. 1. " TXERRCNT ,Transmit error counter" else group.long 0x10++0x0F line.long 0x00 "RXMGMASK,RX Mailboxes Global Mask Register" bitfld.long 0x00 31. " MG[31] ,RX mailboxes global mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,RX mailboxes global mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,RX mailboxes global mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,RX mailboxes global mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,RX mailboxes global mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,RX mailboxes global mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,RX mailboxes global mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,RX mailboxes global mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,RX mailboxes global mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,RX mailboxes global mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,RX mailboxes global mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,RX mailboxes global mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,RX mailboxes global mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,RX mailboxes global mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,RX mailboxes global mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,RX mailboxes global mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,RX mailboxes global mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,RX mailboxes global mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,RX mailboxes global mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,RX mailboxes global mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,RX mailboxes global mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,RX mailboxes global mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,RX mailboxes global mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,RX mailboxes global mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,RX mailboxes global mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,RX mailboxes global mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,RX mailboxes global mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,RX mailboxes global mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,RX mailboxes global mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,RX mailboxes global mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,RX mailboxes global mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,RX mailboxes global mask bit 0" "Not masked,Masked" line.long 0x04 "RX14MASK,RX 14 Mask Register" bitfld.long 0x04 31. " RX14M[31] ,RX buffer 14 mask bit 31" "Not masked,Masked" bitfld.long 0x04 30. " [30] ,RX buffer 14 mask bit 30" "Not masked,Masked" bitfld.long 0x04 29. " [29] ,RX buffer 14 mask bit 29" "Not masked,Masked" bitfld.long 0x04 28. " [28] ,RX buffer 14 mask bit 28" "Not masked,Masked" newline bitfld.long 0x04 27. " [27] ,RX buffer 14 mask bit 27" "Not masked,Masked" bitfld.long 0x04 26. " [26] ,RX buffer 14 mask bit 26" "Not masked,Masked" bitfld.long 0x04 25. " [25] ,RX buffer 14 mask bit 25" "Not masked,Masked" bitfld.long 0x04 24. " [24] ,RX buffer 14 bask bit 24" "Not masked,Masked" newline bitfld.long 0x04 23. " [23] ,RX buffer 14 mask bit 23" "Not masked,Masked" bitfld.long 0x04 22. " [22] ,RX buffer 14 mask bit 22" "Not masked,Masked" bitfld.long 0x04 21. " [21] ,RX buffer 14 mask bit 21" "Not masked,Masked" bitfld.long 0x04 20. " [20] ,RX buffer 14 mask bit 20" "Not masked,Masked" newline bitfld.long 0x04 19. " [19] ,RX buffer 14 mask bit 19" "Not masked,Masked" bitfld.long 0x04 18. " [18] ,RX buffer 14 mask bit 18" "Not masked,Masked" bitfld.long 0x04 17. " [17] ,RX buffer 14 mask bit 17" "Not masked,Masked" bitfld.long 0x04 16. " [16] ,RX buffer 14 mask bit 16" "Not masked,Masked" newline bitfld.long 0x04 15. " [15] ,RX buffer 14 mask bit 15" "Not masked,Masked" bitfld.long 0x04 14. " [14] ,RX buffer 14 mask bit 14" "Not masked,Masked" bitfld.long 0x04 13. " [13] ,RX buffer 14 mask bit 13" "Not masked,Masked" bitfld.long 0x04 12. " [12] ,RX buffer 14 mask bit 12" "Not masked,Masked" newline bitfld.long 0x04 11. " [11] ,RX buffer 14 mask bit 11" "Not masked,Masked" bitfld.long 0x04 10. " [10] ,RX buffer 14 mask bit 10" "Not masked,Masked" bitfld.long 0x04 9. " [9] ,RX buffer 14 mask bit 9" "Not masked,Masked" bitfld.long 0x04 8. " [8] ,RX buffer 14 mask bit 8" "Not masked,Masked" newline bitfld.long 0x04 7. " [7] ,RX buffer 14 mask bit 7" "Not masked,Masked" bitfld.long 0x04 6. " [6] ,RX buffer 14 mask bit 6" "Not masked,Masked" bitfld.long 0x04 5. " [5] ,RX buffer 14 mask bit 5" "Not masked,Masked" bitfld.long 0x04 4. " [4] ,RX buffer 14 mask bit 4" "Not masked,Masked" newline bitfld.long 0x04 3. " [3] ,RX buffer 14 mask bit 3" "Not masked,Masked" bitfld.long 0x04 2. " [2] ,RX buffer 14 mask bit 2" "Not masked,Masked" bitfld.long 0x04 1. " [1] ,RX buffer 14 mask bit 1" "Not masked,Masked" bitfld.long 0x04 0. " [0] ,RX buffer 14 mask bit 0" "Not masked,Masked" line.long 0x08 "RX15MASK,RX 15 Mask Register" bitfld.long 0x08 31. " RX15M[31] ,RX buffer 15 mask bit 31" "Not masked,Masked" bitfld.long 0x08 30. " [30] ,RX buffer 15 mask bit 30" "Not masked,Masked" bitfld.long 0x08 29. " [29] ,RX buffer 15 mask bit 29" "Not masked,Masked" bitfld.long 0x08 28. " [28] ,RX buffer 15 mask bit 28" "Not masked,Masked" newline bitfld.long 0x08 27. " [27] ,RX buffer 15 mask bit 27" "Not masked,Masked" bitfld.long 0x08 26. " [26] ,RX buffer 15 mask bit 26" "Not masked,Masked" bitfld.long 0x08 25. " [25] ,RX buffer 15 mask bit 25" "Not masked,Masked" bitfld.long 0x08 24. " [24] ,RX buffer 15 mask bit 24" "Not masked,Masked" newline bitfld.long 0x08 23. " [23] ,RX buffer 15 mask bit 23" "Not masked,Masked" bitfld.long 0x08 22. " [22] ,RX buffer 15 mask bit 22" "Not masked,Masked" bitfld.long 0x08 21. " [21] ,RX buffer 15 mask bit 21" "Not masked,Masked" bitfld.long 0x08 20. " [20] ,RX buffer 15 mask bit 20" "Not masked,Masked" newline bitfld.long 0x08 19. " [19] ,RX buffer 15 mask bit 19" "Not masked,Masked" bitfld.long 0x08 18. " [18] ,RX buffer 15 mask bit 18" "Not masked,Masked" bitfld.long 0x08 17. " [17] ,RX buffer 15 mask bit 17" "Not masked,Masked" bitfld.long 0x08 16. " [16] ,RX buffer 15 mask bit 16" "Not masked,Masked" newline bitfld.long 0x08 15. " [15] ,RX buffer 15 mask bit 15" "Not masked,Masked" bitfld.long 0x08 14. " [14] ,RX buffer 15 mask bit 14" "Not masked,Masked" bitfld.long 0x08 13. " [13] ,RX buffer 15 mask bit 13" "Not masked,Masked" bitfld.long 0x08 12. " [12] ,RX buffer 15 mask bit 12" "Not masked,Masked" newline bitfld.long 0x08 11. " [11] ,RX buffer 15 mask bit 11" "Not masked,Masked" bitfld.long 0x08 10. " [10] ,RX buffer 15 mask bit 10" "Not masked,Masked" bitfld.long 0x08 9. " [9] ,RX buffer 15 mask bit 9" "Not masked,Masked" bitfld.long 0x08 8. " [8] ,RX buffer 15 mask bit 8" "Not masked,Masked" newline bitfld.long 0x08 7. " [7] ,RX buffer 15 mask bit 7" "Not masked,Masked" bitfld.long 0x08 6. " [6] ,RX buffer 15 mask bit 6" "Not masked,Masked" bitfld.long 0x08 5. " [5] ,RX buffer 15 mask bit 5" "Not masked,Masked" bitfld.long 0x08 4. " [4] ,RX buffer 15 mask bit 4" "Not masked,Masked" newline bitfld.long 0x08 3. " [3] ,RX buffer 15 mask bit 3" "Not masked,Masked" bitfld.long 0x08 2. " [2] ,RX buffer 15 mask bit 2" "Not masked,Masked" bitfld.long 0x08 1. " [1] ,RX buffer 15 mask bit 1" "Not masked,Masked" bitfld.long 0x08 0. " [0] ,RX buffer 15 mask bit 0" "Not masked,Masked" line.long 0x0C "ECR,Error Counter Register" rhexmask.long.byte 0x0C 24.--31. 1. " RXERRCNT_FAST ,Receive error counter for fast bits" rhexmask.long.byte 0x0C 16.--23. 1. " TXERRCNT_FAST ,Transmit error counter for fast bits" hexmask.long.byte 0x0C 8.--15. 1. " RXERRCNT ,Receive error counter" hexmask.long.byte 0x0C 0.--7. 1. " TXERRCNT ,Transmit error counter" endif newline group.long 0x20++0x0F line.long 0x00 "ESR1,Error And Status 1 Register" rbitfld.long 0x00 31. " BIT1ERR_FAST ,Bit1 error in the data phase of CAN FD frames with the BRS bit set" "Not occurred,Occurred" rbitfld.long 0x00 30. " BIT0ERR_FAST ,Bit0 error in the data phase of CAN FD frames with the BRS bit set" "Not occurred,Occurred" rbitfld.long 0x00 28. " CRCERR_FAST ,Cyclic redundancy check error in the CRC field of CAN FD frames with the BRS bit set" "Not occurred,Occurred" rbitfld.long 0x00 27. " FRMERR_FAST ,Form error in the data phase of CAN FD frames with the BRS bit set" "Not occurred,Occurred" newline rbitfld.long 0x00 26. " STFERR_FAST ,Stuffing error in the data phase of CAN FD frames with the BRS bit set" "Not occurred,Occurred" eventfld.long 0x00 21. " ERROVR ,Error overrun" "Not occurred,Occurred" eventfld.long 0x00 20. " ERRINT_FAST ,Error interrupt for errors detected in data phase of CAN FD frames with BRS bit set" "Not occurred,Occurred" eventfld.long 0x00 19. " BOFFDONEINT ,Bus off done interrupt" "Not occurred,Occurred" newline rbitfld.long 0x00 18. " SYNCH ,CAN synchronization status" "Not synchronized,Synchronized" eventfld.long 0x00 17. " TWRNINT ,TX warning interrupt flag" "Not occurred,Occurred" eventfld.long 0x00 16. " RWRNINT ,RX warning interrupt flag" "Not occurred,Occurred" rbitfld.long 0x00 15. " BIT1ERR ,Bit1 error" "Not occurred,Occurred" newline rbitfld.long 0x00 14. " BIT0ERR ,Bit0 error" "Not occurred,Occurred" rbitfld.long 0x00 13. " ACKERR ,Acknowledge error" "Not occurred,Occurred" rbitfld.long 0x00 12. " CRCERR ,Cyclic redundancy check error" "Not occurred,Occurred" rbitfld.long 0x00 11. " FRMERR ,Form error" "Not occurred,Occurred" newline rbitfld.long 0x00 10. " STFERR ,Stuffing error" "Not occurred,Occurred" rbitfld.long 0x00 9. " TXWRN ,TX error warning" "Not occurred,Occurred" rbitfld.long 0x00 8. " RXWRN ,RX error warning" "Not occurred,Occurred" rbitfld.long 0x00 7. " IDLE ,CAN bus is in IDLE state indication" "Not occurred,Occurred" newline rbitfld.long 0x00 6. " TX ,FlexCAN in transmission" "Not transmitted,Transmitted" rbitfld.long 0x00 4.--5. " FLTCONF ,Fault confinement state" "Error Active,Error Passive,Bus Off,Bus Off" rbitfld.long 0x00 3. " RX ,FlexCAN in reception" "Not received,Received" eventfld.long 0x00 2. " BOFFINT ,Bus off interrupt" "Not occurred,Occurred" newline eventfld.long 0x00 1. " ERRINT ,Error interrupt" "Not occurred,Occurred" eventfld.long 0x00 0. " WAKINT ,Wake-Up interrupt" "Not occurred,Occurred" line.long 0x04 "IMASK2,Interrupt Masks 2 Register" bitfld.long 0x04 31. " MB[63] ,Buffer MB63 interrupt mask" "Not masked,Masked" bitfld.long 0x04 30. " [62] ,Buffer MB62 interrupt mask" "Not masked,Masked" bitfld.long 0x04 29. " [61] ,Buffer MB61 interrupt mask" "Not masked,Masked" bitfld.long 0x04 28. " [60] ,Buffer MB60 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 27. " [59] ,Buffer MB59 interrupt mask" "Not masked,Masked" bitfld.long 0x04 26. " [58] ,Buffer MB58 interrupt mask" "Not masked,Masked" bitfld.long 0x04 25. " [57] ,Buffer MB57 interrupt mask" "Not masked,Masked" bitfld.long 0x04 24. " [56] ,Buffer MB56 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 23. " [55] ,Buffer MB55 interrupt mask" "Not masked,Masked" bitfld.long 0x04 22. " [54] ,Buffer MB54 interrupt mask" "Not masked,Masked" bitfld.long 0x04 21. " [53] ,Buffer MB53 interrupt mask" "Not masked,Masked" bitfld.long 0x04 20. " [52] ,Buffer MB52 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 19. " [51] ,Buffer MB51 interrupt mask" "Not masked,Masked" bitfld.long 0x04 18. " [50] ,Buffer MB50 interrupt mask" "Not masked,Masked" bitfld.long 0x04 17. " [49] ,Buffer MB49 interrupt mask" "Not masked,Masked" bitfld.long 0x04 16. " [48] ,Buffer MB48 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 15. " [47] ,Buffer MB47 interrupt mask" "Not masked,Masked" bitfld.long 0x04 14. " [46] ,Buffer MB46 interrupt mask" "Not masked,Masked" bitfld.long 0x04 13. " [45] ,Buffer MB45 interrupt mask" "Not masked,Masked" bitfld.long 0x04 12. " [44] ,Buffer MB44 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 11. " [43] ,Buffer MB43 interrupt mask" "Not masked,Masked" bitfld.long 0x04 10. " [42] ,Buffer MB42 interrupt mask" "Not masked,Masked" bitfld.long 0x04 9. " [41] ,Buffer MB41 interrupt mask" "Not masked,Masked" bitfld.long 0x04 8. " [40] ,Buffer MB40 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 7. " [39] ,Buffer MB39 interrupt mask" "Not masked,Masked" bitfld.long 0x04 6. " [38] ,Buffer MB38 interrupt mask" "Not masked,Masked" bitfld.long 0x04 5. " [37] ,Buffer MB37 interrupt mask" "Not masked,Masked" bitfld.long 0x04 4. " [36] ,Buffer MB36 interrupt mask" "Not masked,Masked" newline bitfld.long 0x04 3. " [35] ,Buffer MB35 interrupt mask" "Not masked,Masked" bitfld.long 0x04 2. " [34] ,Buffer MB34 interrupt mask" "Not masked,Masked" bitfld.long 0x04 1. " [33] ,Buffer MB33 interrupt mask" "Not masked,Masked" bitfld.long 0x04 0. " [32] ,Buffer MB32 interrupt mask" "Not masked,Masked" line.long 0x08 "IMASK1,Interrupt Masks 1 Register" bitfld.long 0x08 31. " MB[31] ,Buffer MB31 interrupt mask" "Not masked,Masked" bitfld.long 0x08 30. " [30] ,Buffer MB30 interrupt mask" "Not masked,Masked" bitfld.long 0x08 29. " [29] ,Buffer MB29 interrupt mask" "Not masked,Masked" bitfld.long 0x08 28. " [28] ,Buffer MB28 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 27. " [27] ,Buffer MB27 interrupt mask" "Not masked,Masked" bitfld.long 0x08 26. " [26] ,Buffer MB26 interrupt mask" "Not masked,Masked" bitfld.long 0x08 25. " [25] ,Buffer MB25 interrupt mask" "Not masked,Masked" bitfld.long 0x08 24. " [24] ,Buffer MB24 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 23. " [23] ,Buffer MB23 interrupt mask" "Not masked,Masked" bitfld.long 0x08 22. " [22] ,Buffer MB22 interrupt mask" "Not masked,Masked" bitfld.long 0x08 21. " [21] ,Buffer MB21 interrupt mask" "Not masked,Masked" bitfld.long 0x08 20. " [20] ,Buffer MB20 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 19. " [19] ,Buffer MB19 interrupt mask" "Not masked,Masked" bitfld.long 0x08 18. " [18] ,Buffer MB18 interrupt mask" "Not masked,Masked" bitfld.long 0x08 17. " [17] ,Buffer MB17 interrupt mask" "Not masked,Masked" bitfld.long 0x08 16. " [16] ,Buffer MB16 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 15. " [15] ,Buffer MB15 interrupt mask" "Not masked,Masked" bitfld.long 0x08 14. " [14] ,Buffer MB14 interrupt mask" "Not masked,Masked" bitfld.long 0x08 13. " [13] ,Buffer MB13 interrupt mask" "Not masked,Masked" bitfld.long 0x08 12. " [12] ,Buffer MB12 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 11. " [11] ,Buffer MB11 interrupt mask" "Not masked,Masked" bitfld.long 0x08 10. " [10] ,Buffer MB10 interrupt mask" "Not masked,Masked" bitfld.long 0x08 9. " [9] ,Buffer MB9 interrupt mask" "Not masked,Masked" bitfld.long 0x08 8. " [8] ,Buffer MB8 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 7. " [7] ,Buffer MB7 interrupt mask" "Not masked,Masked" bitfld.long 0x08 6. " [6] ,Buffer MB6 interrupt mask" "Not masked,Masked" bitfld.long 0x08 5. " [5] ,Buffer MB5 interrupt mask" "Not masked,Masked" bitfld.long 0x08 4. " [4] ,Buffer MB4 interrupt mask" "Not masked,Masked" newline bitfld.long 0x08 3. " [3] ,Buffer MB3 interrupt mask" "Not masked,Masked" bitfld.long 0x08 2. " [2] ,Buffer MB2 interrupt mask" "Not masked,Masked" bitfld.long 0x08 1. " [1] ,Buffer MB1 interrupt mask" "Not masked,Masked" bitfld.long 0x08 0. " [0] ,Buffer MB0 interrupt mask" "Not masked,Masked" line.long 0x0C "IFLAG2,Interrupt Flags 2 Register" eventfld.long 0x0C 31. " BUFI[63] ,Buffer MB63 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 30. " [62] ,Buffer MB62 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 29. " [61] ,Buffer MB61 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 28. " [60] ,Buffer MB60 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 27. " [59] ,Buffer MB59 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 26. " [58] ,Buffer MB58 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 25. " [57] ,Buffer MB57 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 24. " [56] ,Buffer MB56 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 23. " [55] ,Buffer MB55 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 22. " [54] ,Buffer MB54 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 21. " [53] ,Buffer MB53 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 20. " [52] ,Buffer MB52 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 19. " [51] ,Buffer MB51 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 18. " [50] ,Buffer MB50 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 17. " [49] ,Buffer MB49 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 16. " [48] ,Buffer MB48 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 15. " [47] ,Buffer MB47 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 14. " [46] ,Buffer MB46 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 13. " [45] ,Buffer MB45 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 12. " [44] ,Buffer MB44 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 11. " [43] ,Buffer MB43 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 10. " [42] ,Buffer MB42 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 9. " [41] ,Buffer MB41 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 8. " [40] ,Buffer MB40 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 7. " [39] ,Buffer MB39 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 6. " [38] ,Buffer MB38 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 5. " [37] ,Buffer MB37 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 4. " [36] ,Buffer MB36 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x0C 3. " [35] ,Buffer MB35 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 2. " [34] ,Buffer MB34 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 1. " [33] ,Buffer MB33 interrupt" "No interrupt,Interrupt" eventfld.long 0x0C 0. " [32] ,Buffer MB32 interrupt" "No interrupt,Interrupt" if ((per.l(ad:0x02190000)&0x20000000)==0x00) group.long 0x30++0x03 line.long 0x00 "IFLAG1,Interrupt Flags 1 Register" eventfld.long 0x00 31. " BUFI[31] ,Buffer MB31 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 30. " [30] ,Buffer MB30 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 29. " [29] ,Buffer MB29 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 28. " [28] ,Buffer MB28 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 27. " [27] ,Buffer MB27 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 26. " [26] ,Buffer MB26 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 25. " [25] ,Buffer MB25 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 24. " [24] ,Buffer MB24 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 23. " [23] ,Buffer MB23 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 22. " [22] ,Buffer MB22 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 21. " [21] ,Buffer MB21 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 20. " [20] ,Buffer MB20 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 19. " [19] ,Buffer MB19 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 18. " [18] ,Buffer MB18 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 17. " [17] ,Buffer MB17 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 16. " [16] ,Buffer MB16 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 15. " [15] ,Buffer MB15 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,Buffer MB14 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,Buffer MB13 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,Buffer MB12 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,Buffer MB11 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,Buffer MB10 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,Buffer MB9 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,Buffer MB8 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,Buffer MB7 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 6. " [6] ,Buffer MB6 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 5. " [5] ,Buffer MB5 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 4. " [4] ,Buffer MB4 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 3. " [3] ,Buffer MB3 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 2. " [2] ,Buffer MB2 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 1. " [1] ,Buffer MB1 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 0. " [0] ,Buffer MB0 interrupt" "No interrupt,Interrupt" else group.long 0x30++0x03 line.long 0x00 "IFLAG1,Interrupt Flags 1 Register" eventfld.long 0x00 31. " BUFI[31] ,Buffer MB31 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 30. " [30] ,Buffer MB30 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 29. " [29] ,Buffer MB29 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 28. " [28] ,Buffer MB28 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 27. " [27] ,Buffer MB27 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 26. " [26] ,Buffer MB26 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 25. " [25] ,Buffer MB25 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 24. " [24] ,Buffer MB24 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 23. " [23] ,Buffer MB23 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 22. " [22] ,Buffer MB22 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 21. " [21] ,Buffer MB21 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 20. " [20] ,Buffer MB20 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 19. " [19] ,Buffer MB19 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 18. " [18] ,Buffer MB18 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 17. " [17] ,Buffer MB17 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 16. " [16] ,Buffer MB16 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 15. " [15] ,Buffer MB15 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 14. " [14] ,Buffer MB14 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 13. " [13] ,Buffer MB13 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 12. " [12] ,Buffer MB12 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 11. " [11] ,Buffer MB11 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 10. " [10] ,Buffer MB10 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 9. " [9] ,Buffer MB9 interrupt" "No interrupt,Interrupt" eventfld.long 0x00 8. " [8] ,Buffer MB8 interrupt" "No interrupt,Interrupt" newline eventfld.long 0x00 7. " [7] ,RX FIFO overflow" "No overflow,Overflow" eventfld.long 0x00 6. " [6] ,RX FIFO warning" "Not full,Almost full" eventfld.long 0x00 5. " [5] ,Frames available in RX FIFO" "Not available,Available" eventfld.long 0x00 0. " [0] ,Buffer MB0 interrupt" "No interrupt,Interrupt" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x34++0x03 line.long 0x00 "CTRL2,Control 2 Register" bitfld.long 0x00 31. " ERRMSK_FAST ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 30. " BOFFDONEMSK ,Bus off done interrupt mask" "Not masked,Masked" bitfld.long 0x00 29. " ECRWRE ,Error-correction configuration register write enable" "Disabled,Enabled" bitfld.long 0x00 28. " WRMFRZ ,Write-access to memory in freeze mode" "Disabled,Enabled" newline bitfld.long 0x00 24.--27. " RFFN ,Number of RX FIFO filters" "8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128" bitfld.long 0x00 19.--23. " TASD ,TX arbitration start delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 18. " MRP ,Mailboxes reception priority" "RX FIFO -> Mailboxes,Mailboxes -> RX FIFO" bitfld.long 0x00 17. " RRS ,Remote request storing" "Generated,Stored" newline bitfld.long 0x00 16. " EACEN ,Entire frame arbitration field comparison enable for RX mailboxes" "Disabled,Enabled" bitfld.long 0x00 14. " PREXCEN ,Protocol exception enable" "Disabled,Enabled" bitfld.long 0x00 12. " ISOCANFDEN ,ISO CAN FD enable" "Disabled,Enabled" bitfld.long 0x00 11. " EDFLTDIS ,Edge filter disable" "No,Yes" else group.long 0x34++0x03 line.long 0x00 "CTRL2,Control 2 Register" bitfld.long 0x00 31. " ERRMSK_FAST ,Error interrupt mask" "Not masked,Masked" bitfld.long 0x00 30. " BOFFDONEMSK ,Bus off done interrupt mask" "Not masked,Masked" bitfld.long 0x00 29. " ECRWRE ,Error-correction configuration register write enable" "Disabled,Enabled" rbitfld.long 0x00 28. " WRMFRZ ,Write-access to memory in freeze mode" "Disabled,Enabled" newline rbitfld.long 0x00 24.--27. " RFFN ,Number of RX FIFO filters" "8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128" rbitfld.long 0x00 19.--23. " TASD ,TX arbitration start delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 18. " MRP ,Mailboxes reception priority" "RX FIFO -> Mailboxes,Mailboxes -> RX FIFO" rbitfld.long 0x00 17. " RRS ,Remote request storing" "Generated,Stored" newline rbitfld.long 0x00 16. " EACEN ,Entire frame arbitration field comparison enable for RX mailboxes" "Disabled,Enabled" rbitfld.long 0x00 14. " PREXCEN ,Protocol exception enable" "Disabled,Enabled" rbitfld.long 0x00 12. " ISOCANFDEN ,ISO CAN FD enable" "Disabled,Enabled" rbitfld.long 0x00 11. " EDFLTDIS ,Edge filter disable" "No,Yes" endif rgroup.long 0x38++0x03 line.long 0x00 "ESR2,Error And Status 2 Register" hexmask.long.byte 0x00 16.--22. 1. " LPTM ,Lowest priority TX mailbox" bitfld.long 0x00 14. " VPS ,Valid priority status" "Invalid,Valid" bitfld.long 0x00 13. " IMB ,Inactive mailbox" "No inactive,>= 1 inactive" rgroup.long 0x44++0x03 line.long 0x00 "CRCR,CRC Register" hexmask.long.byte 0x00 16.--22. 1. " MBCRC ,CRC mailbox" hexmask.long.word 0x00 0.--14. 1. " TXCRC ,CRC transmitted" if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) rgroup.long 0x48++0x03 line.long 0x00 "RXFGMASK,RX FIFO Global Mask Register" bitfld.long 0x00 31. " FGM[31] ,RX FIFO global mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,RX FIFO global mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,RX FIFO global mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,RX FIFO global mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,RX FIFO global mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,RX FIFO global mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,RX FIFO global mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,RX FIFO global mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,RX FIFO global mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,RX FIFO global mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,RX FIFO global mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,RX FIFO global mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,RX FIFO global mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,RX FIFO global mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,RX FIFO global mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,RX FIFO global mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,RX FIFO global mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,RX FIFO global mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,RX FIFO global mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,RX FIFO global mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,RX FIFO global mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,RX FIFO global mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,RX FIFO global mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,RX FIFO global mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,RX FIFO global mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,RX FIFO global mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,RX FIFO global mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,RX FIFO global mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,RX FIFO global mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,RX FIFO global mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,RX FIFO global mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,RX FIFO global mask bit 0" "Not masked,Masked" else group.long 0x48++0x03 line.long 0x00 "RXFGMASK,RX FIFO Global Mask Register" bitfld.long 0x00 31. " FGM[31] ,RX FIFO global mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,RX FIFO global mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,RX FIFO global mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,RX FIFO global mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,RX FIFO global mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,RX FIFO global mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,RX FIFO global mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,RX FIFO global mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,RX FIFO global mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,RX FIFO global mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,RX FIFO global mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,RX FIFO global mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,RX FIFO global mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,RX FIFO global mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,RX FIFO global mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,RX FIFO global mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,RX FIFO global mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,RX FIFO global mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,RX FIFO global mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,RX FIFO global mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,RX FIFO global mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,RX FIFO global mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,RX FIFO global mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,RX FIFO global mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,RX FIFO global mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,RX FIFO global mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,RX FIFO global mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,RX FIFO global mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,RX FIFO global mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,RX FIFO global mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,RX FIFO global mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,RX FIFO global mask bit 0" "Not masked,Masked" endif rgroup.long 0x4C++0x03 line.long 0x00 "RXFIR,Legacy RX FIFO Information Register" hexmask.long.word 0x00 0.--8. 1. " IDHIT ,Identifier acceptance filter hit indicator" if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x50++0x03 line.long 0x00 "CBT,CAN Bit Timing Register" bitfld.long 0x00 31. " BTF ,Bit timing format enable" "Disabled,Enabled" hexmask.long.word 0x00 21.--30. 1. " EPRESDIV ,Extended prescaler division factor" bitfld.long 0x00 16.--19. " ERJW ,Extended resync jump width" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" bitfld.long 0x00 10.--15. " EPROPSEG ,Extended propagation segment" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64" newline bitfld.long 0x00 5.--9. " EPSEG1 ,Extended phase segment 1" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" bitfld.long 0x00 0.--4. " EPSEG2 ,Extended phase segment 2" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" else rgroup.long 0x50++0x03 line.long 0x00 "CBT,CAN Bit Timing Register" bitfld.long 0x00 31. " BTF ,Bit timing format enable" "Disabled,Enabled" hexmask.long.word 0x00 21.--30. 1. " EPRESDIV ,Extended prescaler division factor" bitfld.long 0x00 16.--19. " ERJW ,Extended resync jump width" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" bitfld.long 0x00 10.--15. " EPROPSEG ,Extended propagation segment" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64" newline bitfld.long 0x00 5.--9. " EPSEG1 ,Extended phase segment 1" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" bitfld.long 0x00 0.--4. " EPSEG2 ,Extended phase segment 2" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" endif tree "RX Individual Mask Registers" if (((per.l(ad:0x02190000)&0x1000000)==0x1000000)) group.long 0x880++0x03 line.long 0x00 "RXIMR0,RX Individual Mask Register 0 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x884++0x03 line.long 0x00 "RXIMR1,RX Individual Mask Register 1 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x888++0x03 line.long 0x00 "RXIMR2,RX Individual Mask Register 2 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x88C++0x03 line.long 0x00 "RXIMR3,RX Individual Mask Register 3 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x890++0x03 line.long 0x00 "RXIMR4,RX Individual Mask Register 4 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x894++0x03 line.long 0x00 "RXIMR5,RX Individual Mask Register 5 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x898++0x03 line.long 0x00 "RXIMR6,RX Individual Mask Register 6 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x89C++0x03 line.long 0x00 "RXIMR7,RX Individual Mask Register 7 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8A0++0x03 line.long 0x00 "RXIMR8,RX Individual Mask Register 8 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8A4++0x03 line.long 0x00 "RXIMR9,RX Individual Mask Register 9 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8A8++0x03 line.long 0x00 "RXIMR10,RX Individual Mask Register 10 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8AC++0x03 line.long 0x00 "RXIMR11,RX Individual Mask Register 11 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8B0++0x03 line.long 0x00 "RXIMR12,RX Individual Mask Register 12 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8B4++0x03 line.long 0x00 "RXIMR13,RX Individual Mask Register 13 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8B8++0x03 line.long 0x00 "RXIMR14,RX Individual Mask Register 14 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8BC++0x03 line.long 0x00 "RXIMR15,RX Individual Mask Register 15 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8C0++0x03 line.long 0x00 "RXIMR16,RX Individual Mask Register 16 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8C4++0x03 line.long 0x00 "RXIMR17,RX Individual Mask Register 17 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8C8++0x03 line.long 0x00 "RXIMR18,RX Individual Mask Register 18 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8CC++0x03 line.long 0x00 "RXIMR19,RX Individual Mask Register 19 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8D0++0x03 line.long 0x00 "RXIMR20,RX Individual Mask Register 20 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8D4++0x03 line.long 0x00 "RXIMR21,RX Individual Mask Register 21 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8D8++0x03 line.long 0x00 "RXIMR22,RX Individual Mask Register 22 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8DC++0x03 line.long 0x00 "RXIMR23,RX Individual Mask Register 23 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8E0++0x03 line.long 0x00 "RXIMR24,RX Individual Mask Register 24 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8E4++0x03 line.long 0x00 "RXIMR25,RX Individual Mask Register 25 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8E8++0x03 line.long 0x00 "RXIMR26,RX Individual Mask Register 26 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8EC++0x03 line.long 0x00 "RXIMR27,RX Individual Mask Register 27 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8F0++0x03 line.long 0x00 "RXIMR28,RX Individual Mask Register 28 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8F4++0x03 line.long 0x00 "RXIMR29,RX Individual Mask Register 29 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8F8++0x03 line.long 0x00 "RXIMR30,RX Individual Mask Register 30 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x8FC++0x03 line.long 0x00 "RXIMR31,RX Individual Mask Register 31 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x900++0x03 line.long 0x00 "RXIMR32,RX Individual Mask Register 32 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x904++0x03 line.long 0x00 "RXIMR33,RX Individual Mask Register 33 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x908++0x03 line.long 0x00 "RXIMR34,RX Individual Mask Register 34 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x90C++0x03 line.long 0x00 "RXIMR35,RX Individual Mask Register 35 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x910++0x03 line.long 0x00 "RXIMR36,RX Individual Mask Register 36 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x914++0x03 line.long 0x00 "RXIMR37,RX Individual Mask Register 37 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x918++0x03 line.long 0x00 "RXIMR38,RX Individual Mask Register 38 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x91C++0x03 line.long 0x00 "RXIMR39,RX Individual Mask Register 39 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x920++0x03 line.long 0x00 "RXIMR40,RX Individual Mask Register 40 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x924++0x03 line.long 0x00 "RXIMR41,RX Individual Mask Register 41 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x928++0x03 line.long 0x00 "RXIMR42,RX Individual Mask Register 42 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x92C++0x03 line.long 0x00 "RXIMR43,RX Individual Mask Register 43 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x930++0x03 line.long 0x00 "RXIMR44,RX Individual Mask Register 44 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x934++0x03 line.long 0x00 "RXIMR45,RX Individual Mask Register 45 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x938++0x03 line.long 0x00 "RXIMR46,RX Individual Mask Register 46 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x93C++0x03 line.long 0x00 "RXIMR47,RX Individual Mask Register 47 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x940++0x03 line.long 0x00 "RXIMR48,RX Individual Mask Register 48 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x944++0x03 line.long 0x00 "RXIMR49,RX Individual Mask Register 49 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x948++0x03 line.long 0x00 "RXIMR50,RX Individual Mask Register 50 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x94C++0x03 line.long 0x00 "RXIMR51,RX Individual Mask Register 51 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x950++0x03 line.long 0x00 "RXIMR52,RX Individual Mask Register 52 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x954++0x03 line.long 0x00 "RXIMR53,RX Individual Mask Register 53 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x958++0x03 line.long 0x00 "RXIMR54,RX Individual Mask Register 54 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x95C++0x03 line.long 0x00 "RXIMR55,RX Individual Mask Register 55 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x960++0x03 line.long 0x00 "RXIMR56,RX Individual Mask Register 56 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x964++0x03 line.long 0x00 "RXIMR57,RX Individual Mask Register 57 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x968++0x03 line.long 0x00 "RXIMR58,RX Individual Mask Register 58 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x96C++0x03 line.long 0x00 "RXIMR59,RX Individual Mask Register 59 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x970++0x03 line.long 0x00 "RXIMR60,RX Individual Mask Register 60 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x974++0x03 line.long 0x00 "RXIMR61,RX Individual Mask Register 61 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x978++0x03 line.long 0x00 "RXIMR62,RX Individual Mask Register 62 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" group.long 0x97C++0x03 line.long 0x00 "RXIMR63,RX Individual Mask Register 63 Register" bitfld.long 0x00 31. " MI[31] ,Individual mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " [30] ,Individual mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " [29] ,Individual mask bit 29" "Not masked,Masked" bitfld.long 0x00 28. " [28] ,Individual mask bit 28" "Not masked,Masked" newline bitfld.long 0x00 27. " [27] ,Individual mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " [26] ,Individual mask bit 26" "Not masked,Masked" bitfld.long 0x00 25. " [25] ,Individual mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " [24] ,Individual mask bit 24" "Not masked,Masked" newline bitfld.long 0x00 23. " [23] ,Individual mask bit 23" "Not masked,Masked" bitfld.long 0x00 22. " [22] ,Individual mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " [21] ,Individual mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " [20] ,Individual mask bit 20" "Not masked,Masked" newline bitfld.long 0x00 19. " [19] ,Individual mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " [18] ,Individual mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " [17] ,Individual mask bit 17" "Not masked,Masked" bitfld.long 0x00 16. " [16] ,Individual mask bit 16" "Not masked,Masked" newline bitfld.long 0x00 15. " [15] ,Individual mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " [14] ,Individual mask bit 14" "Not masked,Masked" bitfld.long 0x00 13. " [13] ,Individual mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " [12] ,Individual mask bit 12" "Not masked,Masked" newline bitfld.long 0x00 11. " [11] ,Individual mask bit 11" "Not masked,Masked" bitfld.long 0x00 10. " [10] ,Individual mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " [9] ,Individual mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " [8] ,Individual mask bit 8" "Not masked,Masked" newline bitfld.long 0x00 7. " [7] ,Individual mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " [6] ,Individual mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " [5] ,Individual mask bit 5" "Not masked,Masked" bitfld.long 0x00 4. " [4] ,Individual mask bit 4" "Not masked,Masked" newline bitfld.long 0x00 3. " [3] ,Individual mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " [2] ,Individual mask bit 2" "Not masked,Masked" bitfld.long 0x00 1. " [1] ,Individual mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " [0] ,Individual mask bit 0" "Not masked,Masked" else hgroup.long 0x880++0x03 hide.long 0x00 "RXIMR0,RX Individual Mask Register 0 Register" hgroup.long 0x884++0x03 hide.long 0x00 "RXIMR1,RX Individual Mask Register 1 Register" hgroup.long 0x888++0x03 hide.long 0x00 "RXIMR2,RX Individual Mask Register 2 Register" hgroup.long 0x88C++0x03 hide.long 0x00 "RXIMR3,RX Individual Mask Register 3 Register" hgroup.long 0x890++0x03 hide.long 0x00 "RXIMR4,RX Individual Mask Register 4 Register" hgroup.long 0x894++0x03 hide.long 0x00 "RXIMR5,RX Individual Mask Register 5 Register" hgroup.long 0x898++0x03 hide.long 0x00 "RXIMR6,RX Individual Mask Register 6 Register" hgroup.long 0x89C++0x03 hide.long 0x00 "RXIMR7,RX Individual Mask Register 7 Register" hgroup.long 0x8A0++0x03 hide.long 0x00 "RXIMR8,RX Individual Mask Register 8 Register" hgroup.long 0x8A4++0x03 hide.long 0x00 "RXIMR9,RX Individual Mask Register 9 Register" hgroup.long 0x8A8++0x03 hide.long 0x00 "RXIMR10,RX Individual Mask Register 10 Register" hgroup.long 0x8AC++0x03 hide.long 0x00 "RXIMR11,RX Individual Mask Register 11 Register" hgroup.long 0x8B0++0x03 hide.long 0x00 "RXIMR12,RX Individual Mask Register 12 Register" hgroup.long 0x8B4++0x03 hide.long 0x00 "RXIMR13,RX Individual Mask Register 13 Register" hgroup.long 0x8B8++0x03 hide.long 0x00 "RXIMR14,RX Individual Mask Register 14 Register" hgroup.long 0x8BC++0x03 hide.long 0x00 "RXIMR15,RX Individual Mask Register 15 Register" hgroup.long 0x8C0++0x03 hide.long 0x00 "RXIMR16,RX Individual Mask Register 16 Register" hgroup.long 0x8C4++0x03 hide.long 0x00 "RXIMR17,RX Individual Mask Register 17 Register" hgroup.long 0x8C8++0x03 hide.long 0x00 "RXIMR18,RX Individual Mask Register 18 Register" hgroup.long 0x8CC++0x03 hide.long 0x00 "RXIMR19,RX Individual Mask Register 19 Register" hgroup.long 0x8D0++0x03 hide.long 0x00 "RXIMR20,RX Individual Mask Register 20 Register" hgroup.long 0x8D4++0x03 hide.long 0x00 "RXIMR21,RX Individual Mask Register 21 Register" hgroup.long 0x8D8++0x03 hide.long 0x00 "RXIMR22,RX Individual Mask Register 22 Register" hgroup.long 0x8DC++0x03 hide.long 0x00 "RXIMR23,RX Individual Mask Register 23 Register" hgroup.long 0x8E0++0x03 hide.long 0x00 "RXIMR24,RX Individual Mask Register 24 Register" hgroup.long 0x8E4++0x03 hide.long 0x00 "RXIMR25,RX Individual Mask Register 25 Register" hgroup.long 0x8E8++0x03 hide.long 0x00 "RXIMR26,RX Individual Mask Register 26 Register" hgroup.long 0x8EC++0x03 hide.long 0x00 "RXIMR27,RX Individual Mask Register 27 Register" hgroup.long 0x8F0++0x03 hide.long 0x00 "RXIMR28,RX Individual Mask Register 28 Register" hgroup.long 0x8F4++0x03 hide.long 0x00 "RXIMR29,RX Individual Mask Register 29 Register" hgroup.long 0x8F8++0x03 hide.long 0x00 "RXIMR30,RX Individual Mask Register 30 Register" hgroup.long 0x8FC++0x03 hide.long 0x00 "RXIMR31,RX Individual Mask Register 31 Register" hgroup.long 0x900++0x03 hide.long 0x00 "RXIMR32,RX Individual Mask Register 32 Register" hgroup.long 0x904++0x03 hide.long 0x00 "RXIMR33,RX Individual Mask Register 33 Register" hgroup.long 0x908++0x03 hide.long 0x00 "RXIMR34,RX Individual Mask Register 34 Register" hgroup.long 0x90C++0x03 hide.long 0x00 "RXIMR35,RX Individual Mask Register 35 Register" hgroup.long 0x910++0x03 hide.long 0x00 "RXIMR36,RX Individual Mask Register 36 Register" hgroup.long 0x914++0x03 hide.long 0x00 "RXIMR37,RX Individual Mask Register 37 Register" hgroup.long 0x918++0x03 hide.long 0x00 "RXIMR38,RX Individual Mask Register 38 Register" hgroup.long 0x91C++0x03 hide.long 0x00 "RXIMR39,RX Individual Mask Register 39 Register" hgroup.long 0x920++0x03 hide.long 0x00 "RXIMR40,RX Individual Mask Register 40 Register" hgroup.long 0x924++0x03 hide.long 0x00 "RXIMR41,RX Individual Mask Register 41 Register" hgroup.long 0x928++0x03 hide.long 0x00 "RXIMR42,RX Individual Mask Register 42 Register" hgroup.long 0x92C++0x03 hide.long 0x00 "RXIMR43,RX Individual Mask Register 43 Register" hgroup.long 0x930++0x03 hide.long 0x00 "RXIMR44,RX Individual Mask Register 44 Register" hgroup.long 0x934++0x03 hide.long 0x00 "RXIMR45,RX Individual Mask Register 45 Register" hgroup.long 0x938++0x03 hide.long 0x00 "RXIMR46,RX Individual Mask Register 46 Register" hgroup.long 0x93C++0x03 hide.long 0x00 "RXIMR47,RX Individual Mask Register 47 Register" hgroup.long 0x940++0x03 hide.long 0x00 "RXIMR48,RX Individual Mask Register 48 Register" hgroup.long 0x944++0x03 hide.long 0x00 "RXIMR49,RX Individual Mask Register 49 Register" hgroup.long 0x948++0x03 hide.long 0x00 "RXIMR50,RX Individual Mask Register 50 Register" hgroup.long 0x94C++0x03 hide.long 0x00 "RXIMR51,RX Individual Mask Register 51 Register" hgroup.long 0x950++0x03 hide.long 0x00 "RXIMR52,RX Individual Mask Register 52 Register" hgroup.long 0x954++0x03 hide.long 0x00 "RXIMR53,RX Individual Mask Register 53 Register" hgroup.long 0x958++0x03 hide.long 0x00 "RXIMR54,RX Individual Mask Register 54 Register" hgroup.long 0x95C++0x03 hide.long 0x00 "RXIMR55,RX Individual Mask Register 55 Register" hgroup.long 0x960++0x03 hide.long 0x00 "RXIMR56,RX Individual Mask Register 56 Register" hgroup.long 0x964++0x03 hide.long 0x00 "RXIMR57,RX Individual Mask Register 57 Register" hgroup.long 0x968++0x03 hide.long 0x00 "RXIMR58,RX Individual Mask Register 58 Register" hgroup.long 0x96C++0x03 hide.long 0x00 "RXIMR59,RX Individual Mask Register 59 Register" hgroup.long 0x970++0x03 hide.long 0x00 "RXIMR60,RX Individual Mask Register 60 Register" hgroup.long 0x974++0x03 hide.long 0x00 "RXIMR61,RX Individual Mask Register 61 Register" hgroup.long 0x978++0x03 hide.long 0x00 "RXIMR62,RX Individual Mask Register 62 Register" hgroup.long 0x97C++0x03 hide.long 0x00 "RXIMR63,RX Individual Mask Register 63 Register" endif tree.end newline if (((per.l(ad:0x02190000+0x34)&0x20000000)==0x20000000)) group.long 0xAE0++0x03 line.long 0x00 "MECR,Memory Error Control Register" bitfld.long 0x00 31. " ECRWRDIS ,Error configuration register write disable" "No,Yes" bitfld.long 0x00 19. " HANCEI_MSK ,Host access with non-correctable errors interrupt enable" "Disabled,Enabled" bitfld.long 0x00 18. " FANCEI_MSK ,FlexCAN access with non-correctable errors interrupt enable" "Disabled,Enabled" bitfld.long 0x00 16. " CEI_MSK ,Correctable errors interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 15. " HAERRIE ,Host access error injection enable" "Disabled,Enabled" bitfld.long 0x00 14. " FAERRIE ,FlexCAN access error injection enable" "Disabled,Enabled" bitfld.long 0x00 13. " EXTERRIE ,Extended error injection enable" "32bit,64bit" bitfld.long 0x00 9. " RERRDIS ,Error report disable" "No,Yes" newline bitfld.long 0x00 8. " ECCDIS ,Error correction disable" "No,Yes" bitfld.long 0x00 7. " NCEFAFRZ ,Non-correctable errors in flexcan access put device in freeze mode" "Normal operation,Freeze mode" else group.long 0xAE0++0x03 line.long 0x00 "MECR,Memory Error Control Register" bitfld.long 0x00 31. " ECRWRDIS ,Error configuration register write disable" "No,Yes" rbitfld.long 0x00 19. " HANCEI_MSK ,Host access with non-correctable errors interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 18. " FANCEI_MSK ,FlexCAN access with non-correctable errors interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 16. " CEI_MSK ,Correctable errors interrupt enable" "Disabled,Enabled" newline rbitfld.long 0x00 15. " HAERRIE ,Host access error injection enable" "Disabled,Enabled" rbitfld.long 0x00 14. " FAERRIE ,FlexCAN access error injection enable" "Disabled,Enabled" rbitfld.long 0x00 13. " EXTERRIE ,Extended error injection enable" "32bit,64bit" rbitfld.long 0x00 9. " RERRDIS ,Error report disable" "No,Yes" newline rbitfld.long 0x00 8. " ECCDIS ,Error correction disable" "No,Yes" rbitfld.long 0x00 7. " NCEFAFRZ ,Non-correctable errors in flexcan access put device in freeze mode" "Normal operation,Freeze mode" endif group.long 0xAE4++0x0B line.long 0x00 "ERRIAR,Error Injection Address Register" hexmask.long.word 0x00 2.--13. 0x04 " INJADDR_H ,Error injection address high" rhexmask.long.byte 0x00 0.--1. 0x01 " INJADDR_L ,Error injection address low" line.long 0x04 "ERRIDPR,Error Injection Data Pattern Register" bitfld.long 0x04 31. " DFLIP[31] ,Data flip pattern 31" "Not inverted,Inverted" bitfld.long 0x04 30. " [30] ,Data flip pattern 30" "Not inverted,Inverted" bitfld.long 0x04 29. " [29] ,Data flip pattern 29" "Not inverted,Inverted" bitfld.long 0x04 28. " [28] ,Data flip pattern 28" "Not inverted,Inverted" newline bitfld.long 0x04 27. " [27] ,Data flip pattern 27" "Not inverted,Inverted" bitfld.long 0x04 26. " [26] ,Data flip pattern 26" "Not inverted,Inverted" bitfld.long 0x04 25. " [25] ,Data flip pattern 25" "Not inverted,Inverted" bitfld.long 0x04 24. " [24] ,Data flip pattern 24" "Not inverted,Inverted" newline bitfld.long 0x04 23. " [23] ,Data flip pattern 23" "Not inverted,Inverted" bitfld.long 0x04 22. " [22] ,Data flip pattern 22" "Not inverted,Inverted" bitfld.long 0x04 21. " [21] ,Data flip pattern 21" "Not inverted,Inverted" bitfld.long 0x04 20. " [20] ,Data flip pattern 20" "Not inverted,Inverted" newline bitfld.long 0x04 19. " [19] ,Data flip pattern 19" "Not inverted,Inverted" bitfld.long 0x04 18. " [18] ,Data flip pattern 18" "Not inverted,Inverted" bitfld.long 0x04 17. " [17] ,Data flip pattern 17" "Not inverted,Inverted" bitfld.long 0x04 16. " [16] ,Data flip pattern 16" "Not inverted,Inverted" newline bitfld.long 0x04 15. " [15] ,Data flip pattern 15" "Not inverted,Inverted" bitfld.long 0x04 14. " [14] ,Data flip pattern 14" "Not inverted,Inverted" bitfld.long 0x04 13. " [13] ,Data flip pattern 13" "Not inverted,Inverted" bitfld.long 0x04 12. " [12] ,Data flip pattern 12" "Not inverted,Inverted" newline bitfld.long 0x04 11. " [11] ,Data flip pattern 11" "Not inverted,Inverted" bitfld.long 0x04 10. " [10] ,Data flip pattern 10" "Not inverted,Inverted" bitfld.long 0x04 9. " [9] ,Data flip pattern 9" "Not inverted,Inverted" bitfld.long 0x04 8. " [8] ,Data flip pattern 8" "Not inverted,Inverted" newline bitfld.long 0x04 7. " [7] ,Data flip pattern 7" "Not inverted,Inverted" bitfld.long 0x04 6. " [6] ,Data flip pattern 6" "Not inverted,Inverted" bitfld.long 0x04 5. " [5] ,Data flip pattern 5" "Not inverted,Inverted" bitfld.long 0x04 4. " [4] ,Data flip pattern 4" "Not inverted,Inverted" newline bitfld.long 0x04 3. " [3] ,Data flip pattern 3" "Not inverted,Inverted" bitfld.long 0x04 2. " [2] ,Data flip pattern 2" "Not inverted,Inverted" bitfld.long 0x04 1. " [1] ,Data flip pattern 1" "Not inverted,Inverted" bitfld.long 0x04 0. " [0] ,Data flip pattern 0" "Not inverted,Inverted" line.long 0x08 "ERRIPPR,Error Injection Parity Pattern Register" bitfld.long 0x08 28. " PFLIP3[4] ,Parity flip pattern for byte 3 [4]" "Not inverted,Inverted" bitfld.long 0x08 27. " [3] ,Parity flip pattern for byte 3 [3]" "Not inverted,Inverted" bitfld.long 0x08 26. " [2] ,Parity flip pattern for byte 3 [2]" "Not inverted,Inverted" bitfld.long 0x08 25. " [1] ,Parity flip pattern for byte 3 [1]" "Not inverted,Inverted" newline bitfld.long 0x08 24. " [0] ,Parity flip pattern for byte 3 [0]" "Not inverted,Inverted" bitfld.long 0x08 20. " PFLIP2[4] ,Parity flip pattern for byte 2 [4]" "Not inverted,Inverted" bitfld.long 0x08 19. " [3] ,Parity flip pattern for byte 2 [3]" "Not inverted,Inverted" bitfld.long 0x08 18. " [2] ,Parity flip pattern for byte 2 [2]" "Not inverted,Inverted" newline bitfld.long 0x08 17. " [1] ,Parity flip pattern for byte 2 [1]" "Not inverted,Inverted" bitfld.long 0x08 16. " [0] ,Parity flip pattern for byte 2 [0]" "Not inverted,Inverted" bitfld.long 0x08 12. " PFLIP1[4] ,Parity flip pattern for byte 1 [4]" "Not inverted,Inverted" bitfld.long 0x08 11. " [3] ,Parity flip pattern for byte 1 [3]" "Not inverted,Inverted" newline bitfld.long 0x08 10. " [2] ,Parity flip pattern for byte 1 [2]" "Not inverted,Inverted" bitfld.long 0x08 9. " [1] ,Parity flip pattern for byte 1 [1]" "Not inverted,Inverted" bitfld.long 0x08 8. " [0] ,Parity flip pattern for byte 1 [0]" "Not inverted,Inverted" bitfld.long 0x08 4. " PFLIP0[4] ,Parity flip pattern for byte 0 [4]" "Not inverted,Inverted" newline bitfld.long 0x08 3. " [3] ,Parity flip pattern for byte 0 [3]" "Not inverted,Inverted" bitfld.long 0x08 2. " [2] ,Parity flip pattern for byte 0 [2]" "Not inverted,Inverted" bitfld.long 0x08 1. " [1] ,Parity flip pattern for byte 0 [1]" "Not inverted,Inverted" bitfld.long 0x08 0. " [0] ,Parity flip pattern for byte 0 [0]" "Not inverted,Inverted" rgroup.long 0xAF0++0x0B line.long 0x00 "RERRAR,Error Report Address Register" bitfld.long 0x00 24. " NCE ,Non-correctable error" "No error,Error" bitfld.long 0x00 18. " SAID[2] ,Identification of the requester of the memory read request" "FlexCAN,CPU" bitfld.long 0x00 17. " [1] ,Details of flexcan operation" "Move,Scanning" bitfld.long 0x00 16. " [0] ,Operation that requested the memory read" "Transmission,Reception" newline hexmask.long.word 0x00 0.--13. 0x01 " ERRADDR ,Address where error detected" line.long 0x04 "RERRDR,Error Report Data Register" line.long 0x08 "RERRSYNR,Error Report Syndrome Register" bitfld.long 0x08 31. " BE3 ,Byte enabled for byte 3" "Not read,Read" bitfld.long 0x08 24.--28. " SYND3 ,Byte enabled for byte 3" "No error,0,1,Non-correctable error,2,Non-correctable error,All-zeros,5,3,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,7,Non-correctable error,4,Non-correctable error,Non-correctable error,2,Non-correctable error,6,Non-correctable error,Non-correctable error,Non-correctable error,3,4,Non-correctable error,0,Non-correctable error,Non-correctable error,All-ones" bitfld.long 0x08 23. " BE2 ,Byte enabled for byte 2" "Not read,Read" bitfld.long 0x08 16.--20. " SYND2 ,Byte enabled for byte 2" "No error,0,1,Non-correctable error,2,Non-correctable error,All-zeros,5,3,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,7,Non-correctable error,4,Non-correctable error,Non-correctable error,2,Non-correctable error,6,Non-correctable error,Non-correctable error,Non-correctable error,3,4,Non-correctable error,0,Non-correctable error,Non-correctable error,All-ones" newline bitfld.long 0x08 15. " BE1 ,Byte enabled for byte 1" "Not read,Read" bitfld.long 0x08 8.--12. " SYND1 ,Byte enabled for byte 1" "No error,0,1,Non-correctable error,2,Non-correctable error,All-zeros,5,3,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,7,Non-correctable error,4,Non-correctable error,Non-correctable error,2,Non-correctable error,6,Non-correctable error,Non-correctable error,Non-correctable error,3,4,Non-correctable error,0,Non-correctable error,Non-correctable error,All-ones" bitfld.long 0x08 7. " BE0 ,Byte enabled for byte 0" "Not read,Read" bitfld.long 0x08 0.--4. " SYND0 ,Byte enabled for byte 0" "No error,0,1,Non-correctable error,2,Non-correctable error,All-zeros,5,3,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,Non-correctable error,7,Non-correctable error,4,Non-correctable error,Non-correctable error,2,Non-correctable error,6,Non-correctable error,Non-correctable error,Non-correctable error,3,4,Non-correctable error,0,Non-correctable error,Non-correctable error,All-ones" group.long 0xAFC++0x03 line.long 0x00 "ERRSR,Error Status Register" eventfld.long 0x00 19. " HANCEIF ,Host access with non-correctable error interrupt flag" "Not detected,Detected" eventfld.long 0x00 18. " FANCEIF ,FlexCAN access with non-correctable error interrupt flag" "Not detected,Detected" eventfld.long 0x00 16. " CEIF ,Correctable error interrupt flag" "Not detected,Detected" eventfld.long 0x00 3. " HANCEIOF ,Host access with non-correctable error interrupt overrun flag" "No overrun,Overrun" newline eventfld.long 0x00 2. " FANCEIOF ,FlexCAN access with non-correctable error interrupt overrun flag" "No overrun,Overrun" eventfld.long 0x00 0. " CEIOF ,Correctable error interrupt overrun flag" "No overrun,Overrun" if (((per.l(ad:0x02190000)&0x1000000)==0x1000000)) group.long 0xC00++0x03 line.long 0x00 "FDCTRL,CAN FD Control Register" bitfld.long 0x00 31. " FDRATE ,Bit rate switch enable" "Normal,Bit rate switching" bitfld.long 0x00 19.--20. " MBDSR1 ,Message buffer data size for region 1" "8,16,32,64" bitfld.long 0x00 16.--17. " MBDSR0 ,Message buffer data size for region 0" "8,16,32,64" bitfld.long 0x00 15. " TDCEN ,Transceiver delay compensation enable" "Disabled,Enabled" newline eventfld.long 0x00 14. " TDCFAIL ,Transceiver delay compensation fail" "In range,Out of range" bitfld.long 0x00 8.--12. " TDCOFF ,Transceiver delay compensation offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--5. " TDCVAL ,Transceiver delay compensation value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long 0xC00++0x03 line.long 0x00 "FDCTRL,CAN FD Control Register" bitfld.long 0x00 31. " FDRATE ,Bit rate switch enable" "Normal,Bit rate switching" rbitfld.long 0x00 19.--20. " MBDSR1 ,Message buffer data size for region 1" "8,16,32,64" rbitfld.long 0x00 16.--17. " MBDSR0 ,Message buffer data size for region 0" "8,16,32,64" rbitfld.long 0x00 15. " TDCEN ,Transceiver delay compensation enable" "Disabled,Enabled" newline eventfld.long 0x00 14. " TDCFAIL ,Transceiver delay compensation fail" "In range,Out of range" bitfld.long 0x00 8.--12. " TDCOFF ,Transceiver delay compensation offset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--5. " TDCVAL ,Transceiver delay compensation value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0xC04++0x03 line.long 0x00 "FDCBT,CAN FD Bit Timing Register" hexmask.long.word 0x00 20.--29. 1. " FPRESDIV ,Fast prescaler division factor" bitfld.long 0x00 16.--17. " FRJW ,Fast resync jump width" "0,1,2,3" bitfld.long 0x00 10.--14. " FPROPSEG ,Fast propagation segment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. " FPSEG1 ,Fast phase segment 1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. " FPSEG2 ,Fast phase segment 2" "0,1,2,3,4,5,6,7" else rgroup.long 0xC04++0x03 line.long 0x00 "FDCBT,CAN FD Bit Timing Register" hexmask.long.word 0x00 20.--29. 1. " FPRESDIV ,Fast prescaler division factor" bitfld.long 0x00 16.--17. " FRJW ,Fast resync jump width" "0,1,2,3" bitfld.long 0x00 10.--14. " FPROPSEG ,Fast propagation segment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. " FPSEG1 ,Fast phase segment 1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. " FPSEG2 ,Fast phase segment 2" "0,1,2,3,4,5,6,7" endif rgroup.long 0xC08++0x03 line.long 0x00 "FDCRC,CAN FD CRC Register" hexmask.long.byte 0x00 24.--30. 1. " FD_MBCRC ,CRC mailbox number for FD_TXCRC" hexmask.long.tbyte 0x00 0.--20. 1. " FD_TXCRC ,Extended transmitted CRC value" sif !cpuis("LX2160A*") if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0xC0C++0x03 line.long 0x00 "ERFCR,Enhanced RX FIFO Control Register" bitfld.long 0x00 31. " ERFEN ,Enhanced RX FIFO enable" "Disabled,Enabled" hexmask.long.byte 0x00 16.--22. 1. " NEXIF ,Number of extended id filter elements" bitfld.long 0x00 8.--13. " NFE ,Number of enhanced RX FIFO filter elements" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--4. " ERFWM ,Enhanced RX FIFO watermark" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" else rgroup.long 0xC0C++0x03 line.long 0x00 "ERFCR,Enhanced RX FIFO Control Register" bitfld.long 0x00 31. " ERFEN ,Enhanced RX FIFO enable" "Disabled,Enabled" hexmask.long.byte 0x00 16.--22. 1. " NEXIF ,Number of extended id filter elements" bitfld.long 0x00 8.--13. " NFE ,Number of enhanced RX FIFO filter elements" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--4. " ERFWM ,Enhanced RX FIFO watermark" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" endif group.long 0xC10++0x07 line.long 0x00 "ERFIER,Enhanced RX FIFO Interrupt Enable Register" bitfld.long 0x00 31. " ERFUFWIE ,Enhanced RX FIFO underflow interrupt enable" "Disabled,Enabled" bitfld.long 0x00 30. " ERFOVFIE ,Enhanced RX FIFO overflow interrupt enable" "Disabled,Enabled" bitfld.long 0x00 29. " ERFWMIIE ,Enhanced RX FIFO watermark indication interrupt enable" "Disabled,Enabled" bitfld.long 0x00 28. " ERFDAIE ,Enhanced RX FIFO data available interrupt enable" "Disabled,Enabled" line.long 0x04 "ERFSR,Enhanced RX FIFO Status Register" eventfld.long 0x04 31. " ERFUFW ,Enhanced RX FIFO underflow" "Not occurred,Occurred" eventfld.long 0x04 30. " ERFOVF ,Enhanced RX FIFO overflow" "Not occurred,Occurred" eventfld.long 0x04 29. " ERFWMI ,Enhanced RX FIFO watermark indication" "Not occurred,Occurred" eventfld.long 0x04 28. " ERFDA ,Enhanced RX FIFO data available" "Not occurred,Occurred" newline bitfld.long 0x04 27. " ERFCLR ,Enhanced RX FIFO clear" "No effect,Clear" rbitfld.long 0x04 17. " ERFE ,Enhanced RX FIFO empty" "Not empty,Empty" rbitfld.long 0x04 16. " ERFF ,Enhanced RX FIFO full" "Not full,Full" rbitfld.long 0x04 0.--5. " ERFEL ,Enhanced RX FIFO elements" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" tree.open "Enhanced RX FIFO Filter Element Registers" if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3000++0x03 line.long 0x00 "ERFFEL0,Enhanced RX FIFO Filter Element 0" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3000++0x03 line.long 0x00 "ERFFEL0,Enhanced RX FIFO Filter Element 0" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3004++0x03 line.long 0x00 "ERFFEL1,Enhanced RX FIFO Filter Element 1" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3004++0x03 line.long 0x00 "ERFFEL1,Enhanced RX FIFO Filter Element 1" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3008++0x03 line.long 0x00 "ERFFEL2,Enhanced RX FIFO Filter Element 2" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3008++0x03 line.long 0x00 "ERFFEL2,Enhanced RX FIFO Filter Element 2" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x300C++0x03 line.long 0x00 "ERFFEL3,Enhanced RX FIFO Filter Element 3" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x300C++0x03 line.long 0x00 "ERFFEL3,Enhanced RX FIFO Filter Element 3" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3010++0x03 line.long 0x00 "ERFFEL4,Enhanced RX FIFO Filter Element 4" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3010++0x03 line.long 0x00 "ERFFEL4,Enhanced RX FIFO Filter Element 4" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3014++0x03 line.long 0x00 "ERFFEL5,Enhanced RX FIFO Filter Element 5" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3014++0x03 line.long 0x00 "ERFFEL5,Enhanced RX FIFO Filter Element 5" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3018++0x03 line.long 0x00 "ERFFEL6,Enhanced RX FIFO Filter Element 6" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3018++0x03 line.long 0x00 "ERFFEL6,Enhanced RX FIFO Filter Element 6" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x301C++0x03 line.long 0x00 "ERFFEL7,Enhanced RX FIFO Filter Element 7" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x301C++0x03 line.long 0x00 "ERFFEL7,Enhanced RX FIFO Filter Element 7" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3020++0x03 line.long 0x00 "ERFFEL8,Enhanced RX FIFO Filter Element 8" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3020++0x03 line.long 0x00 "ERFFEL8,Enhanced RX FIFO Filter Element 8" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3024++0x03 line.long 0x00 "ERFFEL9,Enhanced RX FIFO Filter Element 9" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3024++0x03 line.long 0x00 "ERFFEL9,Enhanced RX FIFO Filter Element 9" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3028++0x03 line.long 0x00 "ERFFEL10,Enhanced RX FIFO Filter Element 10" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3028++0x03 line.long 0x00 "ERFFEL10,Enhanced RX FIFO Filter Element 10" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x302C++0x03 line.long 0x00 "ERFFEL11,Enhanced RX FIFO Filter Element 11" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x302C++0x03 line.long 0x00 "ERFFEL11,Enhanced RX FIFO Filter Element 11" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3030++0x03 line.long 0x00 "ERFFEL12,Enhanced RX FIFO Filter Element 12" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3030++0x03 line.long 0x00 "ERFFEL12,Enhanced RX FIFO Filter Element 12" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3034++0x03 line.long 0x00 "ERFFEL13,Enhanced RX FIFO Filter Element 13" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3034++0x03 line.long 0x00 "ERFFEL13,Enhanced RX FIFO Filter Element 13" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3038++0x03 line.long 0x00 "ERFFEL14,Enhanced RX FIFO Filter Element 14" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3038++0x03 line.long 0x00 "ERFFEL14,Enhanced RX FIFO Filter Element 14" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x303C++0x03 line.long 0x00 "ERFFEL15,Enhanced RX FIFO Filter Element 15" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x303C++0x03 line.long 0x00 "ERFFEL15,Enhanced RX FIFO Filter Element 15" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3040++0x03 line.long 0x00 "ERFFEL16,Enhanced RX FIFO Filter Element 16" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3040++0x03 line.long 0x00 "ERFFEL16,Enhanced RX FIFO Filter Element 16" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3044++0x03 line.long 0x00 "ERFFEL17,Enhanced RX FIFO Filter Element 17" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3044++0x03 line.long 0x00 "ERFFEL17,Enhanced RX FIFO Filter Element 17" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3048++0x03 line.long 0x00 "ERFFEL18,Enhanced RX FIFO Filter Element 18" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3048++0x03 line.long 0x00 "ERFFEL18,Enhanced RX FIFO Filter Element 18" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x304C++0x03 line.long 0x00 "ERFFEL19,Enhanced RX FIFO Filter Element 19" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x304C++0x03 line.long 0x00 "ERFFEL19,Enhanced RX FIFO Filter Element 19" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3050++0x03 line.long 0x00 "ERFFEL20,Enhanced RX FIFO Filter Element 20" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3050++0x03 line.long 0x00 "ERFFEL20,Enhanced RX FIFO Filter Element 20" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3054++0x03 line.long 0x00 "ERFFEL21,Enhanced RX FIFO Filter Element 21" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3054++0x03 line.long 0x00 "ERFFEL21,Enhanced RX FIFO Filter Element 21" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3058++0x03 line.long 0x00 "ERFFEL22,Enhanced RX FIFO Filter Element 22" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3058++0x03 line.long 0x00 "ERFFEL22,Enhanced RX FIFO Filter Element 22" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x305C++0x03 line.long 0x00 "ERFFEL23,Enhanced RX FIFO Filter Element 23" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x305C++0x03 line.long 0x00 "ERFFEL23,Enhanced RX FIFO Filter Element 23" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3060++0x03 line.long 0x00 "ERFFEL24,Enhanced RX FIFO Filter Element 24" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3060++0x03 line.long 0x00 "ERFFEL24,Enhanced RX FIFO Filter Element 24" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3064++0x03 line.long 0x00 "ERFFEL25,Enhanced RX FIFO Filter Element 25" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3064++0x03 line.long 0x00 "ERFFEL25,Enhanced RX FIFO Filter Element 25" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3068++0x03 line.long 0x00 "ERFFEL26,Enhanced RX FIFO Filter Element 26" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3068++0x03 line.long 0x00 "ERFFEL26,Enhanced RX FIFO Filter Element 26" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x306C++0x03 line.long 0x00 "ERFFEL27,Enhanced RX FIFO Filter Element 27" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x306C++0x03 line.long 0x00 "ERFFEL27,Enhanced RX FIFO Filter Element 27" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3070++0x03 line.long 0x00 "ERFFEL28,Enhanced RX FIFO Filter Element 28" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3070++0x03 line.long 0x00 "ERFFEL28,Enhanced RX FIFO Filter Element 28" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3074++0x03 line.long 0x00 "ERFFEL29,Enhanced RX FIFO Filter Element 29" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3074++0x03 line.long 0x00 "ERFFEL29,Enhanced RX FIFO Filter Element 29" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3078++0x03 line.long 0x00 "ERFFEL30,Enhanced RX FIFO Filter Element 30" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3078++0x03 line.long 0x00 "ERFFEL30,Enhanced RX FIFO Filter Element 30" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x307C++0x03 line.long 0x00 "ERFFEL31,Enhanced RX FIFO Filter Element 31" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x307C++0x03 line.long 0x00 "ERFFEL31,Enhanced RX FIFO Filter Element 31" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3080++0x03 line.long 0x00 "ERFFEL32,Enhanced RX FIFO Filter Element 32" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3080++0x03 line.long 0x00 "ERFFEL32,Enhanced RX FIFO Filter Element 32" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3084++0x03 line.long 0x00 "ERFFEL33,Enhanced RX FIFO Filter Element 33" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3084++0x03 line.long 0x00 "ERFFEL33,Enhanced RX FIFO Filter Element 33" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3088++0x03 line.long 0x00 "ERFFEL34,Enhanced RX FIFO Filter Element 34" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3088++0x03 line.long 0x00 "ERFFEL34,Enhanced RX FIFO Filter Element 34" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x308C++0x03 line.long 0x00 "ERFFEL35,Enhanced RX FIFO Filter Element 35" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x308C++0x03 line.long 0x00 "ERFFEL35,Enhanced RX FIFO Filter Element 35" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3090++0x03 line.long 0x00 "ERFFEL36,Enhanced RX FIFO Filter Element 36" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3090++0x03 line.long 0x00 "ERFFEL36,Enhanced RX FIFO Filter Element 36" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3094++0x03 line.long 0x00 "ERFFEL37,Enhanced RX FIFO Filter Element 37" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3094++0x03 line.long 0x00 "ERFFEL37,Enhanced RX FIFO Filter Element 37" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3098++0x03 line.long 0x00 "ERFFEL38,Enhanced RX FIFO Filter Element 38" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3098++0x03 line.long 0x00 "ERFFEL38,Enhanced RX FIFO Filter Element 38" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x309C++0x03 line.long 0x00 "ERFFEL39,Enhanced RX FIFO Filter Element 39" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x309C++0x03 line.long 0x00 "ERFFEL39,Enhanced RX FIFO Filter Element 39" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30A0++0x03 line.long 0x00 "ERFFEL40,Enhanced RX FIFO Filter Element 40" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30A0++0x03 line.long 0x00 "ERFFEL40,Enhanced RX FIFO Filter Element 40" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30A4++0x03 line.long 0x00 "ERFFEL41,Enhanced RX FIFO Filter Element 41" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30A4++0x03 line.long 0x00 "ERFFEL41,Enhanced RX FIFO Filter Element 41" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30A8++0x03 line.long 0x00 "ERFFEL42,Enhanced RX FIFO Filter Element 42" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30A8++0x03 line.long 0x00 "ERFFEL42,Enhanced RX FIFO Filter Element 42" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30AC++0x03 line.long 0x00 "ERFFEL43,Enhanced RX FIFO Filter Element 43" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30AC++0x03 line.long 0x00 "ERFFEL43,Enhanced RX FIFO Filter Element 43" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30B0++0x03 line.long 0x00 "ERFFEL44,Enhanced RX FIFO Filter Element 44" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30B0++0x03 line.long 0x00 "ERFFEL44,Enhanced RX FIFO Filter Element 44" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30B4++0x03 line.long 0x00 "ERFFEL45,Enhanced RX FIFO Filter Element 45" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30B4++0x03 line.long 0x00 "ERFFEL45,Enhanced RX FIFO Filter Element 45" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30B8++0x03 line.long 0x00 "ERFFEL46,Enhanced RX FIFO Filter Element 46" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30B8++0x03 line.long 0x00 "ERFFEL46,Enhanced RX FIFO Filter Element 46" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30BC++0x03 line.long 0x00 "ERFFEL47,Enhanced RX FIFO Filter Element 47" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30BC++0x03 line.long 0x00 "ERFFEL47,Enhanced RX FIFO Filter Element 47" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30C0++0x03 line.long 0x00 "ERFFEL48,Enhanced RX FIFO Filter Element 48" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30C0++0x03 line.long 0x00 "ERFFEL48,Enhanced RX FIFO Filter Element 48" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30C4++0x03 line.long 0x00 "ERFFEL49,Enhanced RX FIFO Filter Element 49" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30C4++0x03 line.long 0x00 "ERFFEL49,Enhanced RX FIFO Filter Element 49" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30C8++0x03 line.long 0x00 "ERFFEL50,Enhanced RX FIFO Filter Element 50" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30C8++0x03 line.long 0x00 "ERFFEL50,Enhanced RX FIFO Filter Element 50" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30CC++0x03 line.long 0x00 "ERFFEL51,Enhanced RX FIFO Filter Element 51" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30CC++0x03 line.long 0x00 "ERFFEL51,Enhanced RX FIFO Filter Element 51" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30D0++0x03 line.long 0x00 "ERFFEL52,Enhanced RX FIFO Filter Element 52" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30D0++0x03 line.long 0x00 "ERFFEL52,Enhanced RX FIFO Filter Element 52" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30D4++0x03 line.long 0x00 "ERFFEL53,Enhanced RX FIFO Filter Element 53" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30D4++0x03 line.long 0x00 "ERFFEL53,Enhanced RX FIFO Filter Element 53" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30D8++0x03 line.long 0x00 "ERFFEL54,Enhanced RX FIFO Filter Element 54" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30D8++0x03 line.long 0x00 "ERFFEL54,Enhanced RX FIFO Filter Element 54" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30DC++0x03 line.long 0x00 "ERFFEL55,Enhanced RX FIFO Filter Element 55" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30DC++0x03 line.long 0x00 "ERFFEL55,Enhanced RX FIFO Filter Element 55" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30E0++0x03 line.long 0x00 "ERFFEL56,Enhanced RX FIFO Filter Element 56" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30E0++0x03 line.long 0x00 "ERFFEL56,Enhanced RX FIFO Filter Element 56" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30E4++0x03 line.long 0x00 "ERFFEL57,Enhanced RX FIFO Filter Element 57" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30E4++0x03 line.long 0x00 "ERFFEL57,Enhanced RX FIFO Filter Element 57" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30E8++0x03 line.long 0x00 "ERFFEL58,Enhanced RX FIFO Filter Element 58" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30E8++0x03 line.long 0x00 "ERFFEL58,Enhanced RX FIFO Filter Element 58" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30EC++0x03 line.long 0x00 "ERFFEL59,Enhanced RX FIFO Filter Element 59" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30EC++0x03 line.long 0x00 "ERFFEL59,Enhanced RX FIFO Filter Element 59" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30F0++0x03 line.long 0x00 "ERFFEL60,Enhanced RX FIFO Filter Element 60" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30F0++0x03 line.long 0x00 "ERFFEL60,Enhanced RX FIFO Filter Element 60" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30F4++0x03 line.long 0x00 "ERFFEL61,Enhanced RX FIFO Filter Element 61" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30F4++0x03 line.long 0x00 "ERFFEL61,Enhanced RX FIFO Filter Element 61" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30F8++0x03 line.long 0x00 "ERFFEL62,Enhanced RX FIFO Filter Element 62" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30F8++0x03 line.long 0x00 "ERFFEL62,Enhanced RX FIFO Filter Element 62" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x30FC++0x03 line.long 0x00 "ERFFEL63,Enhanced RX FIFO Filter Element 63" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x30FC++0x03 line.long 0x00 "ERFFEL63,Enhanced RX FIFO Filter Element 63" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3100++0x03 line.long 0x00 "ERFFEL64,Enhanced RX FIFO Filter Element 64" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3100++0x03 line.long 0x00 "ERFFEL64,Enhanced RX FIFO Filter Element 64" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3104++0x03 line.long 0x00 "ERFFEL65,Enhanced RX FIFO Filter Element 65" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3104++0x03 line.long 0x00 "ERFFEL65,Enhanced RX FIFO Filter Element 65" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3108++0x03 line.long 0x00 "ERFFEL66,Enhanced RX FIFO Filter Element 66" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3108++0x03 line.long 0x00 "ERFFEL66,Enhanced RX FIFO Filter Element 66" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x310C++0x03 line.long 0x00 "ERFFEL67,Enhanced RX FIFO Filter Element 67" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x310C++0x03 line.long 0x00 "ERFFEL67,Enhanced RX FIFO Filter Element 67" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3110++0x03 line.long 0x00 "ERFFEL68,Enhanced RX FIFO Filter Element 68" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3110++0x03 line.long 0x00 "ERFFEL68,Enhanced RX FIFO Filter Element 68" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3114++0x03 line.long 0x00 "ERFFEL69,Enhanced RX FIFO Filter Element 69" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3114++0x03 line.long 0x00 "ERFFEL69,Enhanced RX FIFO Filter Element 69" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3118++0x03 line.long 0x00 "ERFFEL70,Enhanced RX FIFO Filter Element 70" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3118++0x03 line.long 0x00 "ERFFEL70,Enhanced RX FIFO Filter Element 70" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x311C++0x03 line.long 0x00 "ERFFEL71,Enhanced RX FIFO Filter Element 71" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x311C++0x03 line.long 0x00 "ERFFEL71,Enhanced RX FIFO Filter Element 71" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3120++0x03 line.long 0x00 "ERFFEL72,Enhanced RX FIFO Filter Element 72" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3120++0x03 line.long 0x00 "ERFFEL72,Enhanced RX FIFO Filter Element 72" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3124++0x03 line.long 0x00 "ERFFEL73,Enhanced RX FIFO Filter Element 73" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3124++0x03 line.long 0x00 "ERFFEL73,Enhanced RX FIFO Filter Element 73" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3128++0x03 line.long 0x00 "ERFFEL74,Enhanced RX FIFO Filter Element 74" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3128++0x03 line.long 0x00 "ERFFEL74,Enhanced RX FIFO Filter Element 74" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x312C++0x03 line.long 0x00 "ERFFEL75,Enhanced RX FIFO Filter Element 75" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x312C++0x03 line.long 0x00 "ERFFEL75,Enhanced RX FIFO Filter Element 75" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3130++0x03 line.long 0x00 "ERFFEL76,Enhanced RX FIFO Filter Element 76" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3130++0x03 line.long 0x00 "ERFFEL76,Enhanced RX FIFO Filter Element 76" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3134++0x03 line.long 0x00 "ERFFEL77,Enhanced RX FIFO Filter Element 77" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3134++0x03 line.long 0x00 "ERFFEL77,Enhanced RX FIFO Filter Element 77" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3138++0x03 line.long 0x00 "ERFFEL78,Enhanced RX FIFO Filter Element 78" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3138++0x03 line.long 0x00 "ERFFEL78,Enhanced RX FIFO Filter Element 78" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x313C++0x03 line.long 0x00 "ERFFEL79,Enhanced RX FIFO Filter Element 79" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x313C++0x03 line.long 0x00 "ERFFEL79,Enhanced RX FIFO Filter Element 79" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3140++0x03 line.long 0x00 "ERFFEL80,Enhanced RX FIFO Filter Element 80" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3140++0x03 line.long 0x00 "ERFFEL80,Enhanced RX FIFO Filter Element 80" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3144++0x03 line.long 0x00 "ERFFEL81,Enhanced RX FIFO Filter Element 81" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3144++0x03 line.long 0x00 "ERFFEL81,Enhanced RX FIFO Filter Element 81" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3148++0x03 line.long 0x00 "ERFFEL82,Enhanced RX FIFO Filter Element 82" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3148++0x03 line.long 0x00 "ERFFEL82,Enhanced RX FIFO Filter Element 82" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x314C++0x03 line.long 0x00 "ERFFEL83,Enhanced RX FIFO Filter Element 83" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x314C++0x03 line.long 0x00 "ERFFEL83,Enhanced RX FIFO Filter Element 83" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3150++0x03 line.long 0x00 "ERFFEL84,Enhanced RX FIFO Filter Element 84" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3150++0x03 line.long 0x00 "ERFFEL84,Enhanced RX FIFO Filter Element 84" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3154++0x03 line.long 0x00 "ERFFEL85,Enhanced RX FIFO Filter Element 85" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3154++0x03 line.long 0x00 "ERFFEL85,Enhanced RX FIFO Filter Element 85" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3158++0x03 line.long 0x00 "ERFFEL86,Enhanced RX FIFO Filter Element 86" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3158++0x03 line.long 0x00 "ERFFEL86,Enhanced RX FIFO Filter Element 86" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x315C++0x03 line.long 0x00 "ERFFEL87,Enhanced RX FIFO Filter Element 87" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x315C++0x03 line.long 0x00 "ERFFEL87,Enhanced RX FIFO Filter Element 87" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3160++0x03 line.long 0x00 "ERFFEL88,Enhanced RX FIFO Filter Element 88" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3160++0x03 line.long 0x00 "ERFFEL88,Enhanced RX FIFO Filter Element 88" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3164++0x03 line.long 0x00 "ERFFEL89,Enhanced RX FIFO Filter Element 89" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3164++0x03 line.long 0x00 "ERFFEL89,Enhanced RX FIFO Filter Element 89" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3168++0x03 line.long 0x00 "ERFFEL90,Enhanced RX FIFO Filter Element 90" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3168++0x03 line.long 0x00 "ERFFEL90,Enhanced RX FIFO Filter Element 90" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x316C++0x03 line.long 0x00 "ERFFEL91,Enhanced RX FIFO Filter Element 91" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x316C++0x03 line.long 0x00 "ERFFEL91,Enhanced RX FIFO Filter Element 91" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3170++0x03 line.long 0x00 "ERFFEL92,Enhanced RX FIFO Filter Element 92" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3170++0x03 line.long 0x00 "ERFFEL92,Enhanced RX FIFO Filter Element 92" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3174++0x03 line.long 0x00 "ERFFEL93,Enhanced RX FIFO Filter Element 93" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3174++0x03 line.long 0x00 "ERFFEL93,Enhanced RX FIFO Filter Element 93" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3178++0x03 line.long 0x00 "ERFFEL94,Enhanced RX FIFO Filter Element 94" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3178++0x03 line.long 0x00 "ERFFEL94,Enhanced RX FIFO Filter Element 94" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x317C++0x03 line.long 0x00 "ERFFEL95,Enhanced RX FIFO Filter Element 95" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x317C++0x03 line.long 0x00 "ERFFEL95,Enhanced RX FIFO Filter Element 95" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3180++0x03 line.long 0x00 "ERFFEL96,Enhanced RX FIFO Filter Element 96" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3180++0x03 line.long 0x00 "ERFFEL96,Enhanced RX FIFO Filter Element 96" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3184++0x03 line.long 0x00 "ERFFEL97,Enhanced RX FIFO Filter Element 97" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3184++0x03 line.long 0x00 "ERFFEL97,Enhanced RX FIFO Filter Element 97" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3188++0x03 line.long 0x00 "ERFFEL98,Enhanced RX FIFO Filter Element 98" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3188++0x03 line.long 0x00 "ERFFEL98,Enhanced RX FIFO Filter Element 98" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x318C++0x03 line.long 0x00 "ERFFEL99,Enhanced RX FIFO Filter Element 99" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x318C++0x03 line.long 0x00 "ERFFEL99,Enhanced RX FIFO Filter Element 99" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3190++0x03 line.long 0x00 "ERFFEL100,Enhanced RX FIFO Filter Element 100" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3190++0x03 line.long 0x00 "ERFFEL100,Enhanced RX FIFO Filter Element 100" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3194++0x03 line.long 0x00 "ERFFEL101,Enhanced RX FIFO Filter Element 101" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3194++0x03 line.long 0x00 "ERFFEL101,Enhanced RX FIFO Filter Element 101" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x3198++0x03 line.long 0x00 "ERFFEL102,Enhanced RX FIFO Filter Element 102" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x3198++0x03 line.long 0x00 "ERFFEL102,Enhanced RX FIFO Filter Element 102" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x319C++0x03 line.long 0x00 "ERFFEL103,Enhanced RX FIFO Filter Element 103" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x319C++0x03 line.long 0x00 "ERFFEL103,Enhanced RX FIFO Filter Element 103" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31A0++0x03 line.long 0x00 "ERFFEL104,Enhanced RX FIFO Filter Element 104" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31A0++0x03 line.long 0x00 "ERFFEL104,Enhanced RX FIFO Filter Element 104" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31A4++0x03 line.long 0x00 "ERFFEL105,Enhanced RX FIFO Filter Element 105" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31A4++0x03 line.long 0x00 "ERFFEL105,Enhanced RX FIFO Filter Element 105" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31A8++0x03 line.long 0x00 "ERFFEL106,Enhanced RX FIFO Filter Element 106" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31A8++0x03 line.long 0x00 "ERFFEL106,Enhanced RX FIFO Filter Element 106" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31AC++0x03 line.long 0x00 "ERFFEL107,Enhanced RX FIFO Filter Element 107" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31AC++0x03 line.long 0x00 "ERFFEL107,Enhanced RX FIFO Filter Element 107" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31B0++0x03 line.long 0x00 "ERFFEL108,Enhanced RX FIFO Filter Element 108" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31B0++0x03 line.long 0x00 "ERFFEL108,Enhanced RX FIFO Filter Element 108" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31B4++0x03 line.long 0x00 "ERFFEL109,Enhanced RX FIFO Filter Element 109" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31B4++0x03 line.long 0x00 "ERFFEL109,Enhanced RX FIFO Filter Element 109" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31B8++0x03 line.long 0x00 "ERFFEL110,Enhanced RX FIFO Filter Element 110" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31B8++0x03 line.long 0x00 "ERFFEL110,Enhanced RX FIFO Filter Element 110" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31BC++0x03 line.long 0x00 "ERFFEL111,Enhanced RX FIFO Filter Element 111" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31BC++0x03 line.long 0x00 "ERFFEL111,Enhanced RX FIFO Filter Element 111" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31C0++0x03 line.long 0x00 "ERFFEL112,Enhanced RX FIFO Filter Element 112" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31C0++0x03 line.long 0x00 "ERFFEL112,Enhanced RX FIFO Filter Element 112" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31C4++0x03 line.long 0x00 "ERFFEL113,Enhanced RX FIFO Filter Element 113" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31C4++0x03 line.long 0x00 "ERFFEL113,Enhanced RX FIFO Filter Element 113" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31C8++0x03 line.long 0x00 "ERFFEL114,Enhanced RX FIFO Filter Element 114" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31C8++0x03 line.long 0x00 "ERFFEL114,Enhanced RX FIFO Filter Element 114" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31CC++0x03 line.long 0x00 "ERFFEL115,Enhanced RX FIFO Filter Element 115" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31CC++0x03 line.long 0x00 "ERFFEL115,Enhanced RX FIFO Filter Element 115" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31D0++0x03 line.long 0x00 "ERFFEL116,Enhanced RX FIFO Filter Element 116" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31D0++0x03 line.long 0x00 "ERFFEL116,Enhanced RX FIFO Filter Element 116" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31D4++0x03 line.long 0x00 "ERFFEL117,Enhanced RX FIFO Filter Element 117" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31D4++0x03 line.long 0x00 "ERFFEL117,Enhanced RX FIFO Filter Element 117" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31D8++0x03 line.long 0x00 "ERFFEL118,Enhanced RX FIFO Filter Element 118" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31D8++0x03 line.long 0x00 "ERFFEL118,Enhanced RX FIFO Filter Element 118" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31DC++0x03 line.long 0x00 "ERFFEL119,Enhanced RX FIFO Filter Element 119" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31DC++0x03 line.long 0x00 "ERFFEL119,Enhanced RX FIFO Filter Element 119" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31E0++0x03 line.long 0x00 "ERFFEL120,Enhanced RX FIFO Filter Element 120" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31E0++0x03 line.long 0x00 "ERFFEL120,Enhanced RX FIFO Filter Element 120" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31E4++0x03 line.long 0x00 "ERFFEL121,Enhanced RX FIFO Filter Element 121" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31E4++0x03 line.long 0x00 "ERFFEL121,Enhanced RX FIFO Filter Element 121" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31E8++0x03 line.long 0x00 "ERFFEL122,Enhanced RX FIFO Filter Element 122" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31E8++0x03 line.long 0x00 "ERFFEL122,Enhanced RX FIFO Filter Element 122" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31EC++0x03 line.long 0x00 "ERFFEL123,Enhanced RX FIFO Filter Element 123" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31EC++0x03 line.long 0x00 "ERFFEL123,Enhanced RX FIFO Filter Element 123" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31F0++0x03 line.long 0x00 "ERFFEL124,Enhanced RX FIFO Filter Element 124" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31F0++0x03 line.long 0x00 "ERFFEL124,Enhanced RX FIFO Filter Element 124" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31F4++0x03 line.long 0x00 "ERFFEL125,Enhanced RX FIFO Filter Element 125" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31F4++0x03 line.long 0x00 "ERFFEL125,Enhanced RX FIFO Filter Element 125" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31F8++0x03 line.long 0x00 "ERFFEL126,Enhanced RX FIFO Filter Element 126" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31F8++0x03 line.long 0x00 "ERFFEL126,Enhanced RX FIFO Filter Element 126" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif if ((per.l(ad:0x02190000)&0x1000000)==0x1000000) group.long 0x31FC++0x03 line.long 0x00 "ERFFEL127,Enhanced RX FIFO Filter Element 127" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" else rgroup.long 0x31FC++0x03 line.long 0x00 "ERFFEL127,Enhanced RX FIFO Filter Element 127" bitfld.long 0x00 31. " FEL[31] ,Filter element bit 31" "No message,Message" bitfld.long 0x00 30. " [30] ,Filter element bit 30" "No message,Message" bitfld.long 0x00 29. " [29] ,Filter element bit 29" "No message,Message" bitfld.long 0x00 28. " [28] ,Filter element bit 28" "No message,Message" newline bitfld.long 0x00 27. " [27] ,Filter element bit 27" "No message,Message" bitfld.long 0x00 26. " [26] ,Filter element bit 26" "No message,Message" bitfld.long 0x00 25. " [25] ,Filter element bit 25" "No message,Message" bitfld.long 0x00 24. " [24] ,Filter element bit 24" "No message,Message" newline bitfld.long 0x00 23. " [23] ,Filter element bit 23" "No message,Message" bitfld.long 0x00 22. " [22] ,Filter element bit 22" "No message,Message" bitfld.long 0x00 21. " [21] ,Filter element bit 21" "No message,Message" bitfld.long 0x00 20. " [20] ,Filter element bit 20" "No message,Message" newline bitfld.long 0x00 19. " [19] ,Filter element bit 19" "No message,Message" bitfld.long 0x00 18. " [18] ,Filter element bit 18" "No message,Message" bitfld.long 0x00 17. " [17] ,Filter element bit 17" "No message,Message" bitfld.long 0x00 16. " [16] ,Filter element bit 16" "No message,Message" newline bitfld.long 0x00 15. " [15] ,Filter element bit 15" "No message,Message" bitfld.long 0x00 14. " [14] ,Filter element bit 14" "No message,Message" bitfld.long 0x00 13. " [13] ,Filter element bit 13" "No message,Message" bitfld.long 0x00 12. " [12] ,Filter element bit 12" "No message,Message" newline bitfld.long 0x00 11. " [11] ,Filter element bit 11" "No message,Message" bitfld.long 0x00 10. " [10] ,Filter element bit 10" "No message,Message" bitfld.long 0x00 9. " [9] ,Filter element bit 9" "No message,Message" bitfld.long 0x00 8. " [8] ,Filter element bit 8" "No message,Message" newline bitfld.long 0x00 7. " [7] ,Filter element bit 7" "No message,Message" bitfld.long 0x00 6. " [6] ,Filter element bit 6" "No message,Message" bitfld.long 0x00 5. " [5] ,Filter element bit 5" "No message,Message" bitfld.long 0x00 4. " [4] ,Filter element bit 4" "No message,Message" newline bitfld.long 0x00 3. " [3] ,Filter element bit 3" "No message,Message" bitfld.long 0x00 2. " [2] ,Filter element bit 2" "No message,Message" bitfld.long 0x00 1. " [1] ,Filter element bit 1" "No message,Message" bitfld.long 0x00 0. " [0] ,Filter element bit 0" "No message,Message" endif tree.end endif width 0x0B tree.end tree.end tree "FlexSPI (Flexible Serial Peripheral Interface)" base ad:0x020C0000 width 14. group.long 0x00++0x1F line.long 0x00 "MCR0,Module Control Register 0" hexmask.long.byte 0x00 24.--31. 1. " AHBGRANTWAIT ,Timeout wait cycle for AHB command grant" hexmask.long.byte 0x00 16.--23. 1. " IPGRANTWAIT ,Time out wait cycle for IP command grant" bitfld.long 0x00 15. " LEARNEN ,Enable/Disable data learning feature" "Disabled,Enabled" newline bitfld.long 0x00 14. " SCKFREERUNEN ,Force SCK output free-running" "Disabled,Enabled" bitfld.long 0x00 13. " COMBINATIONEN ,Support flash octal mode access" "Disabled,Enabled" bitfld.long 0x00 12. " DOZEEN ,Doze mode enable" "Disabled,Enabled" newline bitfld.long 0x00 11. " HSEN ,Half speed serial flash access enable" "Disabled,Enabled" bitfld.long 0x00 8.--10. " SERCLKDIV ,Serial root clock divisor value" "/1,/2,/3,/4,/5,/6,/7,/8" bitfld.long 0x00 4.--5. " RXCLKSRC ,Sample clock source selection for flash reading" "Internally,DQS,SCLK,Flash" newline bitfld.long 0x00 1. " MDIS ,Module disable" "No,Yes" bitfld.long 0x00 0. " SWRESET ,Software reset" "No reset,Reset" line.long 0x04 "MCR1,Module Control Register 1" hexmask.long.word 0x04 16.--31. 1. " SEQWAIT ,Command sequence execution timeout" hexmask.long.word 0x04 0.--15. 1. " AHBBUSWAIT ,AHB read/write access timeout" line.long 0x08 "MCR2,Module Control Register 2" hexmask.long.byte 0x08 24.--31. 1. " RESUMEWAIT ,Wait cycle for idle state before suspended command sequence resumed" bitfld.long 0x08 19. " SCKBDIFFOPT ,SCKB pad as SCKA differential clock output used" "Not used,Used" bitfld.long 0x08 15. " SAMEDEVICEEN ,All external devices are same devices" "Disabled,Enabled" newline bitfld.long 0x08 14. " CLRLEARNPHASE ,Sampling clock phase selection reset" "No reset,Reset" bitfld.long 0x08 11. " CLRAHBBUFOPT ,AHB RX buffer and AHB TX buffer automatically cleaned" "Not cleaned,Cleaned" line.long 0x0C "AHBCR,AHB Bus Control Register" bitfld.long 0x0C 20.--21. " ALIGNMENT ,AHB read/write boundary" "No limit,1KB,512B,256B" sif !cpuis("LX2160A*") bitfld.long 0x0C 10. " READSZALIGN ,AHB read size alignment" "PREFETCH_EN,8B/No prefetch" endif bitfld.long 0x0C 6. " READADDROPT ,AHB read address option select" "Not fetched,Fetched" newline bitfld.long 0x0C 5. " PREFETCHEN ,AHB read prefetch enable" "Disabled,Enabled" bitfld.long 0x0C 4. " BUFFERABLEEN ,Enable AHB bus bufferable write access support" "Disabled,Enabled" bitfld.long 0x0C 3. " CACHABLEEN ,Enable AHB bus cachable read access support" "Disabled,Enabled" newline bitfld.long 0x0C 0. " APAREN ,Parallel mode enabled for AHB triggered command" "Individual,Parallel" line.long 0x10 "INTEN,Interrupt Enable Register" bitfld.long 0x10 11. " SEQTIMEOUTEN ,Sequence execution timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x10 10. " AHBBUSTIMEOUTEN ,AHB Bus timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x10 9. " SCKSTOPBYWREN ,Async TX FIFO empty interrupt enable" "Disabled,Enabled" newline bitfld.long 0x10 8. " SCKSTOPBYRDEN ,Async RX FIFO full interrupt enable" "Disabled,Enabled" bitfld.long 0x10 7. " DATALEARNFAILEN ,Data learning failed interrupt enable" "Disabled,Enabled" bitfld.long 0x10 6. " IPTXWEEN ,IP TX FIFO watermark empty interrupt enable" "Disabled,Enabled" newline bitfld.long 0x10 5. " IPRXWAEN ,IP RX FIFO watermark available interrupt enable" "Disabled,Enabled" bitfld.long 0x10 4. " AHBCMDERREN ,AHB triggered command sequences error detected interrupt enable" "Disabled,Enabled" bitfld.long 0x10 3. " IPCMDERREN ,IP triggered command sequences error detected interrupt enable" "Disabled,Enabled" newline bitfld.long 0x10 2. " AHBCMDGEEN ,AHB triggered command Sequences grant timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x10 1. " IPCMDGEEN ,IP triggered command sequences grant timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x10 0. " IPCMDDONEEN ,IP triggered command sequences execution finished interrupt enable" "Disabled,Enabled" line.long 0x14 "INTR,Interrupt Register" eventfld.long 0x14 11. " SEQTIMEOUT ,Sequence execution timeout interrupt" "No interrupt,Interrupt" eventfld.long 0x14 10. " AHBBUSTIMEOUT ,AHB bus timeout interrupt" "No interrupt,Interrupt" eventfld.long 0x14 9. " SCKSTOPBYWR ,Async TX FIFO empty interrupt" "No interrupt,Interrupt" newline eventfld.long 0x14 8. " SCKSTOPBYRD ,Async RX FIFO full interrupt" "No interrupt,Interrupt" eventfld.long 0x14 7. " DATALEARNFAIL ,Data learning failed interrupt" "No interrupt,Interrupt" eventfld.long 0x14 6. " IPTXWE ,IP TX FIFO watermark empty interrupt" "No interrupt,Interrupt" newline eventfld.long 0x14 5. " IPRXWA ,IP RX FIFO watermark available interrupt" "No interrupt,Interrupt" eventfld.long 0x14 4. " AHBCMDERR ,AHB triggered command sequences error detected interrupt" "No interrupt,Interrupt" eventfld.long 0x14 3. " IPCMDERR ,IP triggered command sequences error detected interrupt" "No interrupt,Interrupt" newline eventfld.long 0x14 2. " AHBCMDGE ,AHB triggered command sequences grant timeout interrupt" "No interrupt,Interrupt" eventfld.long 0x14 1. " IPCMDGE ,IP triggered command sequences grant timeout interrupt" "No interrupt,Interrupt" eventfld.long 0x14 0. " IPCMDDONE ,IP triggered command sequences execution finished interrupt" "No interrupt,Interrupt" line.long 0x18 "LUTKEY,LUT Key Register" line.long 0x1C "LUTCR,LUT Control Register" bitfld.long 0x1C 1. " UNLOCK ,LUT unlock" "0,1" bitfld.long 0x1C 0. " LOCK ,LUT lock" "0,1" group.long 0x20++0x03 line.long 0x00 "AHBRXBUF0CR0,AHB RX Buffer 0 Control Register 0" bitfld.long 0x00 31. " PREFETCHEN ,AHB read prefetch enable for current AHB RX buffer corresponding master" "Disabled,Enabled" bitfld.long 0x00 24.--26. " PRIORITY ,AHB master read priority" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " MSTRID ,ID of AHB master associated with this buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. " BUFSZ ,AHB RX buffer size in 64 bit" group.long 0x24++0x03 line.long 0x00 "AHBRXBUF1CR0,AHB RX Buffer 1 Control Register 0" bitfld.long 0x00 31. " PREFETCHEN ,AHB read prefetch enable for current AHB RX buffer corresponding master" "Disabled,Enabled" bitfld.long 0x00 24.--26. " PRIORITY ,AHB master read priority" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " MSTRID ,ID of AHB master associated with this buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. " BUFSZ ,AHB RX buffer size in 64 bit" group.long 0x28++0x03 line.long 0x00 "AHBRXBUF2CR0,AHB RX Buffer 2 Control Register 0" bitfld.long 0x00 31. " PREFETCHEN ,AHB read prefetch enable for current AHB RX buffer corresponding master" "Disabled,Enabled" bitfld.long 0x00 24.--26. " PRIORITY ,AHB master read priority" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " MSTRID ,ID of AHB master associated with this buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. " BUFSZ ,AHB RX buffer size in 64 bit" group.long 0x2C++0x03 line.long 0x00 "AHBRXBUF3CR0,AHB RX Buffer 3 Control Register 0" bitfld.long 0x00 31. " PREFETCHEN ,AHB read prefetch enable for current AHB RX buffer corresponding master" "Disabled,Enabled" bitfld.long 0x00 24.--26. " PRIORITY ,AHB master read priority" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " MSTRID ,ID of AHB master associated with this buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. " BUFSZ ,AHB RX buffer size in 64 bit" group.long 0x30++0x03 line.long 0x00 "AHBRXBUF4CR0,AHB RX Buffer 4 Control Register 0" bitfld.long 0x00 31. " PREFETCHEN ,AHB read prefetch enable for current AHB RX buffer corresponding master" "Disabled,Enabled" bitfld.long 0x00 24.--26. " PRIORITY ,AHB master read priority" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " MSTRID ,ID of AHB master associated with this buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. " BUFSZ ,AHB RX buffer size in 64 bit" group.long 0x34++0x03 line.long 0x00 "AHBRXBUF5CR0,AHB RX Buffer 5 Control Register 0" bitfld.long 0x00 31. " PREFETCHEN ,AHB read prefetch enable for current AHB RX buffer corresponding master" "Disabled,Enabled" bitfld.long 0x00 24.--26. " PRIORITY ,AHB master read priority" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " MSTRID ,ID of AHB master associated with this buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. " BUFSZ ,AHB RX buffer size in 64 bit" group.long 0x38++0x03 line.long 0x00 "AHBRXBUF6CR0,AHB RX Buffer 6 Control Register 0" bitfld.long 0x00 31. " PREFETCHEN ,AHB read prefetch enable for current AHB RX buffer corresponding master" "Disabled,Enabled" bitfld.long 0x00 24.--26. " PRIORITY ,AHB master read priority" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " MSTRID ,ID of AHB master associated with this buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. " BUFSZ ,AHB RX buffer size in 64 bit" group.long 0x3C++0x03 line.long 0x00 "AHBRXBUF7CR0,AHB RX Buffer 7 Control Register 0" bitfld.long 0x00 31. " PREFETCHEN ,AHB read prefetch enable for current AHB RX buffer corresponding master" "Disabled,Enabled" bitfld.long 0x00 24.--26. " PRIORITY ,AHB master read priority" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--19. " MSTRID ,ID of AHB master associated with this buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--8. 1. " BUFSZ ,AHB RX buffer size in 64 bit" group.long 0x60++0x03 line.long 0x00 "FLSHA1CR0,Flash Control Register 0" hexmask.long.tbyte 0x00 0.--22. 1. " FLSHSZ ,Flash size in KB" group.long 0x64++0x03 line.long 0x00 "FLSHA2CR0,Flash Control Register 0" hexmask.long.tbyte 0x00 0.--22. 1. " FLSHSZ ,Flash size in KB" group.long 0x68++0x03 line.long 0x00 "FLSHB1CR0,Flash Control Register 0" hexmask.long.tbyte 0x00 0.--22. 1. " FLSHSZ ,Flash size in KB" group.long 0x6C++0x03 line.long 0x00 "FLSHB2CR0,Flash Control Register 0" hexmask.long.tbyte 0x00 0.--22. 1. " FLSHSZ ,Flash size in KB" group.long 0x70++0x03 line.long 0x00 "FLSHA1CR1,Flash A1 Control Register 1" hexmask.long.word 0x00 16.--31. 1. " CSINTERVAL ,Minimum interval between flash device chip selection deassertion and assertion" bitfld.long 0x00 15. " CSINTERVALUNIT ,CS interval unit" "1,256" bitfld.long 0x00 11.--14. " CAS ,Column address size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 10. " WA ,Word addressable" "Not addressable,Addressable" bitfld.long 0x00 5.--9. " TCSH ,Serial flash CS hold time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. " TCSS ,Serial flash CS setup time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x74++0x03 line.long 0x00 "FLSHA2CR1,Flash A2 Control Register 1" hexmask.long.word 0x00 16.--31. 1. " CSINTERVAL ,Minimum interval between flash device chip selection deassertion and assertion" bitfld.long 0x00 15. " CSINTERVALUNIT ,CS interval unit" "1,256" bitfld.long 0x00 11.--14. " CAS ,Column address size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 10. " WA ,Word addressable" "Not addressable,Addressable" bitfld.long 0x00 5.--9. " TCSH ,Serial flash CS hold time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. " TCSS ,Serial flash CS setup time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x78++0x03 line.long 0x00 "FLSHB1CR1,Flash B1 Control Register 1" hexmask.long.word 0x00 16.--31. 1. " CSINTERVAL ,Minimum interval between flash device chip selection deassertion and assertion" bitfld.long 0x00 15. " CSINTERVALUNIT ,CS interval unit" "1,256" bitfld.long 0x00 11.--14. " CAS ,Column address size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 10. " WA ,Word addressable" "Not addressable,Addressable" bitfld.long 0x00 5.--9. " TCSH ,Serial flash CS hold time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. " TCSS ,Serial flash CS setup time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x7C++0x03 line.long 0x00 "FLSHB2CR1,Flash B2 Control Register 1" hexmask.long.word 0x00 16.--31. 1. " CSINTERVAL ,Minimum interval between flash device chip selection deassertion and assertion" bitfld.long 0x00 15. " CSINTERVALUNIT ,CS interval unit" "1,256" bitfld.long 0x00 11.--14. " CAS ,Column address size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 10. " WA ,Word addressable" "Not addressable,Addressable" bitfld.long 0x00 5.--9. " TCSH ,Serial flash CS hold time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. " TCSS ,Serial flash CS setup time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x80++0x03 line.long 0x00 "FLSHA1CR2,Flash A1 Control Register 2" bitfld.long 0x00 31. " CLRINSTRPTR ,Clear the instruction pointer" "Not cleared,Cleared" bitfld.long 0x00 28.--30. " AWRWAITUNIT ,AWRWAIT unit in AHB clock cycles" "2,8,32,128,512,2048,8192,32768" hexmask.long.word 0x00 16.--27. 1. " AWRWAIT ,Time to write data into internal memory" newline bitfld.long 0x00 13.--15. " AWRSEQNUM ,Sequence number for AHB write triggered command" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. " AWRSEQID ,Sequence index for AHB write triggered command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. " ARDSEQNUM ,Sequence number for AHB read triggered command in LUT" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " ARDSEQID ,Sequence index for AHB read triggered command in LUT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x84++0x03 line.long 0x00 "FLSHA2CR2,Flash A2 Control Register 2" bitfld.long 0x00 31. " CLRINSTRPTR ,Clear the instruction pointer" "Not cleared,Cleared" bitfld.long 0x00 28.--30. " AWRWAITUNIT ,AWRWAIT unit in AHB clock cycles" "2,8,32,128,512,2048,8192,32768" hexmask.long.word 0x00 16.--27. 1. " AWRWAIT ,Time to write data into internal memory" newline bitfld.long 0x00 13.--15. " AWRSEQNUM ,Sequence number for AHB write triggered command" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. " AWRSEQID ,Sequence index for AHB write triggered command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. " ARDSEQNUM ,Sequence number for AHB read triggered command in LUT" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " ARDSEQID ,Sequence index for AHB read triggered command in LUT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x88++0x03 line.long 0x00 "FLSHB1CR2,Flash B1 Control Register 2" bitfld.long 0x00 31. " CLRINSTRPTR ,Clear the instruction pointer" "Not cleared,Cleared" bitfld.long 0x00 28.--30. " AWRWAITUNIT ,AWRWAIT unit in AHB clock cycles" "2,8,32,128,512,2048,8192,32768" hexmask.long.word 0x00 16.--27. 1. " AWRWAIT ,Time to write data into internal memory" newline bitfld.long 0x00 13.--15. " AWRSEQNUM ,Sequence number for AHB write triggered command" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. " AWRSEQID ,Sequence index for AHB write triggered command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. " ARDSEQNUM ,Sequence number for AHB read triggered command in LUT" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " ARDSEQID ,Sequence index for AHB read triggered command in LUT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x8C++0x03 line.long 0x00 "FLSHB2CR2,Flash B2 Control Register 2" bitfld.long 0x00 31. " CLRINSTRPTR ,Clear the instruction pointer" "Not cleared,Cleared" bitfld.long 0x00 28.--30. " AWRWAITUNIT ,AWRWAIT unit in AHB clock cycles" "2,8,32,128,512,2048,8192,32768" hexmask.long.word 0x00 16.--27. 1. " AWRWAIT ,Time to write data into internal memory" newline bitfld.long 0x00 13.--15. " AWRSEQNUM ,Sequence number for AHB write triggered command" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. " AWRSEQID ,Sequence index for AHB write triggered command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 5.--7. " ARDSEQNUM ,Sequence number for AHB read triggered command in LUT" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " ARDSEQID ,Sequence index for AHB read triggered command in LUT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x94++0x03 line.long 0x00 "FLSHCR4,Flash Control Register 4" bitfld.long 0x00 3. " WMENB ,Write mask enable bit for flash device on port B" "Disabled,Enabled" bitfld.long 0x00 2. " WMENA ,Write mask enable bit for flash device on port A" "Disabled,Enabled" bitfld.long 0x00 0. " WMOPT1 ,DQS pin as write mask usage" "Used,Not used" group.long 0xA0++0x07 line.long 0x00 "IPCR0,IP Control Register 0" line.long 0x04 "IPCR1,IP Control Register 1" bitfld.long 0x04 31. " IPAREN ,Parallel mode" "Disabled,Enabled" bitfld.long 0x04 24.--26. " ISEQNUM ,Sequence number for IP command" "1,2,3,4,5,6,7,8" bitfld.long 0x04 16.--20. " ISEQID ,Sequence index in LUT for IP command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--15. 1. " IDATSZ ,Flash read/program data size (in bytes) for IP command" group.long 0xB0++0x17 line.long 0x00 "IPCMD,IP Command Register" bitfld.long 0x00 0. " TRG ,IP command trigger" "Not triggered,Triggered" line.long 0x04 "DLPR,Data Learn Pattern Register" line.long 0x08 "IPRXFCR,IP RX FIFO Control Register" hexmask.long.word 0x08 2.--8. 1. " RXWMRK ,Watermark level" bitfld.long 0x08 0. " CLRIPRXF ,Clear all valid data entries in IP RX FIFO" "Not cleared,Cleared" line.long 0x0C "IPTXFCR,IP TX FIFO Control Register" hexmask.long.word 0x0C 2.--8. 1. " TXWMRK ,Watermark level" bitfld.long 0x0C 0. " CLRIPTXF ,Clear all valid data entries in IP TX FIFO" "Not cleared,Cleared" line.long 0x10 "DLLACR,DLLA Control Register 0" bitfld.long 0x10 9.--14. " OVRDVAL ,Slave clock delay line delay cell number selection override value" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64" bitfld.long 0x10 8. " OVRDEN ,Slave clock delay line delay cell number selection override enable" "Disabled,Enabled" bitfld.long 0x10 3.--6. " SLVDLYTARGET ,The delay target for slave delay line" "1/32,2/32,3/32,4/32,5/32,6/32,7/32,8/32,9/32,10/32,11/32,12/32,13/32,14/32,15/32,16/32" newline bitfld.long 0x10 1. " DLLRESET ,DDL reset" "No reset,Reset" bitfld.long 0x10 0. " DLLEN ,DLL calibration enable" "Disabled,Enabled" line.long 0x14 "DLLBCR,DLLB Control Register 0" bitfld.long 0x14 9.--14. " OVRDVAL ,Slave clock delay line delay cell number selection override value" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64" bitfld.long 0x14 8. " OVRDEN ,Slave clock delay line delay cell number selection override enable" "Disabled,Enabled" bitfld.long 0x14 3.--6. " SLVDLYTARGET ,The delay target for slave delay line" "1/32,2/32,3/32,4/32,5/32,6/32,7/32,8/32,9/32,10/32,11/32,12/32,13/32,14/32,15/32,16/32" newline bitfld.long 0x14 1. " DLLRESET ,DDL reset" "No reset,Reset" bitfld.long 0x14 0. " DLLEN ,DLL calibration enable" "Disabled,Enabled" rgroup.long 0xE0++0x17 line.long 0x00 "STS0,Status Register 0" bitfld.long 0x00 8.--11. " DATALEARNPHASEB ,Sampling clock phase selection on port B after data learning" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 4.--7. " DATALEARNPHASEA ,Sampling clock phase selection on Port A after data learning" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 2.--3. " ARBCMDSRC ,Trigger source of current command sequence granted by arbitrator" "AHB Read,AHB Write,IP,Suspended" newline bitfld.long 0x00 1. " ARBIDLE ,State machine in ARB_CTL" "Busy,Idle" bitfld.long 0x00 0. " SEQIDLE ,State machine in SEQ_CTL" "Busy,Idle" line.long 0x04 "STS1,Status Register 1" bitfld.long 0x04 24.--27. " IPCMDERRCODE ,IP command error code" "No error,,JMP_ON_CS used with IP command,Unknown opcode,SDR used in DDR,DDR used in SDR,A1/A2/B1/B2 exceeded,,,,,,,,Sequence execution timeout,Flash boundary crossed" bitfld.long 0x04 16.--20. " IPCMDERRID ,Sequence index when IP command error detected" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 8.--11. " AHBCMDERRCODE ,AHB command error code" "No error,,JMP_ON_CS used with AHB write command,Unknown opcode,SDR used in DDR,DDR used in SDR,,,,,,,,,Execution timeout,?..." newline bitfld.long 0x04 0.--4. " AHBCMDERRID ,Sequence index when an AHB command error is detected" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x08 "STS2,Status Register 2" bitfld.long 0x08 24.--29. " BREFSEL ,Flash B sample clock reference delay line delay cell number selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 18.--23. " BSLVSEL ,Flash B sample clock slave delay line delay cell number selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 17. " BREFLOCK ,Flash B sample clock reference delay line locked" "Not locked,Locked" newline bitfld.long 0x08 16. " BSLVLOCK ,Flash B sample clock slave delay line locked" "Not locked,Locked" bitfld.long 0x08 8.--13. " AREFSEL ,Flash A sample clock reference delay line delay cell number selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 2.--7. " ASLVSEL ,Flash A sample clock slave delay line delay cell number selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 1. " AREFLOCK ,Flash A sample clock reference delay line locked" "Not locked,Locked" bitfld.long 0x08 0. " ASLVLOCK ,Flash A sample clock slave delay line locked" "Not locked,Locked" line.long 0x0C "AHBSPNDSTS,AHB Suspend Status Register" hexmask.long.word 0x0C 16.--31. 1. " DATLFT ,Left Data size for suspended command sequence" bitfld.long 0x0C 1.--3. " BUFID ,AHB RX BUF ID for suspended command sequence" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 0. " ACTIVE ,AHB read prefetch command sequence has been suspended" "Not suspended,Suspended" line.long 0x10 "IPRXFSTS,IP RX FIFO Status Register" hexmask.long.word 0x10 16.--31. 1. " RDCNTR ,Total read data counter" hexmask.long.byte 0x10 0.--7. 1. " FILL ,Fill level of IP RX FIFO" line.long 0x14 "IPTXFSTS,IP TX FIFO Status Register" hexmask.long.word 0x14 16.--31. 1. " WRCNTR ,Total write data counter" hexmask.long.byte 0x14 0.--7. 1. " FILL ,Fill level of IP TX FIFO" tree "RX/TX FIFO Data" rgroup.long 0x100++0x03 line.long 0x00 "RFDR0,IP RX FIFO Data Register 0" rgroup.long 0x104++0x03 line.long 0x00 "RFDR1,IP RX FIFO Data Register 1" rgroup.long 0x108++0x03 line.long 0x00 "RFDR2,IP RX FIFO Data Register 2" rgroup.long 0x10C++0x03 line.long 0x00 "RFDR3,IP RX FIFO Data Register 3" rgroup.long 0x110++0x03 line.long 0x00 "RFDR4,IP RX FIFO Data Register 4" rgroup.long 0x114++0x03 line.long 0x00 "RFDR5,IP RX FIFO Data Register 5" rgroup.long 0x118++0x03 line.long 0x00 "RFDR6,IP RX FIFO Data Register 6" rgroup.long 0x11C++0x03 line.long 0x00 "RFDR7,IP RX FIFO Data Register 7" rgroup.long 0x120++0x03 line.long 0x00 "RFDR8,IP RX FIFO Data Register 8" rgroup.long 0x124++0x03 line.long 0x00 "RFDR9,IP RX FIFO Data Register 9" rgroup.long 0x128++0x03 line.long 0x00 "RFDR10,IP RX FIFO Data Register 10" rgroup.long 0x12C++0x03 line.long 0x00 "RFDR11,IP RX FIFO Data Register 11" rgroup.long 0x130++0x03 line.long 0x00 "RFDR12,IP RX FIFO Data Register 12" rgroup.long 0x134++0x03 line.long 0x00 "RFDR13,IP RX FIFO Data Register 13" rgroup.long 0x138++0x03 line.long 0x00 "RFDR14,IP RX FIFO Data Register 14" rgroup.long 0x13C++0x03 line.long 0x00 "RFDR15,IP RX FIFO Data Register 15" rgroup.long 0x140++0x03 line.long 0x00 "RFDR16,IP RX FIFO Data Register 16" rgroup.long 0x144++0x03 line.long 0x00 "RFDR17,IP RX FIFO Data Register 17" rgroup.long 0x148++0x03 line.long 0x00 "RFDR18,IP RX FIFO Data Register 18" rgroup.long 0x14C++0x03 line.long 0x00 "RFDR19,IP RX FIFO Data Register 19" rgroup.long 0x150++0x03 line.long 0x00 "RFDR20,IP RX FIFO Data Register 20" rgroup.long 0x154++0x03 line.long 0x00 "RFDR21,IP RX FIFO Data Register 21" rgroup.long 0x158++0x03 line.long 0x00 "RFDR22,IP RX FIFO Data Register 22" rgroup.long 0x15C++0x03 line.long 0x00 "RFDR23,IP RX FIFO Data Register 23" rgroup.long 0x160++0x03 line.long 0x00 "RFDR24,IP RX FIFO Data Register 24" rgroup.long 0x164++0x03 line.long 0x00 "RFDR25,IP RX FIFO Data Register 25" rgroup.long 0x168++0x03 line.long 0x00 "RFDR26,IP RX FIFO Data Register 26" rgroup.long 0x16C++0x03 line.long 0x00 "RFDR27,IP RX FIFO Data Register 27" rgroup.long 0x170++0x03 line.long 0x00 "RFDR28,IP RX FIFO Data Register 28" rgroup.long 0x174++0x03 line.long 0x00 "RFDR29,IP RX FIFO Data Register 29" rgroup.long 0x178++0x03 line.long 0x00 "RFDR30,IP RX FIFO Data Register 30" rgroup.long 0x17C++0x03 line.long 0x00 "RFDR31,IP RX FIFO Data Register 31" wgroup.long 0x180++0x03 line.long 0x00 "TFDR0,IP TX FIFO Data Register 0" wgroup.long 0x184++0x03 line.long 0x00 "TFDR1,IP TX FIFO Data Register 1" wgroup.long 0x188++0x03 line.long 0x00 "TFDR2,IP TX FIFO Data Register 2" wgroup.long 0x18C++0x03 line.long 0x00 "TFDR3,IP TX FIFO Data Register 3" wgroup.long 0x190++0x03 line.long 0x00 "TFDR4,IP TX FIFO Data Register 4" wgroup.long 0x194++0x03 line.long 0x00 "TFDR5,IP TX FIFO Data Register 5" wgroup.long 0x198++0x03 line.long 0x00 "TFDR6,IP TX FIFO Data Register 6" wgroup.long 0x19C++0x03 line.long 0x00 "TFDR7,IP TX FIFO Data Register 7" wgroup.long 0x1A0++0x03 line.long 0x00 "TFDR8,IP TX FIFO Data Register 8" wgroup.long 0x1A4++0x03 line.long 0x00 "TFDR9,IP TX FIFO Data Register 9" wgroup.long 0x1A8++0x03 line.long 0x00 "TFDR10,IP TX FIFO Data Register 10" wgroup.long 0x1AC++0x03 line.long 0x00 "TFDR11,IP TX FIFO Data Register 11" wgroup.long 0x1B0++0x03 line.long 0x00 "TFDR12,IP TX FIFO Data Register 12" wgroup.long 0x1B4++0x03 line.long 0x00 "TFDR13,IP TX FIFO Data Register 13" wgroup.long 0x1B8++0x03 line.long 0x00 "TFDR14,IP TX FIFO Data Register 14" wgroup.long 0x1BC++0x03 line.long 0x00 "TFDR15,IP TX FIFO Data Register 15" wgroup.long 0x1C0++0x03 line.long 0x00 "TFDR16,IP TX FIFO Data Register 16" wgroup.long 0x1C4++0x03 line.long 0x00 "TFDR17,IP TX FIFO Data Register 17" wgroup.long 0x1C8++0x03 line.long 0x00 "TFDR18,IP TX FIFO Data Register 18" wgroup.long 0x1CC++0x03 line.long 0x00 "TFDR19,IP TX FIFO Data Register 19" wgroup.long 0x1D0++0x03 line.long 0x00 "TFDR20,IP TX FIFO Data Register 20" wgroup.long 0x1D4++0x03 line.long 0x00 "TFDR21,IP TX FIFO Data Register 21" wgroup.long 0x1D8++0x03 line.long 0x00 "TFDR22,IP TX FIFO Data Register 22" wgroup.long 0x1DC++0x03 line.long 0x00 "TFDR23,IP TX FIFO Data Register 23" wgroup.long 0x1E0++0x03 line.long 0x00 "TFDR24,IP TX FIFO Data Register 24" wgroup.long 0x1E4++0x03 line.long 0x00 "TFDR25,IP TX FIFO Data Register 25" wgroup.long 0x1E8++0x03 line.long 0x00 "TFDR26,IP TX FIFO Data Register 26" wgroup.long 0x1EC++0x03 line.long 0x00 "TFDR27,IP TX FIFO Data Register 27" wgroup.long 0x1F0++0x03 line.long 0x00 "TFDR28,IP TX FIFO Data Register 28" wgroup.long 0x1F4++0x03 line.long 0x00 "TFDR29,IP TX FIFO Data Register 29" wgroup.long 0x1F8++0x03 line.long 0x00 "TFDR30,IP TX FIFO Data Register 30" wgroup.long 0x1FC++0x03 line.long 0x00 "TFDR31,IP TX FIFO Data Register 31" tree.end tree "LUT Registers" group.long 0x200++0x03 line.long 0x00 "LUT0,LUT Register 0" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x204++0x03 line.long 0x00 "LUT1,LUT Register 1" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x208++0x03 line.long 0x00 "LUT2,LUT Register 2" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x20C++0x03 line.long 0x00 "LUT3,LUT Register 3" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x210++0x03 line.long 0x00 "LUT4,LUT Register 4" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x214++0x03 line.long 0x00 "LUT5,LUT Register 5" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x218++0x03 line.long 0x00 "LUT6,LUT Register 6" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x21C++0x03 line.long 0x00 "LUT7,LUT Register 7" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x220++0x03 line.long 0x00 "LUT8,LUT Register 8" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x224++0x03 line.long 0x00 "LUT9,LUT Register 9" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x228++0x03 line.long 0x00 "LUT10,LUT Register 10" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x22C++0x03 line.long 0x00 "LUT11,LUT Register 11" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x230++0x03 line.long 0x00 "LUT12,LUT Register 12" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x234++0x03 line.long 0x00 "LUT13,LUT Register 13" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x238++0x03 line.long 0x00 "LUT14,LUT Register 14" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x23C++0x03 line.long 0x00 "LUT15,LUT Register 15" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x240++0x03 line.long 0x00 "LUT16,LUT Register 16" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x244++0x03 line.long 0x00 "LUT17,LUT Register 17" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x248++0x03 line.long 0x00 "LUT18,LUT Register 18" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x24C++0x03 line.long 0x00 "LUT19,LUT Register 19" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x250++0x03 line.long 0x00 "LUT20,LUT Register 20" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x254++0x03 line.long 0x00 "LUT21,LUT Register 21" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x258++0x03 line.long 0x00 "LUT22,LUT Register 22" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x25C++0x03 line.long 0x00 "LUT23,LUT Register 23" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x260++0x03 line.long 0x00 "LUT24,LUT Register 24" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x264++0x03 line.long 0x00 "LUT25,LUT Register 25" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x268++0x03 line.long 0x00 "LUT26,LUT Register 26" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x26C++0x03 line.long 0x00 "LUT27,LUT Register 27" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x270++0x03 line.long 0x00 "LUT28,LUT Register 28" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x274++0x03 line.long 0x00 "LUT29,LUT Register 29" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x278++0x03 line.long 0x00 "LUT30,LUT Register 30" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x27C++0x03 line.long 0x00 "LUT31,LUT Register 31" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x280++0x03 line.long 0x00 "LUT32,LUT Register 32" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x284++0x03 line.long 0x00 "LUT33,LUT Register 33" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x288++0x03 line.long 0x00 "LUT34,LUT Register 34" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x28C++0x03 line.long 0x00 "LUT35,LUT Register 35" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x290++0x03 line.long 0x00 "LUT36,LUT Register 36" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x294++0x03 line.long 0x00 "LUT37,LUT Register 37" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x298++0x03 line.long 0x00 "LUT38,LUT Register 38" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x29C++0x03 line.long 0x00 "LUT39,LUT Register 39" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2A0++0x03 line.long 0x00 "LUT40,LUT Register 40" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2A4++0x03 line.long 0x00 "LUT41,LUT Register 41" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2A8++0x03 line.long 0x00 "LUT42,LUT Register 42" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2AC++0x03 line.long 0x00 "LUT43,LUT Register 43" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2B0++0x03 line.long 0x00 "LUT44,LUT Register 44" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2B4++0x03 line.long 0x00 "LUT45,LUT Register 45" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2B8++0x03 line.long 0x00 "LUT46,LUT Register 46" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2BC++0x03 line.long 0x00 "LUT47,LUT Register 47" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2C0++0x03 line.long 0x00 "LUT48,LUT Register 48" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2C4++0x03 line.long 0x00 "LUT49,LUT Register 49" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2C8++0x03 line.long 0x00 "LUT50,LUT Register 50" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2CC++0x03 line.long 0x00 "LUT51,LUT Register 51" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2D0++0x03 line.long 0x00 "LUT52,LUT Register 52" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2D4++0x03 line.long 0x00 "LUT53,LUT Register 53" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2D8++0x03 line.long 0x00 "LUT54,LUT Register 54" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2DC++0x03 line.long 0x00 "LUT55,LUT Register 55" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2E0++0x03 line.long 0x00 "LUT56,LUT Register 56" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2E4++0x03 line.long 0x00 "LUT57,LUT Register 57" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2E8++0x03 line.long 0x00 "LUT58,LUT Register 58" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2EC++0x03 line.long 0x00 "LUT59,LUT Register 59" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2F0++0x03 line.long 0x00 "LUT60,LUT Register 60" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2F4++0x03 line.long 0x00 "LUT61,LUT Register 61" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2F8++0x03 line.long 0x00 "LUT62,LUT Register 62" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x2FC++0x03 line.long 0x00 "LUT63,LUT Register 63" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x300++0x03 line.long 0x00 "LUT64,LUT Register 64" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x304++0x03 line.long 0x00 "LUT65,LUT Register 65" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x308++0x03 line.long 0x00 "LUT66,LUT Register 66" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x30C++0x03 line.long 0x00 "LUT67,LUT Register 67" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x310++0x03 line.long 0x00 "LUT68,LUT Register 68" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x314++0x03 line.long 0x00 "LUT69,LUT Register 69" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x318++0x03 line.long 0x00 "LUT70,LUT Register 70" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x31C++0x03 line.long 0x00 "LUT71,LUT Register 71" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x320++0x03 line.long 0x00 "LUT72,LUT Register 72" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x324++0x03 line.long 0x00 "LUT73,LUT Register 73" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x328++0x03 line.long 0x00 "LUT74,LUT Register 74" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x32C++0x03 line.long 0x00 "LUT75,LUT Register 75" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x330++0x03 line.long 0x00 "LUT76,LUT Register 76" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x334++0x03 line.long 0x00 "LUT77,LUT Register 77" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x338++0x03 line.long 0x00 "LUT78,LUT Register 78" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x33C++0x03 line.long 0x00 "LUT79,LUT Register 79" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x340++0x03 line.long 0x00 "LUT80,LUT Register 80" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x344++0x03 line.long 0x00 "LUT81,LUT Register 81" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x348++0x03 line.long 0x00 "LUT82,LUT Register 82" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x34C++0x03 line.long 0x00 "LUT83,LUT Register 83" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x350++0x03 line.long 0x00 "LUT84,LUT Register 84" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x354++0x03 line.long 0x00 "LUT85,LUT Register 85" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x358++0x03 line.long 0x00 "LUT86,LUT Register 86" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x35C++0x03 line.long 0x00 "LUT87,LUT Register 87" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x360++0x03 line.long 0x00 "LUT88,LUT Register 88" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x364++0x03 line.long 0x00 "LUT89,LUT Register 89" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x368++0x03 line.long 0x00 "LUT90,LUT Register 90" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x36C++0x03 line.long 0x00 "LUT91,LUT Register 91" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x370++0x03 line.long 0x00 "LUT92,LUT Register 92" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x374++0x03 line.long 0x00 "LUT93,LUT Register 93" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x378++0x03 line.long 0x00 "LUT94,LUT Register 94" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x37C++0x03 line.long 0x00 "LUT95,LUT Register 95" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x380++0x03 line.long 0x00 "LUT96,LUT Register 96" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x384++0x03 line.long 0x00 "LUT97,LUT Register 97" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x388++0x03 line.long 0x00 "LUT98,LUT Register 98" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x38C++0x03 line.long 0x00 "LUT99,LUT Register 99" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x390++0x03 line.long 0x00 "LUT100,LUT Register 100" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x394++0x03 line.long 0x00 "LUT101,LUT Register 101" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x398++0x03 line.long 0x00 "LUT102,LUT Register 102" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x39C++0x03 line.long 0x00 "LUT103,LUT Register 103" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3A0++0x03 line.long 0x00 "LUT104,LUT Register 104" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3A4++0x03 line.long 0x00 "LUT105,LUT Register 105" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3A8++0x03 line.long 0x00 "LUT106,LUT Register 106" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3AC++0x03 line.long 0x00 "LUT107,LUT Register 107" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3B0++0x03 line.long 0x00 "LUT108,LUT Register 108" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3B4++0x03 line.long 0x00 "LUT109,LUT Register 109" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3B8++0x03 line.long 0x00 "LUT110,LUT Register 110" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3BC++0x03 line.long 0x00 "LUT111,LUT Register 111" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3C0++0x03 line.long 0x00 "LUT112,LUT Register 112" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3C4++0x03 line.long 0x00 "LUT113,LUT Register 113" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3C8++0x03 line.long 0x00 "LUT114,LUT Register 114" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3CC++0x03 line.long 0x00 "LUT115,LUT Register 115" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3D0++0x03 line.long 0x00 "LUT116,LUT Register 116" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3D4++0x03 line.long 0x00 "LUT117,LUT Register 117" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3D8++0x03 line.long 0x00 "LUT118,LUT Register 118" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3DC++0x03 line.long 0x00 "LUT119,LUT Register 119" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3E0++0x03 line.long 0x00 "LUT120,LUT Register 120" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3E4++0x03 line.long 0x00 "LUT121,LUT Register 121" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3E8++0x03 line.long 0x00 "LUT122,LUT Register 122" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3EC++0x03 line.long 0x00 "LUT123,LUT Register 123" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3F0++0x03 line.long 0x00 "LUT124,LUT Register 124" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3F4++0x03 line.long 0x00 "LUT125,LUT Register 125" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3F8++0x03 line.long 0x00 "LUT126,LUT Register 126" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" group.long 0x3FC++0x03 line.long 0x00 "LUT127,LUT Register 127" bitfld.long 0x00 26.--31. " OPCODE1 ,OPCODE1" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 24.--25. " NUM_PADS1 ,NUM_PADS1" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 16.--23. 1. " OPERAND1 ,OPERAND1" newline bitfld.long 0x00 10.--15. " OPCODE0 ,OPCODE0" "STOP,CMD_SDR,RADDR_SDR,CADDR_SDR,MODE1_SDR,MODE2_SDR,MODE4_SDR,MODE8_SDR,WRITE_SDR,READ_SDR,LEARN_SDR,DATSZ_SDR,DUMMY_SDR,DUMMY_RWDS_SDR,,,,,,,,,,,,,,,,,,JMP_ON_CS,,CMD_DDR,RADDR_DDR,CADDR_DDR,MODE1_DDR,MODE2_DDR,MODE4_DDR,MODE8_DDR,WRITE_DDR,READ_DDR,LEARN_DDR,DATSZ_DDR,DUMMY_DDR,DUMMY_RWDS_DDR,?..." bitfld.long 0x00 8.--9. " NUM_PADS0 ,NUM_PADS0" "Single,Dual,Quad,Octal" hexmask.long.byte 0x00 0.--7. 1. " OPERAND0 ,OPERAND0" tree.end width 0x0B tree.end tree.open "FTM (FlexTimer Module)" tree "FlexTimer 1" base ad:0x02800000 width 10. if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x00++0x03 line.long 0x00 "SC,Status And Control Register" rbitfld.long 0x00 7. " TOF ,Timer overflow flag" "No overflow,Overflow" bitfld.long 0x00 6. " TOIE ,Timer overflow interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " CPWMS ,Center-aligned PWM select" "Up,Up-Down" newline bitfld.long 0x00 3.--4. " CLKS ,Clock source selection" "None,System,Fixed frequency,?..." bitfld.long 0x00 0.--2. " PS ,Prescale factor selection" "/1,/2,/4,/8,/16,/32,/64,/128" else group.long 0x00++0x03 line.long 0x00 "SC,Status And Control Register" rbitfld.long 0x00 7. " TOF ,Timer overflow flag" "No overflow,Overflow" bitfld.long 0x00 6. " TOIE ,Timer overflow interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " CPWMS ,Center-aligned PWM select" "Up,Up-Down" newline rbitfld.long 0x00 3.--4. " CLKS ,Clock source selection" "None,System,Fixed frequency,?..." rbitfld.long 0x00 0.--2. " PS ,Prescale factor selection" "/1,/2,/4,/8,/16,/32,/64,/128" endif group.long 0x04++0x07 line.long 0x00 "CNT,Counter Register" hexmask.long.word 0x00 0.--15. 1. " COUNT ,Counter value" line.long 0x04 "MOD,Modulo Register" hexmask.long.word 0x04 0.--15. 1. " MOD ,Modulo value" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0xC++0x03 line.long 0x00 "C0SC,Channel 0 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0xC++0x03 line.long 0x00 "C0SC,Channel 0 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0xC)++0x03 line.long 0x00 "C0V,Channel 0 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x14++0x03 line.long 0x00 "C1SC,Channel 1 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x14++0x03 line.long 0x00 "C1SC,Channel 1 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x14)++0x03 line.long 0x00 "C1V,Channel 1 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x1C++0x03 line.long 0x00 "C2SC,Channel 2 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x1C++0x03 line.long 0x00 "C2SC,Channel 2 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x1C)++0x03 line.long 0x00 "C2V,Channel 2 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x24++0x03 line.long 0x00 "C3SC,Channel 3 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x24++0x03 line.long 0x00 "C3SC,Channel 3 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x24)++0x03 line.long 0x00 "C3V,Channel 3 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x2C++0x03 line.long 0x00 "C4SC,Channel 4 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x2C++0x03 line.long 0x00 "C4SC,Channel 4 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x2C)++0x03 line.long 0x00 "C4V,Channel 4 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x34++0x03 line.long 0x00 "C5SC,Channel 5 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x34++0x03 line.long 0x00 "C5SC,Channel 5 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x34)++0x03 line.long 0x00 "C5V,Channel 5 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x3C++0x03 line.long 0x00 "C6SC,Channel 6 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x3C++0x03 line.long 0x00 "C6SC,Channel 6 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x3C)++0x03 line.long 0x00 "C6V,Channel 6 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x44++0x03 line.long 0x00 "C7SC,Channel 7 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x44++0x03 line.long 0x00 "C7SC,Channel 7 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x44)++0x03 line.long 0x00 "C7V,Channel 7 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02800000+0x54))&0x1)==0x1) group.long 0x4C++0x03 line.long 0x00 "CNTIN,Counter Initial Value Register" hexmask.long.word 0x00 0.--15. 1. " INIT ,Initial value of the FTM counter" rgroup.long 0x50++0x03 line.long 0x00 "STATUS,Capture And Compare Status Register" bitfld.long 0x00 7. " CH7F ,Channel 7 flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CH6F ,Channel 6 flag" "Not occurred,Occurred" bitfld.long 0x00 5. " CH5F ,Channel 5 flag" "Not occurred,Occurred" newline bitfld.long 0x00 4. " CH4F ,Channel 4 flag" "Not occurred,Occurred" bitfld.long 0x00 3. " CH3F ,Channel 3 flag" "Not occurred,Occurred" bitfld.long 0x00 2. " CH2F ,Channel 2 flag" "Not occurred,Occurred" newline bitfld.long 0x00 1. " CH1F ,Channel 1 flag" "Not occurred,Occurred" bitfld.long 0x00 0. " CH0F ,Channel 0 flag" "Not occurred,Occurred" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x54++0x03 line.long 0x00 "MODE,Features Mode Selection Register" bitfld.long 0x00 4. " CAPTEST ,Capture test mode enable" "Disabled,Enabled" bitfld.long 0x00 3. " PWMSYNC ,PWM synchronization mode" "No restrictions,SW: MOD CnV / HW: OUTMASK FTM" bitfld.long 0x00 2. " WPDIS ,Write protection disable" "No,Yes" newline bitfld.long 0x00 1. " INIT ,Initialize the channels output" "No effect,Initialize" bitfld.long 0x00 0. " FTMEN ,FTM enable" "Disabled,Enabled" else group.long 0x54++0x03 line.long 0x00 "MODE,Features Mode Selection Register" rbitfld.long 0x00 4. " CAPTEST ,Capture test mode enable" "Disabled,Enabled" bitfld.long 0x00 3. " PWMSYNC ,PWM synchronization mode" "No restrictions,SW: MOD CnV / HW: OUTMASK FTM" bitfld.long 0x00 2. " WPDIS ,Write protection disable" "No,Yes" newline bitfld.long 0x00 1. " INIT ,Initialize the channels output" "No effect,Initialize" rbitfld.long 0x00 0. " FTMEN ,FTM enable" "Disabled,Enabled" endif group.long 0x58++0x0B line.long 0x00 "SYNC,Synchronization Register" bitfld.long 0x00 7. " SWSYNC ,PWM synchronization software trigger" "Not selected,Selected" bitfld.long 0x00 6. " TRIG2 ,PWM synchronization hardware trigger 2" "Disabled,Enabled" bitfld.long 0x00 5. " TRIG1 ,PWM synchronization hardware trigger 1" "Disabled,Enabled" newline bitfld.long 0x00 4. " TRIG0 ,PWM Synchronization Hardware Trigger 0" "Disabled,Enabled" bitfld.long 0x00 3. " SYNCHOM ,Output mask synchronization" "All rising edges of clk,PWM sync only" bitfld.long 0x00 2. " REINIT ,FTM counter reinitialization by synchronization" "Not reinitialized,Reinitialized" newline bitfld.long 0x00 1. " CNTMAX ,Maximum loading point enable" "Disabled,Enabled" bitfld.long 0x00 0. " CNTMIN ,Minimum loading point enable" "Disabled,Enabled" line.long 0x04 "OUTINIT,Initial State For Channels Output Register" bitfld.long 0x04 7. " CH7OI ,Channel 7 output initialization value" "0,1" bitfld.long 0x04 6. " CH6OI ,Channel 6 output initialization value" "0,1" bitfld.long 0x04 5. " CH5OI ,Channel 5 output initialization value" "0,1" newline bitfld.long 0x04 4. " CH4OI ,Channel 4 output initialization value" "0,1" bitfld.long 0x04 3. " CH3OI ,Channel 3 output initialization value" "0,1" bitfld.long 0x04 2. " CH2OI ,Channel 2 output initialization value" "0,1" newline bitfld.long 0x04 1. " CH1OI ,Channel 1 output initialization value" "0,1" bitfld.long 0x04 0. " CH0OI ,Channel 0 output initialization value" "0,1" line.long 0x08 "OUTMASK,Output Mask Register" bitfld.long 0x08 7. " CH7OM ,Channel 7 output mask" "Not masked,Masked" bitfld.long 0x08 6. " CH6OM ,Channel 6 output mask" "Not masked,Masked" bitfld.long 0x08 5. " CH5OM ,Channel 5 output mask" "Not masked,Masked" newline bitfld.long 0x08 4. " CH4OM ,Channel 4 output mask" "Not masked,Masked" bitfld.long 0x08 3. " CH3OM ,Channel 3 output mask" "Not masked,Masked" bitfld.long 0x08 2. " CH2OM ,Channel 2 output mask" "Not masked,Masked" newline bitfld.long 0x08 1. " CH1OM ,Channel 1 output mask" "Not masked,Masked" bitfld.long 0x08 0. " CH0OM ,Channel 0 output mask" "Not masked,Masked" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x64++0x03 line.long 0x00 "COMBINE,Function For Linked Channels Register" bitfld.long 0x00 29. " SYNCEN3 ,Enables PWM synchronization of registers C6V and C7V" "Disabled,Enabled" bitfld.long 0x00 28. " DTEN3 ,Enables the deadtime insertion in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x00 27. " DECAP3 ,Dual edge capture mode for channels 6 and 7" "Inactive,Active" newline bitfld.long 0x00 26. " DECAPEN3 ,Enables the Dual Edge Capture mode in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x00 25. " COMP3 ,Enables complementary mode for combined channels 6 and 7" "The same,Complement" bitfld.long 0x00 24. " COMBINE3 ,Enables the combine feature for channels 6 and 7" "Independent,Combined" newline bitfld.long 0x00 21. " SYNCEN2 ,Enables PWM synchronization of registers C4V and C5V" "Disabled,Enabled" bitfld.long 0x00 20. " DTEN2 ,Enables the deadtime insertion in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x00 19. " DECAP2 ,Dual edge capture mode for channels 4 and 5" "Inactive,Active" newline bitfld.long 0x00 18. " DECAPEN2 ,Enables the Dual Edge Capture mode in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x00 17. " COMP2 ,Enables complementary mode for combined channels 4 and 5" "The same,Complement" bitfld.long 0x00 16. " COMBINE2 ,Enables the combine feature for channels 4 and 5" "Independent,Combined" newline bitfld.long 0x00 13. " SYNCEN1 ,Enables PWM synchronization of registers C2V and C3V" "Disabled,Enabled" bitfld.long 0x00 12. " DTEN1 ,Enables the deadtime insertion in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x00 11. " DECAP1 ,Dual edge capture mode for channels 2 and 3" "Inactive,Active" newline bitfld.long 0x00 10. " DECAPEN1 ,Enables the Dual Edge Capture mode in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x00 9. " COMP1 ,Enables complementary mode for combined channels 2 and 3" "The same,Complement" bitfld.long 0x00 8. " COMBINE1 ,Enables the combine feature for channels 2 and 3" "Independent,Combined" newline bitfld.long 0x00 5. " SYNCEN0 ,Enables PWM synchronization of registers C0V and C1V" "Disabled,Enabled" bitfld.long 0x00 4. " DTEN0 ,Enables the deadtime insertion in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x00 3. " DECAP0 ,Dual edge capture mode for channels 0 and 1" "Inactive,Active" newline bitfld.long 0x00 2. " DECAPEN0 ,Enables the Dual Edge Capture mode in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x00 1. " COMP0 ,Enables complementary mode for combined channels 0 and 1" "The same,Complement" bitfld.long 0x00 0. " COMBINE0 ,Enables the combine feature for channels 0 and 1" "Independent,Combined" else group.long 0x64++0x03 line.long 0x00 "COMBINE,Function For Linked Channels Register" bitfld.long 0x00 29. " SYNCEN3 ,Enables PWM synchronization of registers C6V and C7V" "Disabled,Enabled" rbitfld.long 0x00 28. " DTEN3 ,Enables the deadtime insertion in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x00 27. " DECAP3 ,Dual edge capture mode for channels 6 and 7" "Inactive,Active" newline rbitfld.long 0x00 26. " DECAPEN3 ,Enables the Dual Edge Capture mode in the channels 6 and 7" "Disabled,Enabled" rbitfld.long 0x00 25. " COMP3 ,Enables complementary mode for combined channels 6 and 7" "The same,Complement" rbitfld.long 0x00 24. " COMBINE3 ,Enables the combine feature for channels 6 and 7" "Independent,Combined" newline bitfld.long 0x00 21. " SYNCEN2 ,Enables PWM synchronization of registers C4V and C5V" "Disabled,Enabled" rbitfld.long 0x00 20. " DTEN2 ,Enables the deadtime insertion in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x00 19. " DECAP2 ,Dual edge capture mode for channels 4 and 5" "Inactive,Active" newline rbitfld.long 0x00 18. " DECAPEN2 ,Enables the Dual Edge Capture mode in the channels 4 and 5" "Disabled,Enabled" rbitfld.long 0x00 17. " COMP2 ,Enables complementary mode for combined channels 4 and 5" "The same,Complement" rbitfld.long 0x00 16. " COMBINE2 ,Enables the combine feature for channels 4 and 5" "Independent,Combined" newline bitfld.long 0x00 13. " SYNCEN1 ,Enables PWM synchronization of registers C2V and C3V" "Disabled,Enabled" rbitfld.long 0x00 12. " DTEN1 ,Enables the deadtime insertion in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x00 11. " DECAP1 ,Dual edge capture mode for channels 2 and 3" "Inactive,Active" newline rbitfld.long 0x00 10. " DECAPEN1 ,Enables the Dual Edge Capture mode in the channels 2 and 3" "Disabled,Enabled" rbitfld.long 0x00 9. " COMP1 ,Enables complementary mode for combined channels 2 and 3" "The same,Complement" rbitfld.long 0x00 8. " COMBINE1 ,Enables the combine feature for channels 2 and 3" "Independent,Combined" newline bitfld.long 0x00 5. " SYNCEN0 ,Enables PWM synchronization of registers C0V and C1V" "Disabled,Enabled" rbitfld.long 0x00 4. " DTEN0 ,Enables the deadtime insertion in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x00 3. " DECAP0 ,Dual edge capture mode for channels 0 and 1" "Inactive,Active" newline rbitfld.long 0x00 2. " DECAPEN0 ,Enables the Dual Edge Capture mode in the channels 0 and 1" "Disabled,Enabled" rbitfld.long 0x00 1. " COMP0 ,Enables complementary mode for combined channels 0 and 1" "The same,Complement" rbitfld.long 0x00 0. " COMBINE0 ,Enables the combine feature for channels 0 and 1" "Independent,Combined" endif if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x68++0x03 line.long 0x00 "DEADTIME,Deadtime Insertion Control Register" bitfld.long 0x00 6.--7. " DTPS ,Deadtime prescaler value" "/1,/1,/4,/16" bitfld.long 0x00 0.--5. " DTVAL ,Deadtime value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else rgroup.long 0x68++0x03 line.long 0x00 "DEADTIME,Deadtime Insertion Control Register" bitfld.long 0x00 6.--7. " DTPS ,Deadtime prescaler value" "/1,/1,/4,/16" bitfld.long 0x00 0.--5. " DTVAL ,Deadtime value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long 0x6C++0x03 line.long 0x00 "EXTTRIG,FTM External Trigger Register" rbitfld.long 0x00 7. " TRIGF ,Channel trigger flag" "Not triggered,Triggered" bitfld.long 0x00 6. " INITTRIGEN ,Initialization trigger enable" "Disabled,Enabled" bitfld.long 0x00 5. " CH1TRIG ,Channel 1 trigger enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " CH0TRIG ,Channel 0 trigger enable" "Disabled,Enabled" bitfld.long 0x00 3. " CH5TRIG ,Channel 5 trigger enable" "Disabled,Enabled" bitfld.long 0x00 2. " CH4TRIG ,Channel 4 trigger enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " CH3TRIG ,Channel 3 trigger enable" "Disabled,Enabled" bitfld.long 0x00 0. " CH2TRIG ,Channel 2 trigger enable" "Disabled,Enabled" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x70++0x03 line.long 0x00 "POL,Channels Polarity Register" bitfld.long 0x00 7. " POL[7] ,Channel 7 polarity" "Active high,Active low" bitfld.long 0x00 6. " [6] ,Channel 6 polarity" "Active high,Active low" bitfld.long 0x00 5. " [5] ,Channel 5 polarity" "Active high,Active low" newline bitfld.long 0x00 4. " [4] ,Channel 4 polarity" "Active high,Active low" bitfld.long 0x00 3. " [3] ,Channel 3 polarity" "Active high,Active low" bitfld.long 0x00 2. " [2] ,Channel 2 polarity" "Active high,Active low" newline bitfld.long 0x00 1. " [1] ,Channel 1 polarity" "Active high,Active low" bitfld.long 0x00 0. " [0] ,Channel 0 polarity" "Active high,Active low" else rgroup.long 0x70++0x03 line.long 0x00 "POL,Channels Polarity Register" bitfld.long 0x00 7. " POL[7] ,Channel 7 polarity" "Active high,Active low" bitfld.long 0x00 6. " [6] ,Channel 6 polarity" "Active high,Active low" bitfld.long 0x00 5. " [5] ,Channel 5 polarity" "Active high,Active low" newline bitfld.long 0x00 4. " [4] ,Channel 4 polarity" "Active high,Active low" bitfld.long 0x00 3. " [3] ,Channel 3 polarity" "Active high,Active low" bitfld.long 0x00 2. " [2] ,Channel 2 polarity" "Active high,Active low" newline bitfld.long 0x00 1. " [1] ,Channel 1 polarity" "Active high,Active low" bitfld.long 0x00 0. " [0] ,Channel 0 polarity" "Active high,Active low" endif group.long 0x74++0x07 line.long 0x00 "FMS,Fault Mode Status" bitfld.long 0x00 6. " WPEN ,Write protection enable" "Disabled,Enabled" line.long 0x04 "FILTER,Input Capture Filter Control Register" bitfld.long 0x04 12.--15. " CH3FVAL ,Channel 3 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. " CH2FVAL ,Channel 2 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 4.--7. " CH1FVAL ,Channel 1 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. " CH0FVAL ,Channel 0 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x80++0x03 line.long 0x00 "QDCTRL,Quadrature Decoder Control And Status" bitfld.long 0x00 3. " QUADMOE ,Quadrature decoder mode" "Phase A/B,Count/Direction" bitfld.long 0x00 0. " QUADEN ,Quadrature decoder mode enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "QDCTRL,Quadrature Decoder Control And Status" bitfld.long 0x00 3. " QUADMOE ,Quadrature decoder mode" "Phase A/B,Count/Direction" rbitfld.long 0x00 0. " QUADEN ,Quadrature decoder mode enable" "Disabled,Enabled" endif group.long 0x84++0x03 line.long 0x00 "CONF,Configuration Register" bitfld.long 0x00 10. " GTBEOUT ,Global time base signal generation to other FTMs enable" "Disabled,Enabled" bitfld.long 0x00 9. " GTBEEN ,FTM use of external global time base signal from other FTM enable" "Disabled,Enabled" bitfld.long 0x00 6.--7. " BDMMODE ,FTM behavior in BDM mode" "Stopped/Can be set/Functional/Bypassed,Stopped/Is not set/Forced/Bypassed,Stopped/Is not set/Frozen/Bypassed,Functional/Can be set/Functional/Functional" newline bitfld.long 0x00 0.--4. " NUMTOF ,Ratio between the number of counter overflows to the number of times the TOF bit is set" "Each,Not for next 1,Not for next 2,Not for next 3,Not for next 4,Not for next 5,Not for next 6,Not for next 7,Not for next 8,Not for next 9,Not for next 10,Not for next 11,Not for next 12,Not for next 13,Not for next 14,Not for next 15,Not for next 16,Not for next 17,Not for next 18,Not for next 19,Not for next 20,Not for next 21,Not for next 22,Not for next 23,Not for next 24,Not for next 25,Not for next 26,Not for next 27,Not for next 28,Not for next 29,Not for next 30,Not for next 31" group.long 0x8C++0x0F line.long 0x00 "SYNCONF,Synchronization Configuration Register" bitfld.long 0x00 20. " HWSOC ,Software output control synchronization activation" "Not activated,Activated" bitfld.long 0x00 19. " HWINVC ,Inverting control synchronization activation" "Not activated,Activated" bitfld.long 0x00 18. " HWOM ,Output mask synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 17. " HWWRBUF ,MOD CNTIN and CV registers activation" "Not activated,Activated" bitfld.long 0x00 16. " HWRSTCNT ,FTM counter synchronization activation" "Not activated,Activated" bitfld.long 0x00 12. " SWSOC ,Software output control synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 11. " SWINVC ,Inverting control synchronization activation" "Not activated,Activated" bitfld.long 0x00 10. " SWOM ,Output mask synchronization activation" "Not activated,Activated" bitfld.long 0x00 9. " SWWRBUF ,MOD CNTIN and CV registers synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 8. " SWRSTCNT ,FTM counter synchronization activation" "Not activated,Activated" bitfld.long 0x00 7. " SYNCMODE ,PWM synchronization mode" "Legacy,Enhanced" bitfld.long 0x00 5. " SWOC ,SWOCTRL register synchronization" "Rising edges,PWM sync" newline bitfld.long 0x00 4. " INVC ,INVCTRL register synchronization" "Rising edges,PWM sync" bitfld.long 0x00 2. " CNTINC ,CNTIN Register Synchronization" "Rising edges,PWM sync" bitfld.long 0x00 0. " HWTRIGMODE ,Hardware trigger mode" "Clear,Doesn't clear TRIG" line.long 0x04 "INVCTRL,FTM Inverting Control Register" bitfld.long 0x04 3. " INV3EN ,Pair channels 3 inverting enable" "Disabled,Enabled" bitfld.long 0x04 2. " INV2EN ,Pair channels 2 inverting enable" "Disabled,Enabled" bitfld.long 0x04 1. " INV1EN ,Pair channels 1 inverting enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " INV0EN ,Pair channels 0 inverting enable" "Disabled,Enabled" line.long 0x08 "SWOCTRL,FTM Software Output Control Register" bitfld.long 0x08 15. " CH7OCV ,Channel 7 software output control value" "0 forced,1 forced" bitfld.long 0x08 14. " CH6OCV ,Channel 6 software output control value" "0 forced,1 forced" bitfld.long 0x08 13. " CH5OCV ,Channel 5 software output control value" "0 forced,1 forced" newline bitfld.long 0x08 12. " CH4OCV ,Channel 4 software output control value" "0 forced,1 forced" bitfld.long 0x08 11. " CH3OCV ,Channel 3 software output control value" "0 forced,1 forced" bitfld.long 0x08 10. " CH2OCV ,Channel 2 software output control value" "0 forced,1 forced" newline bitfld.long 0x08 9. " CH1OCV ,Channel 1 software output control value" "0 forced,1 forced" bitfld.long 0x08 8. " CH0OCV ,Channel 0 software output control value" "0 forced,1 forced" bitfld.long 0x08 7. " CH7OC ,Channel 7 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 6. " CH6OC ,Channel 6 software output control enable" "Disabled,Enabled" bitfld.long 0x08 5. " CH5OC ,Channel 5 software output control enable" "Disabled,Enabled" bitfld.long 0x08 4. " CH4OC ,Channel 4 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 3. " CH3OC ,Channel 3 software output control enable" "Disabled,Enabled" bitfld.long 0x08 2. " CH2OC ,Channel 2 software output control enable" "Disabled,Enabled" bitfld.long 0x08 1. " CH1OC ,Channel 1 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 0. " CH0OC ,Channel 0 software output control enable" "Disabled,Enabled" line.long 0x0C "PWMLOAD,FTM PWM Load Register" bitfld.long 0x0C 9. " LDOK ,Load enable" "Disabled,Enabled" bitfld.long 0x0C 7. " CH7SEL ,Includes channel 7 in matching process" "Not included,Included" bitfld.long 0x0C 6. " CH6SEL ,Includes channel 6 in matching process" "Not included,Included" newline bitfld.long 0x0C 5. " CH5SEL ,Includes channel 5 in matching process" "Not included,Included" bitfld.long 0x0C 4. " CH4SEL ,Includes channel 4 in matching process" "Not included,Included" bitfld.long 0x0C 3. " CH3SEL ,Includes channel 3 in matching process" "Not included,Included" newline bitfld.long 0x0C 2. " CH2SEL ,Includes channel 2 in matching process" "Not included,Included" bitfld.long 0x0C 1. " CH1SEL ,Includes channel 1 in matching process" "Not included,Included" bitfld.long 0x0C 0. " CH0SEL ,Includes channel 0 in matching process" "Not included,Included" else rgroup.long 0x4C++0x07 line.long 0x00 "CNTIN,Counter Initial Value Register" hexmask.long.word 0x00 0.--15. 1. " INIT ,Initial value of the FTM counter" line.long 0x04 "STATUS,Capture And Compare Status Register" bitfld.long 0x04 7. " CH7F ,Channel 7 flag" "Not occurred,Occurred" bitfld.long 0x04 6. " CH6F ,Channel 6 flag" "Not occurred,Occurred" bitfld.long 0x04 5. " CH5F ,Channel 5 flag" "Not occurred,Occurred" newline bitfld.long 0x04 4. " CH4F ,Channel 4 flag" "Not occurred,Occurred" bitfld.long 0x04 3. " CH3F ,Channel 3 flag" "Not occurred,Occurred" bitfld.long 0x04 2. " CH2F ,Channel 2 flag" "Not occurred,Occurred" newline bitfld.long 0x04 1. " CH1F ,Channel 1 flag" "Not occurred,Occurred" bitfld.long 0x04 0. " CH0F ,Channel 0 flag" "Not occurred,Occurred" if (((per.l(ad:0x02800000+0x54))&0x04)==0x04) group.long 0x54++0x03 line.long 0x00 "MODE,Features Mode Selection Register" rbitfld.long 0x00 4. " CAPTEST ,Capture test mode enable" "Disabled,Enabled" rbitfld.long 0x00 3. " PWMSYNC ,PWM synchronization mode" "No restrictions,SW: MOD CnV / HW: OUTMASK FTM" bitfld.long 0x00 2. " WPDIS ,Write protection disable" "No,Yes" newline rbitfld.long 0x00 1. " INIT ,Initialize the channels output" "No effect,Initialize" bitfld.long 0x00 0. " FTMEN ,FTM enable" "Disabled,Enabled" else group.long 0x54++0x03 line.long 0x00 "MODE,Features Mode Selection Register" rbitfld.long 0x00 4. " CAPTEST ,Capture test mode enable" "Disabled,Enabled" rbitfld.long 0x00 3. " PWMSYNC ,PWM synchronization mode" "No restrictions,SW: MOD CnV / HW: OUTMASK FTM" bitfld.long 0x00 2. " WPDIS ,Write protection disable" "No,Yes" newline rbitfld.long 0x00 1. " INIT ,Initialize the channels output" "No effect,Initialize" rbitfld.long 0x00 0. " FTMEN ,FTM enable" "Disabled,Enabled" endif rgroup.long 0x58++0x1B line.long 0x00 "SYNC,Synchronization Register" bitfld.long 0x00 7. " SWSYNC ,PWM synchronization software trigger" "Not selected,Selected" bitfld.long 0x00 6. " TRIG2 ,PWM synchronization hardware trigger 2" "Disabled,Enabled" bitfld.long 0x00 5. " TRIG1 ,PWM synchronization hardware trigger 1" "Disabled,Enabled" newline bitfld.long 0x00 4. " TRIG0 ,PWM Synchronization Hardware Trigger 0" "Disabled,Enabled" bitfld.long 0x00 3. " SYNCHOM ,Output mask synchronization" "All rising edges of clk,PWM sync only" bitfld.long 0x00 2. " REINIT ,FTM counter reinitialization by synchronization" "Not reinitialized,Reinitialized" newline bitfld.long 0x00 1. " CNTMAX ,Maximum loading point enable" "Disabled,Enabled" bitfld.long 0x00 0. " CNTMIN ,Minimum loading point enable" "Disabled,Enabled" line.long 0x04 "OUTINIT,Initial State For Channels Output Register" bitfld.long 0x04 7. " CH7OI ,Channel 7 output initialization value" "0,1" bitfld.long 0x04 6. " CH6OI ,Channel 6 output initialization value" "0,1" bitfld.long 0x04 5. " CH5OI ,Channel 5 output initialization value" "0,1" newline bitfld.long 0x04 4. " CH4OI ,Channel 4 output initialization value" "0,1" bitfld.long 0x04 3. " CH3OI ,Channel 3 output initialization value" "0,1" bitfld.long 0x04 2. " CH2OI ,Channel 2 output initialization value" "0,1" newline bitfld.long 0x04 1. " CH1OI ,Channel 1 output initialization value" "0,1" bitfld.long 0x04 0. " CH0OI ,Channel 0 output initialization value" "0,1" line.long 0x08 "OUTMASK,Output Mask Register" bitfld.long 0x08 7. " CH7OM ,Channel 7 output mask" "Not masked,Masked" bitfld.long 0x08 6. " CH6OM ,Channel 6 output mask" "Not masked,Masked" bitfld.long 0x08 5. " CH5OM ,Channel 5 output mask" "Not masked,Masked" newline bitfld.long 0x08 4. " CH4OM ,Channel 4 output mask" "Not masked,Masked" bitfld.long 0x08 3. " CH3OM ,Channel 3 output mask" "Not masked,Masked" bitfld.long 0x08 2. " CH2OM ,Channel 2 output mask" "Not masked,Masked" newline bitfld.long 0x08 1. " CH1OM ,Channel 1 output mask" "Not masked,Masked" bitfld.long 0x08 0. " CH0OM ,Channel 0 output mask" "Not masked,Masked" line.long 0x0C "COMBINE,Function For Linked Channels Register" bitfld.long 0x0C 29. " SYNCEN3 ,Enables PWM synchronization of registers C6V and C7V" "Disabled,Enabled" bitfld.long 0x0C 28. " DTEN3 ,Enables the deadtime insertion in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x0C 27. " DECAP3 ,Dual edge capture mode for channels 6 and 7" "Inactive,Active" newline bitfld.long 0x0C 26. " DECAPEN3 ,Enables the Dual Edge Capture mode in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x0C 25. " COMP3 ,Enables complementary mode for combined channels 6 and 7" "The same,Complement" bitfld.long 0x0C 24. " COMBINE3 ,Enables the combine feature for channels 6 and 7" "Independent,Combined" newline bitfld.long 0x0C 21. " SYNCEN2 ,Enables PWM synchronization of registers C4V and C5V" "Disabled,Enabled" bitfld.long 0x0C 20. " DTEN2 ,Enables the deadtime insertion in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x0C 19. " DECAP2 ,Dual edge capture mode for channels 4 and 5" "Inactive,Active" newline bitfld.long 0x0C 18. " DECAPEN2 ,Enables the Dual Edge Capture mode in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x0C 17. " COMP2 ,Enables complementary mode for combined channels 4 and 5" "The same,Complement" bitfld.long 0x0C 16. " COMBINE2 ,Enables the combine feature for channels 4 and 5" "Independent,Combined" newline bitfld.long 0x0C 12. " SYNCEN1 ,Enables PWM synchronization of registers C2V and C3V" "Disabled,Enabled" bitfld.long 0x0C 11. " DTEN1 ,Enables the deadtime insertion in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x0C 10. " DECAP1 ,Dual edge capture mode for channels 2 and 3" "Inactive,Active" newline bitfld.long 0x0C 9. " DECAPEN1 ,Enables the Dual Edge Capture mode in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x0C 8. " COMP1 ,Enables complementary mode for combined channels 2 and 3" "The same,Complement" bitfld.long 0x0C 7. " COMBINE1 ,Enables the combine feature for channels 2 and 3" "Independent,Combined" newline bitfld.long 0x0C 5. " SYNCEN0 ,Enables PWM synchronization of registers C0V and C1V" "Disabled,Enabled" bitfld.long 0x0C 4. " DTEN0 ,Enables the deadtime insertion in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x0C 3. " DECAP0 ,Dual edge capture mode for channels 0 and 1" "Inactive,Active" newline bitfld.long 0x0C 2. " DECAPEN0 ,Enables the Dual Edge Capture mode in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x0C 1. " COMP0 ,Enables complementary mode for combined channels 0 and 1" "The same,Complement" bitfld.long 0x0C 0. " COMBINE0 ,Enables the combine feature for channels 0 and 1" "Independent,Combined" line.long 0x10 "DEADTIME,Deadtime Insertion Control Register" bitfld.long 0x10 6.--7. " DTPS ,Deadtime prescaler value" "/1,/1,/4,/16" bitfld.long 0x10 0.--5. " DTVAL ,Deadtime value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x14 "EXTTRIG,FTM External Trigger Register" rbitfld.long 0x14 7. " TRIGF ,Channel trigger flag" "Not triggered,Triggered" bitfld.long 0x14 6. " INITTRIGEN ,Initialization trigger enable" "Disabled,Enabled" bitfld.long 0x14 5. " CH1TRIG ,Channel 1 trigger enable" "Disabled,Enabled" newline bitfld.long 0x14 4. " CH0TRIG ,Channel 0 Trigger Enable Register" "Disabled,Enabled" bitfld.long 0x14 3. " CH5TRIG ,Channel 5 trigger enable" "Disabled,Enabled" bitfld.long 0x14 2. " CH4TRIG ,Channel 4 trigger enable" "Disabled,Enabled" newline bitfld.long 0x14 1. " CH3TRIG ,Channel 3 Trigger Enable" "Disabled,Enabled" bitfld.long 0x14 0. " CH2TRIG ,Channel 2 Trigger Enable" "Disabled,Enabled" line.long 0x18 "POL,Channels Polarity Register" bitfld.long 0x18 7. " POL7 ,Channel 7 polarity" "Active high,Active low" bitfld.long 0x18 6. " POL6 ,Channel 6 polarity" "Active high,Active low" bitfld.long 0x18 5. " POL5 ,Channel 5 polarity" "Active high,Active low" newline bitfld.long 0x18 4. " POL4 ,Channel 4 polarity" "Active high,Active low" bitfld.long 0x18 3. " POL3 ,Channel 3 polarity" "Active high,Active low" bitfld.long 0x18 2. " POL2 ,Channel 2 polarity" "Active high,Active low" newline bitfld.long 0x18 1. " POL1 ,Channel 1 polarity" "Active high,Active low" bitfld.long 0x18 0. " POL0 ,Channel 0 polarity" "Active high,Active low" rgroup.long 0x74++0x07 line.long 0x00 "FMS,Fault Mode Status" bitfld.long 0x00 6. " WPEN ,Write Protection Enable" "Disabled,Enabled" line.long 0x04 "FILTER,Input Capture Filter Control Register" bitfld.long 0x04 12.--15. " CH3FVAL ,Channel 3 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. " CH2FVAL ,Channel 2 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 4.--7. " CH1FVAL ,Channel 1 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. " CH0FVAL ,Channel 0 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x84++0x03 line.long 0x00 "CONF,Configuration Register" bitfld.long 0x00 10. " GTBEOUT ,Global time base signal generation to other FTMs enable" "Disabled,Enabled" bitfld.long 0x00 9. " GTBEEN ,FTM use of external global time base signal from other FTM enable" "Disabled,Enabled" bitfld.long 0x00 6.--7. " BDMMODE ,FTM behavior in BDM mode" "Stopped/Can be set/Functional/Bypassed,Stopped/Is not set/Forced/Bypassed,Stopped/Is not set/Frozen/Bypassed,Functional/Can be set/Functional/Functional" newline bitfld.long 0x00 0.--4. " NUMTOF ,Ratio between the number of counter overflows to the number of times the TOF bit is set" "Each,Not for next 1,Not for next 2,Not for next 3,Not for next 4,Not for next 5,Not for next 6,Not for next 7,Not for next 8,Not for next 9,Not for next 10,Not for next 11,Not for next 12,Not for next 13,Not for next 14,Not for next 15,Not for next 16,Not for next 17,Not for next 18,Not for next 19,Not for next 20,Not for next 21,Not for next 22,Not for next 23,Not for next 24,Not for next 25,Not for next 26,Not for next 27,Not for next 28,Not for next 29,Not for next 30,Not for next 31" rgroup.long 0x8C++0x0F line.long 0x00 "SYNCONF,Synchronization Configuration Register" bitfld.long 0x00 20. " HWSOC ,Software output control synchronization activation" "Not activated,Activated" bitfld.long 0x00 19. " HWINVC ,Inverting control synchronization activation" "Not activated,Activated" bitfld.long 0x00 18. " HWOM ,Output mask synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 17. " HWWRBUF ,MOD CNTIN and CV registers activation" "Not activated,Activated" bitfld.long 0x00 16. " HWRSTCNT ,FTM counter synchronization activation" "Not activated,Activated" bitfld.long 0x00 12. " SWSOC ,Software output control synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 11. " SWINVC ,Inverting control synchronization activation" "Not activated,Activated" bitfld.long 0x00 10. " SWOM ,Output mask synchronization activation" "Not activated,Activated" bitfld.long 0x00 9. " SWWRBUF ,MOD CNTIN and CV registers synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 8. " SWRSTCNT ,FTM counter synchronization activation" "Not activated,Activated" bitfld.long 0x00 7. " SYNCMODE ,PWM synchronization mode" "Legacy PWM,Enhanced PWM" bitfld.long 0x00 5. " SWOC ,SWOCTRL register synchronization" "Rising edges,PWM sync" newline bitfld.long 0x00 4. " INVC ,INVCTRL register synchronization" "Rising edges,PWM sync" bitfld.long 0x00 2. " CNTINC ,CNTIN Register Synchronization" "Rising edges,PWM sync" bitfld.long 0x00 0. " HWTRIGMODE ,Hardware trigger mode" "Clear,Doesn't clear TRIG" line.long 0x04 "INVCTRL,FTM Inverting Control Register" bitfld.long 0x04 3. " INV3EN ,Pair channels 3 inverting enable" "Disabled,Enabled" bitfld.long 0x04 2. " INV2EN ,Pair channels 2 inverting enable" "Disabled,Enabled" bitfld.long 0x04 1. " INV1EN ,Pair channels 1 inverting enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " INV0EN ,Pair channels 0 inverting enable" "Disabled,Enabled" line.long 0x08 "SWOCTRL,FTM Software Output Control Register" bitfld.long 0x08 15. " CH7OCV ,Channel 7 software output control value" "0 forced,1 forced" bitfld.long 0x08 14. " CH6OCV ,Channel 6 software output control value" "0 forced,1 forced" bitfld.long 0x08 13. " CH5OCV ,Channel 5 software output control value" "0 forced,1 forced" newline bitfld.long 0x08 12. " CH4OCV ,Channel 4 software output control value" "0 forced,1 forced" bitfld.long 0x08 11. " CH3OCV ,Channel 3 software output control value" "0 forced,1 forced" bitfld.long 0x08 10. " CH2OCV ,Channel 2 software output control value" "0 forced,1 forced" newline bitfld.long 0x08 9. " CH1OCV ,Channel 1 software output control value" "0 forced,1 forced" bitfld.long 0x08 8. " CH0OCV ,Channel 0 software output control value" "0 forced,1 forced" bitfld.long 0x08 7. " CH7OC ,Channel 7 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 6. " CH6OC ,Channel 6 software output control enable" "Disabled,Enabled" bitfld.long 0x08 5. " CH5OC ,Channel 5 software output control enable" "Disabled,Enabled" bitfld.long 0x08 4. " CH4OC ,Channel 4 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 3. " CH3OC ,Channel 3 software output control enable" "Disabled,Enabled" bitfld.long 0x08 2. " CH2OC ,Channel 2 software output control enable" "Disabled,Enabled" bitfld.long 0x08 1. " CH1OC ,Channel 1 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 0. " CH0OC ,Channel 0 software output control enable" "Disabled,Enabled" line.long 0x0C "PWMLOAD,FTM PWM Load Register" bitfld.long 0x0C 9. " LDOK ,Load enable" "Disabled,Enabled" bitfld.long 0x0C 7. " CH7SEL ,Includes channel 7 in matching process" "Not included,Included" bitfld.long 0x0C 6. " CH6SEL ,Includes channel 6 in matching process" "Not included,Included" newline bitfld.long 0x0C 5. " CH5SEL ,Includes channel 5 in matching process" "Not included,Included" bitfld.long 0x0C 4. " CH4SEL ,Includes channel 4 in matching process" "Not included,Included" bitfld.long 0x0C 3. " CH3SEL ,Includes channel 3 in matching process" "Not included,Included" newline bitfld.long 0x0C 2. " CH2SEL ,Includes channel 2 in matching process" "Not included,Included" bitfld.long 0x0C 1. " CH1SEL ,Includes channel 1 in matching process" "Not included,Included" bitfld.long 0x0C 0. " CH0SEL ,Includes channel 0 in matching process" "Not included,Included" endif width 0x0B tree.end tree "FlexTimer 2" base ad:0x02810000 width 10. if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x00++0x03 line.long 0x00 "SC,Status And Control Register" rbitfld.long 0x00 7. " TOF ,Timer overflow flag" "No overflow,Overflow" bitfld.long 0x00 6. " TOIE ,Timer overflow interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " CPWMS ,Center-aligned PWM select" "Up,Up-Down" newline bitfld.long 0x00 3.--4. " CLKS ,Clock source selection" "None,System,Fixed frequency,?..." bitfld.long 0x00 0.--2. " PS ,Prescale factor selection" "/1,/2,/4,/8,/16,/32,/64,/128" else group.long 0x00++0x03 line.long 0x00 "SC,Status And Control Register" rbitfld.long 0x00 7. " TOF ,Timer overflow flag" "No overflow,Overflow" bitfld.long 0x00 6. " TOIE ,Timer overflow interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " CPWMS ,Center-aligned PWM select" "Up,Up-Down" newline rbitfld.long 0x00 3.--4. " CLKS ,Clock source selection" "None,System,Fixed frequency,?..." rbitfld.long 0x00 0.--2. " PS ,Prescale factor selection" "/1,/2,/4,/8,/16,/32,/64,/128" endif group.long 0x04++0x07 line.long 0x00 "CNT,Counter Register" hexmask.long.word 0x00 0.--15. 1. " COUNT ,Counter value" line.long 0x04 "MOD,Modulo Register" hexmask.long.word 0x04 0.--15. 1. " MOD ,Modulo value" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0xC++0x03 line.long 0x00 "C0SC,Channel 0 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0xC++0x03 line.long 0x00 "C0SC,Channel 0 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0xC)++0x03 line.long 0x00 "C0V,Channel 0 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x14++0x03 line.long 0x00 "C1SC,Channel 1 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x14++0x03 line.long 0x00 "C1SC,Channel 1 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x14)++0x03 line.long 0x00 "C1V,Channel 1 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x1C++0x03 line.long 0x00 "C2SC,Channel 2 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x1C++0x03 line.long 0x00 "C2SC,Channel 2 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x1C)++0x03 line.long 0x00 "C2V,Channel 2 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x24++0x03 line.long 0x00 "C3SC,Channel 3 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x24++0x03 line.long 0x00 "C3SC,Channel 3 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x24)++0x03 line.long 0x00 "C3V,Channel 3 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x2C++0x03 line.long 0x00 "C4SC,Channel 4 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x2C++0x03 line.long 0x00 "C4SC,Channel 4 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x2C)++0x03 line.long 0x00 "C4V,Channel 4 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x34++0x03 line.long 0x00 "C5SC,Channel 5 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x34++0x03 line.long 0x00 "C5SC,Channel 5 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x34)++0x03 line.long 0x00 "C5V,Channel 5 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x3C++0x03 line.long 0x00 "C6SC,Channel 6 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x3C++0x03 line.long 0x00 "C6SC,Channel 6 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x3C)++0x03 line.long 0x00 "C6V,Channel 6 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x44++0x03 line.long 0x00 "C7SC,Channel 7 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" bitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline bitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" bitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" bitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" else group.long 0x44++0x03 line.long 0x00 "C7SC,Channel 7 Status And Control Register" rbitfld.long 0x00 7. " CHF ,Channel flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CHIE ,Channel interrupt enable" "Disabled,Enabled" rbitfld.long 0x00 5. " MSB ,Channel mode select" "0,1" newline rbitfld.long 0x00 4. " MSA ,Channel mode select" "0,1" rbitfld.long 0x00 3. " ELSB ,Edge or level select" "0,1" rbitfld.long 0x00 2. " ELSA ,Edge or level select" "0,1" endif group.long (0x04+0x44)++0x03 line.long 0x00 "C7V,Channel 7 Value Register" hexmask.long.word 0x00 0.--15. 1. " VAL ,Channel value" if (((per.l(ad:0x02810000+0x54))&0x1)==0x1) group.long 0x4C++0x03 line.long 0x00 "CNTIN,Counter Initial Value Register" hexmask.long.word 0x00 0.--15. 1. " INIT ,Initial value of the FTM counter" rgroup.long 0x50++0x03 line.long 0x00 "STATUS,Capture And Compare Status Register" bitfld.long 0x00 7. " CH7F ,Channel 7 flag" "Not occurred,Occurred" bitfld.long 0x00 6. " CH6F ,Channel 6 flag" "Not occurred,Occurred" bitfld.long 0x00 5. " CH5F ,Channel 5 flag" "Not occurred,Occurred" newline bitfld.long 0x00 4. " CH4F ,Channel 4 flag" "Not occurred,Occurred" bitfld.long 0x00 3. " CH3F ,Channel 3 flag" "Not occurred,Occurred" bitfld.long 0x00 2. " CH2F ,Channel 2 flag" "Not occurred,Occurred" newline bitfld.long 0x00 1. " CH1F ,Channel 1 flag" "Not occurred,Occurred" bitfld.long 0x00 0. " CH0F ,Channel 0 flag" "Not occurred,Occurred" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x54++0x03 line.long 0x00 "MODE,Features Mode Selection Register" bitfld.long 0x00 4. " CAPTEST ,Capture test mode enable" "Disabled,Enabled" bitfld.long 0x00 3. " PWMSYNC ,PWM synchronization mode" "No restrictions,SW: MOD CnV / HW: OUTMASK FTM" bitfld.long 0x00 2. " WPDIS ,Write protection disable" "No,Yes" newline bitfld.long 0x00 1. " INIT ,Initialize the channels output" "No effect,Initialize" bitfld.long 0x00 0. " FTMEN ,FTM enable" "Disabled,Enabled" else group.long 0x54++0x03 line.long 0x00 "MODE,Features Mode Selection Register" rbitfld.long 0x00 4. " CAPTEST ,Capture test mode enable" "Disabled,Enabled" bitfld.long 0x00 3. " PWMSYNC ,PWM synchronization mode" "No restrictions,SW: MOD CnV / HW: OUTMASK FTM" bitfld.long 0x00 2. " WPDIS ,Write protection disable" "No,Yes" newline bitfld.long 0x00 1. " INIT ,Initialize the channels output" "No effect,Initialize" rbitfld.long 0x00 0. " FTMEN ,FTM enable" "Disabled,Enabled" endif group.long 0x58++0x0B line.long 0x00 "SYNC,Synchronization Register" bitfld.long 0x00 7. " SWSYNC ,PWM synchronization software trigger" "Not selected,Selected" bitfld.long 0x00 6. " TRIG2 ,PWM synchronization hardware trigger 2" "Disabled,Enabled" bitfld.long 0x00 5. " TRIG1 ,PWM synchronization hardware trigger 1" "Disabled,Enabled" newline bitfld.long 0x00 4. " TRIG0 ,PWM Synchronization Hardware Trigger 0" "Disabled,Enabled" bitfld.long 0x00 3. " SYNCHOM ,Output mask synchronization" "All rising edges of clk,PWM sync only" bitfld.long 0x00 2. " REINIT ,FTM counter reinitialization by synchronization" "Not reinitialized,Reinitialized" newline bitfld.long 0x00 1. " CNTMAX ,Maximum loading point enable" "Disabled,Enabled" bitfld.long 0x00 0. " CNTMIN ,Minimum loading point enable" "Disabled,Enabled" line.long 0x04 "OUTINIT,Initial State For Channels Output Register" bitfld.long 0x04 7. " CH7OI ,Channel 7 output initialization value" "0,1" bitfld.long 0x04 6. " CH6OI ,Channel 6 output initialization value" "0,1" bitfld.long 0x04 5. " CH5OI ,Channel 5 output initialization value" "0,1" newline bitfld.long 0x04 4. " CH4OI ,Channel 4 output initialization value" "0,1" bitfld.long 0x04 3. " CH3OI ,Channel 3 output initialization value" "0,1" bitfld.long 0x04 2. " CH2OI ,Channel 2 output initialization value" "0,1" newline bitfld.long 0x04 1. " CH1OI ,Channel 1 output initialization value" "0,1" bitfld.long 0x04 0. " CH0OI ,Channel 0 output initialization value" "0,1" line.long 0x08 "OUTMASK,Output Mask Register" bitfld.long 0x08 7. " CH7OM ,Channel 7 output mask" "Not masked,Masked" bitfld.long 0x08 6. " CH6OM ,Channel 6 output mask" "Not masked,Masked" bitfld.long 0x08 5. " CH5OM ,Channel 5 output mask" "Not masked,Masked" newline bitfld.long 0x08 4. " CH4OM ,Channel 4 output mask" "Not masked,Masked" bitfld.long 0x08 3. " CH3OM ,Channel 3 output mask" "Not masked,Masked" bitfld.long 0x08 2. " CH2OM ,Channel 2 output mask" "Not masked,Masked" newline bitfld.long 0x08 1. " CH1OM ,Channel 1 output mask" "Not masked,Masked" bitfld.long 0x08 0. " CH0OM ,Channel 0 output mask" "Not masked,Masked" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x64++0x03 line.long 0x00 "COMBINE,Function For Linked Channels Register" bitfld.long 0x00 29. " SYNCEN3 ,Enables PWM synchronization of registers C6V and C7V" "Disabled,Enabled" bitfld.long 0x00 28. " DTEN3 ,Enables the deadtime insertion in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x00 27. " DECAP3 ,Dual edge capture mode for channels 6 and 7" "Inactive,Active" newline bitfld.long 0x00 26. " DECAPEN3 ,Enables the Dual Edge Capture mode in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x00 25. " COMP3 ,Enables complementary mode for combined channels 6 and 7" "The same,Complement" bitfld.long 0x00 24. " COMBINE3 ,Enables the combine feature for channels 6 and 7" "Independent,Combined" newline bitfld.long 0x00 21. " SYNCEN2 ,Enables PWM synchronization of registers C4V and C5V" "Disabled,Enabled" bitfld.long 0x00 20. " DTEN2 ,Enables the deadtime insertion in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x00 19. " DECAP2 ,Dual edge capture mode for channels 4 and 5" "Inactive,Active" newline bitfld.long 0x00 18. " DECAPEN2 ,Enables the Dual Edge Capture mode in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x00 17. " COMP2 ,Enables complementary mode for combined channels 4 and 5" "The same,Complement" bitfld.long 0x00 16. " COMBINE2 ,Enables the combine feature for channels 4 and 5" "Independent,Combined" newline bitfld.long 0x00 13. " SYNCEN1 ,Enables PWM synchronization of registers C2V and C3V" "Disabled,Enabled" bitfld.long 0x00 12. " DTEN1 ,Enables the deadtime insertion in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x00 11. " DECAP1 ,Dual edge capture mode for channels 2 and 3" "Inactive,Active" newline bitfld.long 0x00 10. " DECAPEN1 ,Enables the Dual Edge Capture mode in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x00 9. " COMP1 ,Enables complementary mode for combined channels 2 and 3" "The same,Complement" bitfld.long 0x00 8. " COMBINE1 ,Enables the combine feature for channels 2 and 3" "Independent,Combined" newline bitfld.long 0x00 5. " SYNCEN0 ,Enables PWM synchronization of registers C0V and C1V" "Disabled,Enabled" bitfld.long 0x00 4. " DTEN0 ,Enables the deadtime insertion in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x00 3. " DECAP0 ,Dual edge capture mode for channels 0 and 1" "Inactive,Active" newline bitfld.long 0x00 2. " DECAPEN0 ,Enables the Dual Edge Capture mode in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x00 1. " COMP0 ,Enables complementary mode for combined channels 0 and 1" "The same,Complement" bitfld.long 0x00 0. " COMBINE0 ,Enables the combine feature for channels 0 and 1" "Independent,Combined" else group.long 0x64++0x03 line.long 0x00 "COMBINE,Function For Linked Channels Register" bitfld.long 0x00 29. " SYNCEN3 ,Enables PWM synchronization of registers C6V and C7V" "Disabled,Enabled" rbitfld.long 0x00 28. " DTEN3 ,Enables the deadtime insertion in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x00 27. " DECAP3 ,Dual edge capture mode for channels 6 and 7" "Inactive,Active" newline rbitfld.long 0x00 26. " DECAPEN3 ,Enables the Dual Edge Capture mode in the channels 6 and 7" "Disabled,Enabled" rbitfld.long 0x00 25. " COMP3 ,Enables complementary mode for combined channels 6 and 7" "The same,Complement" rbitfld.long 0x00 24. " COMBINE3 ,Enables the combine feature for channels 6 and 7" "Independent,Combined" newline bitfld.long 0x00 21. " SYNCEN2 ,Enables PWM synchronization of registers C4V and C5V" "Disabled,Enabled" rbitfld.long 0x00 20. " DTEN2 ,Enables the deadtime insertion in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x00 19. " DECAP2 ,Dual edge capture mode for channels 4 and 5" "Inactive,Active" newline rbitfld.long 0x00 18. " DECAPEN2 ,Enables the Dual Edge Capture mode in the channels 4 and 5" "Disabled,Enabled" rbitfld.long 0x00 17. " COMP2 ,Enables complementary mode for combined channels 4 and 5" "The same,Complement" rbitfld.long 0x00 16. " COMBINE2 ,Enables the combine feature for channels 4 and 5" "Independent,Combined" newline bitfld.long 0x00 13. " SYNCEN1 ,Enables PWM synchronization of registers C2V and C3V" "Disabled,Enabled" rbitfld.long 0x00 12. " DTEN1 ,Enables the deadtime insertion in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x00 11. " DECAP1 ,Dual edge capture mode for channels 2 and 3" "Inactive,Active" newline rbitfld.long 0x00 10. " DECAPEN1 ,Enables the Dual Edge Capture mode in the channels 2 and 3" "Disabled,Enabled" rbitfld.long 0x00 9. " COMP1 ,Enables complementary mode for combined channels 2 and 3" "The same,Complement" rbitfld.long 0x00 8. " COMBINE1 ,Enables the combine feature for channels 2 and 3" "Independent,Combined" newline bitfld.long 0x00 5. " SYNCEN0 ,Enables PWM synchronization of registers C0V and C1V" "Disabled,Enabled" rbitfld.long 0x00 4. " DTEN0 ,Enables the deadtime insertion in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x00 3. " DECAP0 ,Dual edge capture mode for channels 0 and 1" "Inactive,Active" newline rbitfld.long 0x00 2. " DECAPEN0 ,Enables the Dual Edge Capture mode in the channels 0 and 1" "Disabled,Enabled" rbitfld.long 0x00 1. " COMP0 ,Enables complementary mode for combined channels 0 and 1" "The same,Complement" rbitfld.long 0x00 0. " COMBINE0 ,Enables the combine feature for channels 0 and 1" "Independent,Combined" endif if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x68++0x03 line.long 0x00 "DEADTIME,Deadtime Insertion Control Register" bitfld.long 0x00 6.--7. " DTPS ,Deadtime prescaler value" "/1,/1,/4,/16" bitfld.long 0x00 0.--5. " DTVAL ,Deadtime value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else rgroup.long 0x68++0x03 line.long 0x00 "DEADTIME,Deadtime Insertion Control Register" bitfld.long 0x00 6.--7. " DTPS ,Deadtime prescaler value" "/1,/1,/4,/16" bitfld.long 0x00 0.--5. " DTVAL ,Deadtime value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long 0x6C++0x03 line.long 0x00 "EXTTRIG,FTM External Trigger Register" rbitfld.long 0x00 7. " TRIGF ,Channel trigger flag" "Not triggered,Triggered" bitfld.long 0x00 6. " INITTRIGEN ,Initialization trigger enable" "Disabled,Enabled" bitfld.long 0x00 5. " CH1TRIG ,Channel 1 trigger enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " CH0TRIG ,Channel 0 trigger enable" "Disabled,Enabled" bitfld.long 0x00 3. " CH5TRIG ,Channel 5 trigger enable" "Disabled,Enabled" bitfld.long 0x00 2. " CH4TRIG ,Channel 4 trigger enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " CH3TRIG ,Channel 3 trigger enable" "Disabled,Enabled" bitfld.long 0x00 0. " CH2TRIG ,Channel 2 trigger enable" "Disabled,Enabled" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x70++0x03 line.long 0x00 "POL,Channels Polarity Register" bitfld.long 0x00 7. " POL[7] ,Channel 7 polarity" "Active high,Active low" bitfld.long 0x00 6. " [6] ,Channel 6 polarity" "Active high,Active low" bitfld.long 0x00 5. " [5] ,Channel 5 polarity" "Active high,Active low" newline bitfld.long 0x00 4. " [4] ,Channel 4 polarity" "Active high,Active low" bitfld.long 0x00 3. " [3] ,Channel 3 polarity" "Active high,Active low" bitfld.long 0x00 2. " [2] ,Channel 2 polarity" "Active high,Active low" newline bitfld.long 0x00 1. " [1] ,Channel 1 polarity" "Active high,Active low" bitfld.long 0x00 0. " [0] ,Channel 0 polarity" "Active high,Active low" else rgroup.long 0x70++0x03 line.long 0x00 "POL,Channels Polarity Register" bitfld.long 0x00 7. " POL[7] ,Channel 7 polarity" "Active high,Active low" bitfld.long 0x00 6. " [6] ,Channel 6 polarity" "Active high,Active low" bitfld.long 0x00 5. " [5] ,Channel 5 polarity" "Active high,Active low" newline bitfld.long 0x00 4. " [4] ,Channel 4 polarity" "Active high,Active low" bitfld.long 0x00 3. " [3] ,Channel 3 polarity" "Active high,Active low" bitfld.long 0x00 2. " [2] ,Channel 2 polarity" "Active high,Active low" newline bitfld.long 0x00 1. " [1] ,Channel 1 polarity" "Active high,Active low" bitfld.long 0x00 0. " [0] ,Channel 0 polarity" "Active high,Active low" endif group.long 0x74++0x07 line.long 0x00 "FMS,Fault Mode Status" bitfld.long 0x00 6. " WPEN ,Write protection enable" "Disabled,Enabled" line.long 0x04 "FILTER,Input Capture Filter Control Register" bitfld.long 0x04 12.--15. " CH3FVAL ,Channel 3 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. " CH2FVAL ,Channel 2 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 4.--7. " CH1FVAL ,Channel 1 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. " CH0FVAL ,Channel 0 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x80++0x03 line.long 0x00 "QDCTRL,Quadrature Decoder Control And Status" bitfld.long 0x00 3. " QUADMOE ,Quadrature decoder mode" "Phase A/B,Count/Direction" bitfld.long 0x00 0. " QUADEN ,Quadrature decoder mode enable" "Disabled,Enabled" else group.long 0x80++0x03 line.long 0x00 "QDCTRL,Quadrature Decoder Control And Status" bitfld.long 0x00 3. " QUADMOE ,Quadrature decoder mode" "Phase A/B,Count/Direction" rbitfld.long 0x00 0. " QUADEN ,Quadrature decoder mode enable" "Disabled,Enabled" endif group.long 0x84++0x03 line.long 0x00 "CONF,Configuration Register" bitfld.long 0x00 10. " GTBEOUT ,Global time base signal generation to other FTMs enable" "Disabled,Enabled" bitfld.long 0x00 9. " GTBEEN ,FTM use of external global time base signal from other FTM enable" "Disabled,Enabled" bitfld.long 0x00 6.--7. " BDMMODE ,FTM behavior in BDM mode" "Stopped/Can be set/Functional/Bypassed,Stopped/Is not set/Forced/Bypassed,Stopped/Is not set/Frozen/Bypassed,Functional/Can be set/Functional/Functional" newline bitfld.long 0x00 0.--4. " NUMTOF ,Ratio between the number of counter overflows to the number of times the TOF bit is set" "Each,Not for next 1,Not for next 2,Not for next 3,Not for next 4,Not for next 5,Not for next 6,Not for next 7,Not for next 8,Not for next 9,Not for next 10,Not for next 11,Not for next 12,Not for next 13,Not for next 14,Not for next 15,Not for next 16,Not for next 17,Not for next 18,Not for next 19,Not for next 20,Not for next 21,Not for next 22,Not for next 23,Not for next 24,Not for next 25,Not for next 26,Not for next 27,Not for next 28,Not for next 29,Not for next 30,Not for next 31" group.long 0x8C++0x0F line.long 0x00 "SYNCONF,Synchronization Configuration Register" bitfld.long 0x00 20. " HWSOC ,Software output control synchronization activation" "Not activated,Activated" bitfld.long 0x00 19. " HWINVC ,Inverting control synchronization activation" "Not activated,Activated" bitfld.long 0x00 18. " HWOM ,Output mask synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 17. " HWWRBUF ,MOD CNTIN and CV registers activation" "Not activated,Activated" bitfld.long 0x00 16. " HWRSTCNT ,FTM counter synchronization activation" "Not activated,Activated" bitfld.long 0x00 12. " SWSOC ,Software output control synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 11. " SWINVC ,Inverting control synchronization activation" "Not activated,Activated" bitfld.long 0x00 10. " SWOM ,Output mask synchronization activation" "Not activated,Activated" bitfld.long 0x00 9. " SWWRBUF ,MOD CNTIN and CV registers synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 8. " SWRSTCNT ,FTM counter synchronization activation" "Not activated,Activated" bitfld.long 0x00 7. " SYNCMODE ,PWM synchronization mode" "Legacy,Enhanced" bitfld.long 0x00 5. " SWOC ,SWOCTRL register synchronization" "Rising edges,PWM sync" newline bitfld.long 0x00 4. " INVC ,INVCTRL register synchronization" "Rising edges,PWM sync" bitfld.long 0x00 2. " CNTINC ,CNTIN Register Synchronization" "Rising edges,PWM sync" bitfld.long 0x00 0. " HWTRIGMODE ,Hardware trigger mode" "Clear,Doesn't clear TRIG" line.long 0x04 "INVCTRL,FTM Inverting Control Register" bitfld.long 0x04 3. " INV3EN ,Pair channels 3 inverting enable" "Disabled,Enabled" bitfld.long 0x04 2. " INV2EN ,Pair channels 2 inverting enable" "Disabled,Enabled" bitfld.long 0x04 1. " INV1EN ,Pair channels 1 inverting enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " INV0EN ,Pair channels 0 inverting enable" "Disabled,Enabled" line.long 0x08 "SWOCTRL,FTM Software Output Control Register" bitfld.long 0x08 15. " CH7OCV ,Channel 7 software output control value" "0 forced,1 forced" bitfld.long 0x08 14. " CH6OCV ,Channel 6 software output control value" "0 forced,1 forced" bitfld.long 0x08 13. " CH5OCV ,Channel 5 software output control value" "0 forced,1 forced" newline bitfld.long 0x08 12. " CH4OCV ,Channel 4 software output control value" "0 forced,1 forced" bitfld.long 0x08 11. " CH3OCV ,Channel 3 software output control value" "0 forced,1 forced" bitfld.long 0x08 10. " CH2OCV ,Channel 2 software output control value" "0 forced,1 forced" newline bitfld.long 0x08 9. " CH1OCV ,Channel 1 software output control value" "0 forced,1 forced" bitfld.long 0x08 8. " CH0OCV ,Channel 0 software output control value" "0 forced,1 forced" bitfld.long 0x08 7. " CH7OC ,Channel 7 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 6. " CH6OC ,Channel 6 software output control enable" "Disabled,Enabled" bitfld.long 0x08 5. " CH5OC ,Channel 5 software output control enable" "Disabled,Enabled" bitfld.long 0x08 4. " CH4OC ,Channel 4 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 3. " CH3OC ,Channel 3 software output control enable" "Disabled,Enabled" bitfld.long 0x08 2. " CH2OC ,Channel 2 software output control enable" "Disabled,Enabled" bitfld.long 0x08 1. " CH1OC ,Channel 1 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 0. " CH0OC ,Channel 0 software output control enable" "Disabled,Enabled" line.long 0x0C "PWMLOAD,FTM PWM Load Register" bitfld.long 0x0C 9. " LDOK ,Load enable" "Disabled,Enabled" bitfld.long 0x0C 7. " CH7SEL ,Includes channel 7 in matching process" "Not included,Included" bitfld.long 0x0C 6. " CH6SEL ,Includes channel 6 in matching process" "Not included,Included" newline bitfld.long 0x0C 5. " CH5SEL ,Includes channel 5 in matching process" "Not included,Included" bitfld.long 0x0C 4. " CH4SEL ,Includes channel 4 in matching process" "Not included,Included" bitfld.long 0x0C 3. " CH3SEL ,Includes channel 3 in matching process" "Not included,Included" newline bitfld.long 0x0C 2. " CH2SEL ,Includes channel 2 in matching process" "Not included,Included" bitfld.long 0x0C 1. " CH1SEL ,Includes channel 1 in matching process" "Not included,Included" bitfld.long 0x0C 0. " CH0SEL ,Includes channel 0 in matching process" "Not included,Included" else rgroup.long 0x4C++0x07 line.long 0x00 "CNTIN,Counter Initial Value Register" hexmask.long.word 0x00 0.--15. 1. " INIT ,Initial value of the FTM counter" line.long 0x04 "STATUS,Capture And Compare Status Register" bitfld.long 0x04 7. " CH7F ,Channel 7 flag" "Not occurred,Occurred" bitfld.long 0x04 6. " CH6F ,Channel 6 flag" "Not occurred,Occurred" bitfld.long 0x04 5. " CH5F ,Channel 5 flag" "Not occurred,Occurred" newline bitfld.long 0x04 4. " CH4F ,Channel 4 flag" "Not occurred,Occurred" bitfld.long 0x04 3. " CH3F ,Channel 3 flag" "Not occurred,Occurred" bitfld.long 0x04 2. " CH2F ,Channel 2 flag" "Not occurred,Occurred" newline bitfld.long 0x04 1. " CH1F ,Channel 1 flag" "Not occurred,Occurred" bitfld.long 0x04 0. " CH0F ,Channel 0 flag" "Not occurred,Occurred" if (((per.l(ad:0x02810000+0x54))&0x04)==0x04) group.long 0x54++0x03 line.long 0x00 "MODE,Features Mode Selection Register" rbitfld.long 0x00 4. " CAPTEST ,Capture test mode enable" "Disabled,Enabled" rbitfld.long 0x00 3. " PWMSYNC ,PWM synchronization mode" "No restrictions,SW: MOD CnV / HW: OUTMASK FTM" bitfld.long 0x00 2. " WPDIS ,Write protection disable" "No,Yes" newline rbitfld.long 0x00 1. " INIT ,Initialize the channels output" "No effect,Initialize" bitfld.long 0x00 0. " FTMEN ,FTM enable" "Disabled,Enabled" else group.long 0x54++0x03 line.long 0x00 "MODE,Features Mode Selection Register" rbitfld.long 0x00 4. " CAPTEST ,Capture test mode enable" "Disabled,Enabled" rbitfld.long 0x00 3. " PWMSYNC ,PWM synchronization mode" "No restrictions,SW: MOD CnV / HW: OUTMASK FTM" bitfld.long 0x00 2. " WPDIS ,Write protection disable" "No,Yes" newline rbitfld.long 0x00 1. " INIT ,Initialize the channels output" "No effect,Initialize" rbitfld.long 0x00 0. " FTMEN ,FTM enable" "Disabled,Enabled" endif rgroup.long 0x58++0x1B line.long 0x00 "SYNC,Synchronization Register" bitfld.long 0x00 7. " SWSYNC ,PWM synchronization software trigger" "Not selected,Selected" bitfld.long 0x00 6. " TRIG2 ,PWM synchronization hardware trigger 2" "Disabled,Enabled" bitfld.long 0x00 5. " TRIG1 ,PWM synchronization hardware trigger 1" "Disabled,Enabled" newline bitfld.long 0x00 4. " TRIG0 ,PWM Synchronization Hardware Trigger 0" "Disabled,Enabled" bitfld.long 0x00 3. " SYNCHOM ,Output mask synchronization" "All rising edges of clk,PWM sync only" bitfld.long 0x00 2. " REINIT ,FTM counter reinitialization by synchronization" "Not reinitialized,Reinitialized" newline bitfld.long 0x00 1. " CNTMAX ,Maximum loading point enable" "Disabled,Enabled" bitfld.long 0x00 0. " CNTMIN ,Minimum loading point enable" "Disabled,Enabled" line.long 0x04 "OUTINIT,Initial State For Channels Output Register" bitfld.long 0x04 7. " CH7OI ,Channel 7 output initialization value" "0,1" bitfld.long 0x04 6. " CH6OI ,Channel 6 output initialization value" "0,1" bitfld.long 0x04 5. " CH5OI ,Channel 5 output initialization value" "0,1" newline bitfld.long 0x04 4. " CH4OI ,Channel 4 output initialization value" "0,1" bitfld.long 0x04 3. " CH3OI ,Channel 3 output initialization value" "0,1" bitfld.long 0x04 2. " CH2OI ,Channel 2 output initialization value" "0,1" newline bitfld.long 0x04 1. " CH1OI ,Channel 1 output initialization value" "0,1" bitfld.long 0x04 0. " CH0OI ,Channel 0 output initialization value" "0,1" line.long 0x08 "OUTMASK,Output Mask Register" bitfld.long 0x08 7. " CH7OM ,Channel 7 output mask" "Not masked,Masked" bitfld.long 0x08 6. " CH6OM ,Channel 6 output mask" "Not masked,Masked" bitfld.long 0x08 5. " CH5OM ,Channel 5 output mask" "Not masked,Masked" newline bitfld.long 0x08 4. " CH4OM ,Channel 4 output mask" "Not masked,Masked" bitfld.long 0x08 3. " CH3OM ,Channel 3 output mask" "Not masked,Masked" bitfld.long 0x08 2. " CH2OM ,Channel 2 output mask" "Not masked,Masked" newline bitfld.long 0x08 1. " CH1OM ,Channel 1 output mask" "Not masked,Masked" bitfld.long 0x08 0. " CH0OM ,Channel 0 output mask" "Not masked,Masked" line.long 0x0C "COMBINE,Function For Linked Channels Register" bitfld.long 0x0C 29. " SYNCEN3 ,Enables PWM synchronization of registers C6V and C7V" "Disabled,Enabled" bitfld.long 0x0C 28. " DTEN3 ,Enables the deadtime insertion in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x0C 27. " DECAP3 ,Dual edge capture mode for channels 6 and 7" "Inactive,Active" newline bitfld.long 0x0C 26. " DECAPEN3 ,Enables the Dual Edge Capture mode in the channels 6 and 7" "Disabled,Enabled" bitfld.long 0x0C 25. " COMP3 ,Enables complementary mode for combined channels 6 and 7" "The same,Complement" bitfld.long 0x0C 24. " COMBINE3 ,Enables the combine feature for channels 6 and 7" "Independent,Combined" newline bitfld.long 0x0C 21. " SYNCEN2 ,Enables PWM synchronization of registers C4V and C5V" "Disabled,Enabled" bitfld.long 0x0C 20. " DTEN2 ,Enables the deadtime insertion in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x0C 19. " DECAP2 ,Dual edge capture mode for channels 4 and 5" "Inactive,Active" newline bitfld.long 0x0C 18. " DECAPEN2 ,Enables the Dual Edge Capture mode in the channels 4 and 5" "Disabled,Enabled" bitfld.long 0x0C 17. " COMP2 ,Enables complementary mode for combined channels 4 and 5" "The same,Complement" bitfld.long 0x0C 16. " COMBINE2 ,Enables the combine feature for channels 4 and 5" "Independent,Combined" newline bitfld.long 0x0C 12. " SYNCEN1 ,Enables PWM synchronization of registers C2V and C3V" "Disabled,Enabled" bitfld.long 0x0C 11. " DTEN1 ,Enables the deadtime insertion in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x0C 10. " DECAP1 ,Dual edge capture mode for channels 2 and 3" "Inactive,Active" newline bitfld.long 0x0C 9. " DECAPEN1 ,Enables the Dual Edge Capture mode in the channels 2 and 3" "Disabled,Enabled" bitfld.long 0x0C 8. " COMP1 ,Enables complementary mode for combined channels 2 and 3" "The same,Complement" bitfld.long 0x0C 7. " COMBINE1 ,Enables the combine feature for channels 2 and 3" "Independent,Combined" newline bitfld.long 0x0C 5. " SYNCEN0 ,Enables PWM synchronization of registers C0V and C1V" "Disabled,Enabled" bitfld.long 0x0C 4. " DTEN0 ,Enables the deadtime insertion in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x0C 3. " DECAP0 ,Dual edge capture mode for channels 0 and 1" "Inactive,Active" newline bitfld.long 0x0C 2. " DECAPEN0 ,Enables the Dual Edge Capture mode in the channels 0 and 1" "Disabled,Enabled" bitfld.long 0x0C 1. " COMP0 ,Enables complementary mode for combined channels 0 and 1" "The same,Complement" bitfld.long 0x0C 0. " COMBINE0 ,Enables the combine feature for channels 0 and 1" "Independent,Combined" line.long 0x10 "DEADTIME,Deadtime Insertion Control Register" bitfld.long 0x10 6.--7. " DTPS ,Deadtime prescaler value" "/1,/1,/4,/16" bitfld.long 0x10 0.--5. " DTVAL ,Deadtime value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x14 "EXTTRIG,FTM External Trigger Register" rbitfld.long 0x14 7. " TRIGF ,Channel trigger flag" "Not triggered,Triggered" bitfld.long 0x14 6. " INITTRIGEN ,Initialization trigger enable" "Disabled,Enabled" bitfld.long 0x14 5. " CH1TRIG ,Channel 1 trigger enable" "Disabled,Enabled" newline bitfld.long 0x14 4. " CH0TRIG ,Channel 0 Trigger Enable Register" "Disabled,Enabled" bitfld.long 0x14 3. " CH5TRIG ,Channel 5 trigger enable" "Disabled,Enabled" bitfld.long 0x14 2. " CH4TRIG ,Channel 4 trigger enable" "Disabled,Enabled" newline bitfld.long 0x14 1. " CH3TRIG ,Channel 3 Trigger Enable" "Disabled,Enabled" bitfld.long 0x14 0. " CH2TRIG ,Channel 2 Trigger Enable" "Disabled,Enabled" line.long 0x18 "POL,Channels Polarity Register" bitfld.long 0x18 7. " POL7 ,Channel 7 polarity" "Active high,Active low" bitfld.long 0x18 6. " POL6 ,Channel 6 polarity" "Active high,Active low" bitfld.long 0x18 5. " POL5 ,Channel 5 polarity" "Active high,Active low" newline bitfld.long 0x18 4. " POL4 ,Channel 4 polarity" "Active high,Active low" bitfld.long 0x18 3. " POL3 ,Channel 3 polarity" "Active high,Active low" bitfld.long 0x18 2. " POL2 ,Channel 2 polarity" "Active high,Active low" newline bitfld.long 0x18 1. " POL1 ,Channel 1 polarity" "Active high,Active low" bitfld.long 0x18 0. " POL0 ,Channel 0 polarity" "Active high,Active low" rgroup.long 0x74++0x07 line.long 0x00 "FMS,Fault Mode Status" bitfld.long 0x00 6. " WPEN ,Write Protection Enable" "Disabled,Enabled" line.long 0x04 "FILTER,Input Capture Filter Control Register" bitfld.long 0x04 12.--15. " CH3FVAL ,Channel 3 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. " CH2FVAL ,Channel 2 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 4.--7. " CH1FVAL ,Channel 1 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. " CH0FVAL ,Channel 0 input filter" "Disabled,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x84++0x03 line.long 0x00 "CONF,Configuration Register" bitfld.long 0x00 10. " GTBEOUT ,Global time base signal generation to other FTMs enable" "Disabled,Enabled" bitfld.long 0x00 9. " GTBEEN ,FTM use of external global time base signal from other FTM enable" "Disabled,Enabled" bitfld.long 0x00 6.--7. " BDMMODE ,FTM behavior in BDM mode" "Stopped/Can be set/Functional/Bypassed,Stopped/Is not set/Forced/Bypassed,Stopped/Is not set/Frozen/Bypassed,Functional/Can be set/Functional/Functional" newline bitfld.long 0x00 0.--4. " NUMTOF ,Ratio between the number of counter overflows to the number of times the TOF bit is set" "Each,Not for next 1,Not for next 2,Not for next 3,Not for next 4,Not for next 5,Not for next 6,Not for next 7,Not for next 8,Not for next 9,Not for next 10,Not for next 11,Not for next 12,Not for next 13,Not for next 14,Not for next 15,Not for next 16,Not for next 17,Not for next 18,Not for next 19,Not for next 20,Not for next 21,Not for next 22,Not for next 23,Not for next 24,Not for next 25,Not for next 26,Not for next 27,Not for next 28,Not for next 29,Not for next 30,Not for next 31" rgroup.long 0x8C++0x0F line.long 0x00 "SYNCONF,Synchronization Configuration Register" bitfld.long 0x00 20. " HWSOC ,Software output control synchronization activation" "Not activated,Activated" bitfld.long 0x00 19. " HWINVC ,Inverting control synchronization activation" "Not activated,Activated" bitfld.long 0x00 18. " HWOM ,Output mask synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 17. " HWWRBUF ,MOD CNTIN and CV registers activation" "Not activated,Activated" bitfld.long 0x00 16. " HWRSTCNT ,FTM counter synchronization activation" "Not activated,Activated" bitfld.long 0x00 12. " SWSOC ,Software output control synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 11. " SWINVC ,Inverting control synchronization activation" "Not activated,Activated" bitfld.long 0x00 10. " SWOM ,Output mask synchronization activation" "Not activated,Activated" bitfld.long 0x00 9. " SWWRBUF ,MOD CNTIN and CV registers synchronization activation" "Not activated,Activated" newline bitfld.long 0x00 8. " SWRSTCNT ,FTM counter synchronization activation" "Not activated,Activated" bitfld.long 0x00 7. " SYNCMODE ,PWM synchronization mode" "Legacy PWM,Enhanced PWM" bitfld.long 0x00 5. " SWOC ,SWOCTRL register synchronization" "Rising edges,PWM sync" newline bitfld.long 0x00 4. " INVC ,INVCTRL register synchronization" "Rising edges,PWM sync" bitfld.long 0x00 2. " CNTINC ,CNTIN Register Synchronization" "Rising edges,PWM sync" bitfld.long 0x00 0. " HWTRIGMODE ,Hardware trigger mode" "Clear,Doesn't clear TRIG" line.long 0x04 "INVCTRL,FTM Inverting Control Register" bitfld.long 0x04 3. " INV3EN ,Pair channels 3 inverting enable" "Disabled,Enabled" bitfld.long 0x04 2. " INV2EN ,Pair channels 2 inverting enable" "Disabled,Enabled" bitfld.long 0x04 1. " INV1EN ,Pair channels 1 inverting enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " INV0EN ,Pair channels 0 inverting enable" "Disabled,Enabled" line.long 0x08 "SWOCTRL,FTM Software Output Control Register" bitfld.long 0x08 15. " CH7OCV ,Channel 7 software output control value" "0 forced,1 forced" bitfld.long 0x08 14. " CH6OCV ,Channel 6 software output control value" "0 forced,1 forced" bitfld.long 0x08 13. " CH5OCV ,Channel 5 software output control value" "0 forced,1 forced" newline bitfld.long 0x08 12. " CH4OCV ,Channel 4 software output control value" "0 forced,1 forced" bitfld.long 0x08 11. " CH3OCV ,Channel 3 software output control value" "0 forced,1 forced" bitfld.long 0x08 10. " CH2OCV ,Channel 2 software output control value" "0 forced,1 forced" newline bitfld.long 0x08 9. " CH1OCV ,Channel 1 software output control value" "0 forced,1 forced" bitfld.long 0x08 8. " CH0OCV ,Channel 0 software output control value" "0 forced,1 forced" bitfld.long 0x08 7. " CH7OC ,Channel 7 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 6. " CH6OC ,Channel 6 software output control enable" "Disabled,Enabled" bitfld.long 0x08 5. " CH5OC ,Channel 5 software output control enable" "Disabled,Enabled" bitfld.long 0x08 4. " CH4OC ,Channel 4 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 3. " CH3OC ,Channel 3 software output control enable" "Disabled,Enabled" bitfld.long 0x08 2. " CH2OC ,Channel 2 software output control enable" "Disabled,Enabled" bitfld.long 0x08 1. " CH1OC ,Channel 1 software output control enable" "Disabled,Enabled" newline bitfld.long 0x08 0. " CH0OC ,Channel 0 software output control enable" "Disabled,Enabled" line.long 0x0C "PWMLOAD,FTM PWM Load Register" bitfld.long 0x0C 9. " LDOK ,Load enable" "Disabled,Enabled" bitfld.long 0x0C 7. " CH7SEL ,Includes channel 7 in matching process" "Not included,Included" bitfld.long 0x0C 6. " CH6SEL ,Includes channel 6 in matching process" "Not included,Included" newline bitfld.long 0x0C 5. " CH5SEL ,Includes channel 5 in matching process" "Not included,Included" bitfld.long 0x0C 4. " CH4SEL ,Includes channel 4 in matching process" "Not included,Included" bitfld.long 0x0C 3. " CH3SEL ,Includes channel 3 in matching process" "Not included,Included" newline bitfld.long 0x0C 2. " CH2SEL ,Includes channel 2 in matching process" "Not included,Included" bitfld.long 0x0C 1. " CH1SEL ,Includes channel 1 in matching process" "Not included,Included" bitfld.long 0x0C 0. " CH0SEL ,Includes channel 0 in matching process" "Not included,Included" endif width 0x0B tree.end tree.end tree.open "GPIO (General Purpose I/O)" tree "GPIO 1" base ad:0x02300000 width 7. group.long 0x00++0x1B line.long 0x00 "GPDIR,GPIO Direction Register" bitfld.long 0x00 29. " DR2 ,Pin 2 direction select" "Input,Output" bitfld.long 0x00 28. " DR3 ,Pin 3 direction select" "Input,Output" bitfld.long 0x00 27. " DR4 ,Pin 4 direction select" "Input,Output" bitfld.long 0x00 26. " DR5 ,Pin 5 direction select" "Input,Output" bitfld.long 0x00 25. " DR6 ,Pin 6 direction select" "Input,Output" bitfld.long 0x00 24. " DR7 ,Pin 7 direction select" "Input,Output" bitfld.long 0x00 23. " DR8 ,Pin 8 direction select" "Input,Output" bitfld.long 0x00 22. " DR9 ,Pin 9 direction select" "Input,Output" newline bitfld.long 0x00 21. " DR10 ,Pin 10 direction select" "Input,Output" bitfld.long 0x00 20. " DR11 ,Pin 11 direction select" "Input,Output" bitfld.long 0x00 19. " DR12 ,Pin 12 direction select" "Input,Output" bitfld.long 0x00 18. " DR13 ,Pin 13 direction select" "Input,Output" bitfld.long 0x00 17. " DR14 ,Pin 14 direction select" "Input,Output" bitfld.long 0x00 16. " DR15 ,Pin 15 direction select" "Input,Output" bitfld.long 0x00 15. " DR16 ,Pin 16 direction select" "Input,Output" bitfld.long 0x00 14. " DR17 ,Pin 17 direction select" "Input,Output" newline bitfld.long 0x00 13. " DR18 ,Pin 18 direction select" "Input,Output" bitfld.long 0x00 12. " DR19 ,Pin 19 direction select" "Input,Output" bitfld.long 0x00 11. " DR20 ,Pin 20 direction select" "Input,Output" bitfld.long 0x00 10. " DR21 ,Pin 21 direction select" "Input,Output" bitfld.long 0x00 9. " DR22 ,Pin 22 direction select" "Input,Output" bitfld.long 0x00 8. " DR23 ,Pin 23 direction select" "Input,Output" bitfld.long 0x00 7. " DR24 ,Pin 24 direction select" "Input,Output" bitfld.long 0x00 6. " DR25 ,Pin 25 direction select" "Input,Output" newline bitfld.long 0x00 5. " DR26 ,Pin 26 direction select" "Input,Output" bitfld.long 0x00 4. " DR27 ,Pin 27 direction select" "Input,Output" bitfld.long 0x00 3. " DR28 ,Pin 28 direction select" "Input,Output" bitfld.long 0x00 2. " DR29 ,Pin 29 direction select" "Input,Output" bitfld.long 0x00 1. " DR30 ,Pin 30 direction select" "Input,Output" bitfld.long 0x00 0. " DR31 ,Pin 31 direction select" "Input,Output" line.long 0x04 "GPODR,GPIO Open Drain Register" bitfld.long 0x04 29. " OD2 ,Open drain configuration for pin 2" "Push-pull,Open-drain" bitfld.long 0x04 28. " OD3 ,Open drain configuration for pin 3" "Push-pull,Open-drain" bitfld.long 0x04 27. " OD4 ,Open drain configuration for pin 4" "Push-pull,Open-drain" bitfld.long 0x04 26. " OD5 ,Open drain configuration for pin 5" "Push-pull,Open-drain" bitfld.long 0x04 25. " OD6 ,Open drain configuration for pin 6" "Push-pull,Open-drain" bitfld.long 0x04 24. " OD7 ,Open drain configuration for pin 7" "Push-pull,Open-drain" bitfld.long 0x04 23. " OD8 ,Open drain configuration for pin 8" "Push-pull,Open-drain" bitfld.long 0x04 22. " OD9 ,Open drain configuration for pin 9" "Push-pull,Open-drain" newline bitfld.long 0x04 21. " OD10 ,Open drain configuration for pin 10" "Push-pull,Open-drain" bitfld.long 0x04 20. " OD11 ,Open drain configuration for pin 11" "Push-pull,Open-drain" bitfld.long 0x04 19. " OD12 ,Open drain configuration for pin 12" "Push-pull,Open-drain" bitfld.long 0x04 18. " OD13 ,Open drain configuration for pin 13" "Push-pull,Open-drain" bitfld.long 0x04 17. " OD14 ,Open drain configuration for pin 14" "Push-pull,Open-drain" bitfld.long 0x04 16. " OD15 ,Open drain configuration for pin 15" "Push-pull,Open-drain" bitfld.long 0x04 15. " OD16 ,Open drain configuration for pin 16" "Push-pull,Open-drain" bitfld.long 0x04 14. " OD17 ,Open drain configuration for pin 17" "Push-pull,Open-drain" newline bitfld.long 0x04 13. " OD18 ,Open drain configuration for pin 18" "Push-pull,Open-drain" bitfld.long 0x04 12. " OD19 ,Open drain configuration for pin 19" "Push-pull,Open-drain" bitfld.long 0x04 11. " OD20 ,Open drain configuration for pin 20" "Push-pull,Open-drain" bitfld.long 0x04 10. " OD21 ,Open drain configuration for pin 21" "Push-pull,Open-drain" bitfld.long 0x04 9. " OD22 ,Open drain configuration for pin 22" "Push-pull,Open-drain" bitfld.long 0x04 8. " OD23 ,Open drain configuration for pin 23" "Push-pull,Open-drain" bitfld.long 0x04 7. " OD24 ,Open drain configuration for pin 24" "Push-pull,Open-drain" newline bitfld.long 0x04 6. " OD25 ,Open drain configuration for pin 25" "Push-pull,Open-drain" bitfld.long 0x04 5. " OD26 ,Open drain configuration for pin 26" "Push-pull,Open-drain" bitfld.long 0x04 4. " OD27 ,Open drain configuration for pin 27" "Push-pull,Open-drain" bitfld.long 0x04 3. " OD28 ,Open drain configuration for pin 28" "Push-pull,Open-drain" bitfld.long 0x04 2. " OD29 ,Open drain configuration for pin 29" "Push-pull,Open-drain" bitfld.long 0x04 1. " OD30 ,Open drain configuration for pin 30" "Push-pull,Open-drain" bitfld.long 0x04 0. " OD31 ,Open drain configuration for pin 31" "Push-pull,Open-drain" line.long 0x08 "GPDAT,GPIO Data Register" bitfld.long 0x08 29. " D2 ,Pin 2 data" "Low,High" bitfld.long 0x08 28. " D3 ,Pin 3 data" "Low,High" bitfld.long 0x08 27. " D4 ,Pin 4 data" "Low,High" bitfld.long 0x08 26. " D5 ,Pin 5 data" "Low,High" bitfld.long 0x08 25. " D6 ,Pin 6 data" "Low,High" bitfld.long 0x08 24. " D7 ,Pin 7 data" "Low,High" bitfld.long 0x08 23. " D8 ,Pin 8 data" "Low,High" bitfld.long 0x08 22. " D9 ,Pin 9 data" "Low,High" newline bitfld.long 0x08 21. " D10 ,Pin 10 data" "Low,High" bitfld.long 0x08 20. " D11 ,Pin 11 data" "Low,High" bitfld.long 0x08 19. " D12 ,Pin 12 data" "Low,High" bitfld.long 0x08 18. " D13 ,Pin 13 data" "Low,High" bitfld.long 0x08 17. " D14 ,Pin 14 data" "Low,High" bitfld.long 0x08 16. " D15 ,Pin 15 data" "Low,High" bitfld.long 0x08 15. " D16 ,Pin 16 data" "Low,High" bitfld.long 0x08 14. " D17 ,Pin 17 data" "Low,High" newline bitfld.long 0x08 13. " D18 ,Pin 18 data" "Low,High" bitfld.long 0x08 12. " D19 ,Pin 19 data" "Low,High" bitfld.long 0x08 11. " D20 ,Pin 20 data" "Low,High" bitfld.long 0x08 10. " D21 ,Pin 21 data" "Low,High" bitfld.long 0x08 9. " D22 ,Pin 22 data" "Low,High" bitfld.long 0x08 8. " D23 ,Pin 23 data" "Low,High" bitfld.long 0x08 7. " D24 ,Pin 24 data" "Low,High" bitfld.long 0x08 6. " D25 ,Pin 25 data" "Low,High" newline bitfld.long 0x08 5. " D26 ,Pin 26 data" "Low,High" bitfld.long 0x08 4. " D27 ,Pin 27 data" "Low,High" bitfld.long 0x08 3. " D28 ,Pin 28 data" "Low,High" bitfld.long 0x08 2. " D29 ,Pin 29 data" "Low,High" bitfld.long 0x08 1. " D30 ,Pin 30 data" "Low,High" bitfld.long 0x08 0. " D31 ,Pin 31 data" "Low,High" line.long 0x0C "GPIER,GPIO Interrupt Event Register" eventfld.long 0x0C 29. " EV2 ,Pin 2 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 28. " EV3 ,Pin 3 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 27. " EV4 ,Pin 4 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 26. " EV5 ,Pin 5 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 25. " EV6 ,Pin 6 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 24. " EV7 ,Pin 7 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 23. " EV8 ,Pin 8 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 22. " EV9 ,Pin 9 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 21. " EV10 ,Pin 10 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 20. " EV11 ,Pin 11 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 19. " EV12 ,Pin 12 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 18. " EV13 ,Pin 13 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 17. " EV14 ,Pin 14 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 16. " EV15 ,Pin 15 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 15. " EV16 ,Pin 16 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 14. " EV17 ,Pin 17 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 13. " EV18 ,Pin 18 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 12. " EV19 ,Pin 19 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 11. " EV20 ,Pin 20 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 10. " EV21 ,Pin 21 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 9. " EV22 ,Pin 22 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 8. " EV23 ,Pin 23 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 7. " EV24 ,Pin 24 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 6. " EV25 ,Pin 25 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 5. " EV26 ,Pin 26 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 4. " EV27 ,Pin 27 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 3. " EV28 ,Pin 28 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 2. " EV29 ,Pin 29 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 1. " EV30 ,Pin 30 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 0. " EV31 ,Pin 31 interrupt event status" "No interrupt,Interrupt" line.long 0x10 "GPIMR,GPIO Interrupt Mask Register" bitfld.long 0x10 29. " IM2 ,Pin 2 interrupt mask" "Masked,Not masked" bitfld.long 0x10 28. " IM3 ,Pin 3 interrupt mask" "Masked,Not masked" bitfld.long 0x10 27. " IM4 ,Pin 4 interrupt mask" "Masked,Not masked" bitfld.long 0x10 26. " IM5 ,Pin 5 interrupt mask" "Masked,Not masked" bitfld.long 0x10 25. " IM6 ,Pin 6 interrupt mask" "Masked,Not masked" bitfld.long 0x10 24. " IM7 ,Pin 7 interrupt mask" "Masked,Not masked" bitfld.long 0x10 23. " IM8 ,Pin 8 interrupt mask" "Masked,Not masked" bitfld.long 0x10 22. " IM9 ,Pin 9 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 21. " IM10 ,Pin 10 interrupt mask" "Masked,Not masked" bitfld.long 0x10 20. " IM11 ,Pin 11 interrupt mask" "Masked,Not masked" bitfld.long 0x10 19. " IM12 ,Pin 12 interrupt mask" "Masked,Not masked" bitfld.long 0x10 18. " IM13 ,Pin 13 interrupt mask" "Masked,Not masked" bitfld.long 0x10 17. " IM14 ,Pin 14 interrupt mask" "Masked,Not masked" bitfld.long 0x10 16. " IM15 ,Pin 15 interrupt mask" "Masked,Not masked" bitfld.long 0x10 15. " IM16 ,Pin 16 interrupt mask" "Masked,Not masked" bitfld.long 0x10 14. " IM17 ,Pin 17 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 13. " IM18 ,Pin 18 interrupt mask" "Masked,Not masked" bitfld.long 0x10 12. " IM19 ,Pin 19 interrupt mask" "Masked,Not masked" bitfld.long 0x10 11. " IM20 ,Pin 20 interrupt mask" "Masked,Not masked" bitfld.long 0x10 10. " IM21 ,Pin 21 interrupt mask" "Masked,Not masked" bitfld.long 0x10 9. " IM22 ,Pin 22 interrupt mask" "Masked,Not masked" bitfld.long 0x10 8. " IM23 ,Pin 23 interrupt mask" "Masked,Not masked" bitfld.long 0x10 7. " IM24 ,Pin 24 interrupt mask" "Masked,Not masked" bitfld.long 0x10 6. " IM25 ,Pin 25 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 5. " IM26 ,Pin 26 interrupt mask" "Masked,Not masked" bitfld.long 0x10 4. " IM27 ,Pin 27 interrupt mask" "Masked,Not masked" bitfld.long 0x10 3. " IM28 ,Pin 28 interrupt mask" "Masked,Not masked" bitfld.long 0x10 2. " IM29 ,Pin 29 interrupt mask" "Masked,Not masked" bitfld.long 0x10 1. " IM30 ,Pin 30 interrupt mask" "Masked,Not masked" bitfld.long 0x10 0. " IM31 ,Pin 31 interrupt mask" "Masked,Not masked" line.long 0x14 "GPICR,GPIO Interrupt Control Register" bitfld.long 0x14 29. " ED2 ,Pin 2 edge detection select" "Any change,Falling" bitfld.long 0x14 28. " ED3 ,Pin 3 edge detection select" "Any change,Falling" bitfld.long 0x14 27. " ED4 ,Pin 4 edge detection select" "Any change,Falling" bitfld.long 0x14 26. " ED5 ,Pin 5 edge detection select" "Any change,Falling" bitfld.long 0x14 25. " ED6 ,Pin 6 edge detection select" "Any change,Falling" bitfld.long 0x14 24. " ED7 ,Pin 7 edge detection select" "Any change,Falling" bitfld.long 0x14 23. " ED8 ,Pin 8 edge detection select" "Any change,Falling" bitfld.long 0x14 22. " ED9 ,Pin 9 edge detection select" "Any change,Falling" newline bitfld.long 0x14 21. " ED10 ,Pin 10 edge detection select" "Any change,Falling" bitfld.long 0x14 20. " ED11 ,Pin 11 edge detection select" "Any change,Falling" bitfld.long 0x14 19. " ED12 ,Pin 12 edge detection select" "Any change,Falling" bitfld.long 0x14 18. " ED13 ,Pin 13 edge detection select" "Any change,Falling" bitfld.long 0x14 17. " ED14 ,Pin 14 edge detection select" "Any change,Falling" bitfld.long 0x14 16. " ED15 ,Pin 15 edge detection select" "Any change,Falling" bitfld.long 0x14 15. " ED16 ,Pin 16 edge detection select" "Any change,Falling" bitfld.long 0x14 14. " ED17 ,Pin 17 edge detection select" "Any change,Falling" newline bitfld.long 0x14 13. " ED18 ,Pin 18 edge detection select" "Any change,Falling" bitfld.long 0x14 12. " ED19 ,Pin 19 edge detection select" "Any change,Falling" bitfld.long 0x14 11. " ED20 ,Pin 20 edge detection select" "Any change,Falling" bitfld.long 0x14 10. " ED21 ,Pin 21 edge detection select" "Any change,Falling" bitfld.long 0x14 9. " ED22 ,Pin 22 edge detection select" "Any change,Falling" bitfld.long 0x14 8. " ED23 ,Pin 23 edge detection select" "Any change,Falling" bitfld.long 0x14 7. " ED24 ,Pin 24 edge detection select" "Any change,Falling" bitfld.long 0x14 6. " ED25 ,Pin 25 edge detection select" "Any change,Falling" newline bitfld.long 0x14 5. " ED26 ,Pin 26 edge detection select" "Any change,Falling" bitfld.long 0x14 4. " ED27 ,Pin 27 edge detection select" "Any change,Falling" bitfld.long 0x14 3. " ED28 ,Pin 28 edge detection select" "Any change,Falling" bitfld.long 0x14 2. " ED29 ,Pin 29 edge detection select" "Any change,Falling" bitfld.long 0x14 1. " ED30 ,Pin 30 edge detection select" "Any change,Falling" bitfld.long 0x14 0. " ED31 ,Pin 31 edge detection select" "Any change,Falling" line.long 0x18 "GPIBE,GPIO Input Buffer Enable Register" bitfld.long 0x18 29. " IBE2 ,Pin 2 input enable" "Disabled,Enabled" bitfld.long 0x18 28. " IBE3 ,Pin 3 input enable" "Disabled,Enabled" bitfld.long 0x18 27. " IBE4 ,Pin 4 input enable" "Disabled,Enabled" bitfld.long 0x18 26. " IBE5 ,Pin 5 input enable" "Disabled,Enabled" bitfld.long 0x18 25. " IBE6 ,Pin 6 input enable" "Disabled,Enabled" bitfld.long 0x18 24. " IBE7 ,Pin 7 input enable" "Disabled,Enabled" bitfld.long 0x18 23. " IBE8 ,Pin 8 input enable" "Disabled,Enabled" bitfld.long 0x18 22. " IBE9 ,Pin 9 input enable" "Disabled,Enabled" newline bitfld.long 0x18 21. " IBE10 ,Pin 10 input enable" "Disabled,Enabled" bitfld.long 0x18 20. " IBE11 ,Pin 11 input enable" "Disabled,Enabled" bitfld.long 0x18 19. " IBE12 ,Pin 12 input enable" "Disabled,Enabled" bitfld.long 0x18 18. " IBE13 ,Pin 13 input enable" "Disabled,Enabled" bitfld.long 0x18 17. " IBE14 ,Pin 14 input enable" "Disabled,Enabled" bitfld.long 0x18 16. " IBE15 ,Pin 15 input enable" "Disabled,Enabled" bitfld.long 0x18 15. " IBE16 ,Pin 16 input enable" "Disabled,Enabled" bitfld.long 0x18 14. " IBE17 ,Pin 17 input enable" "Disabled,Enabled" newline bitfld.long 0x18 13. " IBE18 ,Pin 18 input enable" "Disabled,Enabled" bitfld.long 0x18 12. " IBE19 ,Pin 19 input enable" "Disabled,Enabled" bitfld.long 0x18 11. " IBE20 ,Pin 20 input enable" "Disabled,Enabled" bitfld.long 0x18 10. " IBE21 ,Pin 21 input enable" "Disabled,Enabled" bitfld.long 0x18 9. " IBE22 ,Pin 22 input enable" "Disabled,Enabled" bitfld.long 0x18 8. " IBE23 ,Pin 23 input enable" "Disabled,Enabled" bitfld.long 0x18 7. " IBE24 ,Pin 24 input enable" "Disabled,Enabled" bitfld.long 0x18 6. " IBE25 ,Pin 25 input enable" "Disabled,Enabled" newline bitfld.long 0x18 5. " IBE26 ,Pin 26 input enable" "Disabled,Enabled" bitfld.long 0x18 4. " IBE27 ,Pin 27 input enable" "Disabled,Enabled" bitfld.long 0x18 3. " IBE28 ,Pin 28 input enable" "Disabled,Enabled" bitfld.long 0x18 2. " IBE29 ,Pin 29 input enable" "Disabled,Enabled" bitfld.long 0x18 1. " IBE30 ,Pin 30 input enable" "Disabled,Enabled" bitfld.long 0x18 0. " IBE31 ,Pin 31 input enable" "Disabled,Enabled" width 0x0B tree.end tree "GPIO 2" base ad:0x02310000 width 7. group.long 0x00++0x1B line.long 0x00 "GPDIR,GPIO Direction Register" bitfld.long 0x00 25. " DR6 ,Pin 6 direction select" "Input,Output" bitfld.long 0x00 24. " DR7 ,Pin 7 direction select" "Input,Output" bitfld.long 0x00 23. " DR8 ,Pin 8 direction select" "Input,Output" bitfld.long 0x00 22. " DR9 ,Pin 9 direction select" "Input,Output" bitfld.long 0x00 21. " DR10 ,Pin 10 direction select" "Input,Output" bitfld.long 0x00 20. " DR11 ,Pin 11 direction select" "Input,Output" bitfld.long 0x00 19. " DR12 ,Pin 12 direction select" "Input,Output" bitfld.long 0x00 18. " DR13 ,Pin 13 direction select" "Input,Output" newline bitfld.long 0x00 17. " DR14 ,Pin 14 direction select" "Input,Output" bitfld.long 0x00 16. " DR15 ,Pin 15 direction select" "Input,Output" bitfld.long 0x00 15. " DR16 ,Pin 16 direction select" "Input,Output" bitfld.long 0x00 14. " DR17 ,Pin 17 direction select" "Input,Output" bitfld.long 0x00 13. " DR18 ,Pin 18 direction select" "Input,Output" bitfld.long 0x00 12. " DR19 ,Pin 19 direction select" "Input,Output" bitfld.long 0x00 11. " DR20 ,Pin 20 direction select" "Input,Output" bitfld.long 0x00 10. " DR21 ,Pin 21 direction select" "Input,Output" newline bitfld.long 0x00 9. " DR22 ,Pin 22 direction select" "Input,Output" bitfld.long 0x00 8. " DR23 ,Pin 23 direction select" "Input,Output" bitfld.long 0x00 7. " DR24 ,Pin 24 direction select" "Input,Output" bitfld.long 0x00 6. " DR25 ,Pin 25 direction select" "Input,Output" bitfld.long 0x00 5. " DR26 ,Pin 26 direction select" "Input,Output" bitfld.long 0x00 4. " DR27 ,Pin 27 direction select" "Input,Output" bitfld.long 0x00 3. " DR28 ,Pin 28 direction select" "Input,Output" bitfld.long 0x00 2. " DR29 ,Pin 29 direction select" "Input,Output" newline bitfld.long 0x00 1. " DR30 ,Pin 30 direction select" "Input,Output" bitfld.long 0x00 0. " DR31 ,Pin 31 direction select" "Input,Output" line.long 0x04 "GPODR,GPIO Open Drain Register" bitfld.long 0x04 25. " OD6 ,Open drain configuration for pin 6" "Push-pull,Open-drain" bitfld.long 0x04 24. " OD7 ,Open drain configuration for pin 7" "Push-pull,Open-drain" bitfld.long 0x04 23. " OD8 ,Open drain configuration for pin 8" "Push-pull,Open-drain" bitfld.long 0x04 22. " OD9 ,Open drain configuration for pin 9" "Push-pull,Open-drain" bitfld.long 0x04 21. " OD10 ,Open drain configuration for pin 10" "Push-pull,Open-drain" bitfld.long 0x04 20. " OD11 ,Open drain configuration for pin 11" "Push-pull,Open-drain" bitfld.long 0x04 19. " OD12 ,Open drain configuration for pin 12" "Push-pull,Open-drain" bitfld.long 0x04 18. " OD13 ,Open drain configuration for pin 13" "Push-pull,Open-drain" newline bitfld.long 0x04 17. " OD14 ,Open drain configuration for pin 14" "Push-pull,Open-drain" bitfld.long 0x04 16. " OD15 ,Open drain configuration for pin 15" "Push-pull,Open-drain" bitfld.long 0x04 15. " OD16 ,Open drain configuration for pin 16" "Push-pull,Open-drain" bitfld.long 0x04 14. " OD17 ,Open drain configuration for pin 17" "Push-pull,Open-drain" bitfld.long 0x04 13. " OD18 ,Open drain configuration for pin 18" "Push-pull,Open-drain" bitfld.long 0x04 12. " OD19 ,Open drain configuration for pin 19" "Push-pull,Open-drain" bitfld.long 0x04 11. " OD20 ,Open drain configuration for pin 20" "Push-pull,Open-drain" bitfld.long 0x04 10. " OD21 ,Open drain configuration for pin 21" "Push-pull,Open-drain" newline bitfld.long 0x04 9. " OD22 ,Open drain configuration for pin 22" "Push-pull,Open-drain" bitfld.long 0x04 8. " OD23 ,Open drain configuration for pin 23" "Push-pull,Open-drain" bitfld.long 0x04 7. " OD24 ,Open drain configuration for pin 24" "Push-pull,Open-drain" bitfld.long 0x04 6. " OD25 ,Open drain configuration for pin 25" "Push-pull,Open-drain" bitfld.long 0x04 5. " OD26 ,Open drain configuration for pin 26" "Push-pull,Open-drain" bitfld.long 0x04 4. " OD27 ,Open drain configuration for pin 27" "Push-pull,Open-drain" bitfld.long 0x04 3. " OD28 ,Open drain configuration for pin 28" "Push-pull,Open-drain" bitfld.long 0x04 2. " OD29 ,Open drain configuration for pin 29" "Push-pull,Open-drain" newline bitfld.long 0x04 1. " OD30 ,Open drain configuration for pin 30" "Push-pull,Open-drain" bitfld.long 0x04 0. " OD31 ,Open drain configuration for pin 31" "Push-pull,Open-drain" line.long 0x08 "GPDAT,GPIO Data Register" bitfld.long 0x08 25. " D6 ,Pin 6 data" "Low,High" bitfld.long 0x08 24. " D7 ,Pin 7 data" "Low,High" bitfld.long 0x08 23. " D8 ,Pin 8 data" "Low,High" bitfld.long 0x08 22. " D9 ,Pin 9 data" "Low,High" bitfld.long 0x08 21. " D10 ,Pin 10 data" "Low,High" bitfld.long 0x08 20. " D11 ,Pin 11 data" "Low,High" bitfld.long 0x08 19. " D12 ,Pin 12 data" "Low,High" bitfld.long 0x08 18. " D13 ,Pin 13 data" "Low,High" newline bitfld.long 0x08 17. " D14 ,Pin 14 data" "Low,High" bitfld.long 0x08 16. " D15 ,Pin 15 data" "Low,High" bitfld.long 0x08 15. " D16 ,Pin 16 data" "Low,High" bitfld.long 0x08 14. " D17 ,Pin 17 data" "Low,High" bitfld.long 0x08 13. " D18 ,Pin 18 data" "Low,High" bitfld.long 0x08 12. " D19 ,Pin 19 data" "Low,High" bitfld.long 0x08 11. " D20 ,Pin 20 data" "Low,High" bitfld.long 0x08 10. " D21 ,Pin 21 data" "Low,High" newline bitfld.long 0x08 9. " D22 ,Pin 22 data" "Low,High" bitfld.long 0x08 8. " D23 ,Pin 23 data" "Low,High" bitfld.long 0x08 7. " D24 ,Pin 24 data" "Low,High" bitfld.long 0x08 6. " D25 ,Pin 25 data" "Low,High" bitfld.long 0x08 5. " D26 ,Pin 26 data" "Low,High" bitfld.long 0x08 4. " D27 ,Pin 27 data" "Low,High" bitfld.long 0x08 3. " D28 ,Pin 28 data" "Low,High" bitfld.long 0x08 2. " D29 ,Pin 29 data" "Low,High" newline bitfld.long 0x08 1. " D30 ,Pin 30 data" "Low,High" bitfld.long 0x08 0. " D31 ,Pin 31 data" "Low,High" line.long 0x0C "GPIER,GPIO Interrupt Event Register" eventfld.long 0x0C 25. " EV6 ,Pin 6 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 24. " EV7 ,Pin 7 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 23. " EV8 ,Pin 8 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 22. " EV9 ,Pin 9 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 21. " EV10 ,Pin 10 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 20. " EV11 ,Pin 11 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 19. " EV12 ,Pin 12 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 18. " EV13 ,Pin 13 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 17. " EV14 ,Pin 14 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 16. " EV15 ,Pin 15 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 15. " EV16 ,Pin 16 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 14. " EV17 ,Pin 17 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 13. " EV18 ,Pin 18 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 12. " EV19 ,Pin 19 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 11. " EV20 ,Pin 20 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 10. " EV21 ,Pin 21 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 9. " EV22 ,Pin 22 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 8. " EV23 ,Pin 23 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 7. " EV24 ,Pin 24 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 6. " EV25 ,Pin 25 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 5. " EV26 ,Pin 26 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 4. " EV27 ,Pin 27 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 3. " EV28 ,Pin 28 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 2. " EV29 ,Pin 29 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 1. " EV30 ,Pin 30 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 0. " EV31 ,Pin 31 interrupt event status" "No interrupt,Interrupt" line.long 0x10 "GPIMR,GPIO Interrupt Mask Register" bitfld.long 0x10 25. " IM6 ,Pin 6 interrupt mask" "Masked,Not masked" bitfld.long 0x10 24. " IM7 ,Pin 7 interrupt mask" "Masked,Not masked" bitfld.long 0x10 23. " IM8 ,Pin 8 interrupt mask" "Masked,Not masked" bitfld.long 0x10 22. " IM9 ,Pin 9 interrupt mask" "Masked,Not masked" bitfld.long 0x10 21. " IM10 ,Pin 10 interrupt mask" "Masked,Not masked" bitfld.long 0x10 20. " IM11 ,Pin 11 interrupt mask" "Masked,Not masked" bitfld.long 0x10 19. " IM12 ,Pin 12 interrupt mask" "Masked,Not masked" bitfld.long 0x10 18. " IM13 ,Pin 13 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 17. " IM14 ,Pin 14 interrupt mask" "Masked,Not masked" bitfld.long 0x10 16. " IM15 ,Pin 15 interrupt mask" "Masked,Not masked" bitfld.long 0x10 15. " IM16 ,Pin 16 interrupt mask" "Masked,Not masked" bitfld.long 0x10 14. " IM17 ,Pin 17 interrupt mask" "Masked,Not masked" bitfld.long 0x10 13. " IM18 ,Pin 18 interrupt mask" "Masked,Not masked" bitfld.long 0x10 12. " IM19 ,Pin 19 interrupt mask" "Masked,Not masked" bitfld.long 0x10 11. " IM20 ,Pin 20 interrupt mask" "Masked,Not masked" bitfld.long 0x10 10. " IM21 ,Pin 21 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 9. " IM22 ,Pin 22 interrupt mask" "Masked,Not masked" bitfld.long 0x10 8. " IM23 ,Pin 23 interrupt mask" "Masked,Not masked" bitfld.long 0x10 7. " IM24 ,Pin 24 interrupt mask" "Masked,Not masked" bitfld.long 0x10 6. " IM25 ,Pin 25 interrupt mask" "Masked,Not masked" bitfld.long 0x10 5. " IM26 ,Pin 26 interrupt mask" "Masked,Not masked" bitfld.long 0x10 4. " IM27 ,Pin 27 interrupt mask" "Masked,Not masked" bitfld.long 0x10 3. " IM28 ,Pin 28 interrupt mask" "Masked,Not masked" bitfld.long 0x10 2. " IM29 ,Pin 29 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 1. " IM30 ,Pin 30 interrupt mask" "Masked,Not masked" bitfld.long 0x10 0. " IM31 ,Pin 31 interrupt mask" "Masked,Not masked" line.long 0x14 "GPICR,GPIO Interrupt Control Register" bitfld.long 0x14 25. " ED6 ,Pin 6 edge detection select" "Any change,Falling" bitfld.long 0x14 24. " ED7 ,Pin 7 edge detection select" "Any change,Falling" bitfld.long 0x14 23. " ED8 ,Pin 8 edge detection select" "Any change,Falling" bitfld.long 0x14 22. " ED9 ,Pin 9 edge detection select" "Any change,Falling" bitfld.long 0x14 21. " ED10 ,Pin 10 edge detection select" "Any change,Falling" bitfld.long 0x14 20. " ED11 ,Pin 11 edge detection select" "Any change,Falling" bitfld.long 0x14 19. " ED12 ,Pin 12 edge detection select" "Any change,Falling" bitfld.long 0x14 18. " ED13 ,Pin 13 edge detection select" "Any change,Falling" newline bitfld.long 0x14 17. " ED14 ,Pin 14 edge detection select" "Any change,Falling" bitfld.long 0x14 16. " ED15 ,Pin 15 edge detection select" "Any change,Falling" bitfld.long 0x14 15. " ED16 ,Pin 16 edge detection select" "Any change,Falling" bitfld.long 0x14 14. " ED17 ,Pin 17 edge detection select" "Any change,Falling" bitfld.long 0x14 13. " ED18 ,Pin 18 edge detection select" "Any change,Falling" bitfld.long 0x14 12. " ED19 ,Pin 19 edge detection select" "Any change,Falling" bitfld.long 0x14 11. " ED20 ,Pin 20 edge detection select" "Any change,Falling" bitfld.long 0x14 10. " ED21 ,Pin 21 edge detection select" "Any change,Falling" newline bitfld.long 0x14 9. " ED22 ,Pin 22 edge detection select" "Any change,Falling" bitfld.long 0x14 8. " ED23 ,Pin 23 edge detection select" "Any change,Falling" bitfld.long 0x14 7. " ED24 ,Pin 24 edge detection select" "Any change,Falling" bitfld.long 0x14 6. " ED25 ,Pin 25 edge detection select" "Any change,Falling" bitfld.long 0x14 5. " ED26 ,Pin 26 edge detection select" "Any change,Falling" bitfld.long 0x14 4. " ED27 ,Pin 27 edge detection select" "Any change,Falling" bitfld.long 0x14 3. " ED28 ,Pin 28 edge detection select" "Any change,Falling" bitfld.long 0x14 2. " ED29 ,Pin 29 edge detection select" "Any change,Falling" newline bitfld.long 0x14 1. " ED30 ,Pin 30 edge detection select" "Any change,Falling" bitfld.long 0x14 0. " ED31 ,Pin 31 edge detection select" "Any change,Falling" line.long 0x18 "GPIBE,GPIO Input Buffer Enable Register" bitfld.long 0x18 25. " IBE6 ,Pin 6 input enable" "Disabled,Enabled" bitfld.long 0x18 24. " IBE7 ,Pin 7 input enable" "Disabled,Enabled" bitfld.long 0x18 23. " IBE8 ,Pin 8 input enable" "Disabled,Enabled" bitfld.long 0x18 22. " IBE9 ,Pin 9 input enable" "Disabled,Enabled" bitfld.long 0x18 21. " IBE10 ,Pin 10 input enable" "Disabled,Enabled" bitfld.long 0x18 20. " IBE11 ,Pin 11 input enable" "Disabled,Enabled" bitfld.long 0x18 19. " IBE12 ,Pin 12 input enable" "Disabled,Enabled" bitfld.long 0x18 18. " IBE13 ,Pin 13 input enable" "Disabled,Enabled" newline bitfld.long 0x18 17. " IBE14 ,Pin 14 input enable" "Disabled,Enabled" bitfld.long 0x18 16. " IBE15 ,Pin 15 input enable" "Disabled,Enabled" bitfld.long 0x18 15. " IBE16 ,Pin 16 input enable" "Disabled,Enabled" bitfld.long 0x18 14. " IBE17 ,Pin 17 input enable" "Disabled,Enabled" bitfld.long 0x18 13. " IBE18 ,Pin 18 input enable" "Disabled,Enabled" bitfld.long 0x18 12. " IBE19 ,Pin 19 input enable" "Disabled,Enabled" bitfld.long 0x18 11. " IBE20 ,Pin 20 input enable" "Disabled,Enabled" bitfld.long 0x18 10. " IBE21 ,Pin 21 input enable" "Disabled,Enabled" newline bitfld.long 0x18 9. " IBE22 ,Pin 22 input enable" "Disabled,Enabled" bitfld.long 0x18 8. " IBE23 ,Pin 23 input enable" "Disabled,Enabled" bitfld.long 0x18 7. " IBE24 ,Pin 24 input enable" "Disabled,Enabled" bitfld.long 0x18 6. " IBE25 ,Pin 25 input enable" "Disabled,Enabled" bitfld.long 0x18 5. " IBE26 ,Pin 26 input enable" "Disabled,Enabled" bitfld.long 0x18 4. " IBE27 ,Pin 27 input enable" "Disabled,Enabled" bitfld.long 0x18 3. " IBE28 ,Pin 28 input enable" "Disabled,Enabled" bitfld.long 0x18 2. " IBE29 ,Pin 29 input enable" "Disabled,Enabled" newline bitfld.long 0x18 1. " IBE30 ,Pin 30 input enable" "Disabled,Enabled" bitfld.long 0x18 0. " IBE31 ,Pin 31 input enable" "Disabled,Enabled" width 0x0B tree.end tree "GPIO 3" base ad:0x02320000 width 7. group.long 0x00++0x1B line.long 0x00 "GPDIR,GPIO Direction Register" bitfld.long 0x00 31. " DR0 ,Pin 0 direction select" "Input,Output" bitfld.long 0x00 30. " DR1 ,Pin 1 direction select" "Input,Output" bitfld.long 0x00 29. " DR2 ,Pin 2 direction select" "Input,Output" bitfld.long 0x00 28. " DR3 ,Pin 3 direction select" "Input,Output" bitfld.long 0x00 27. " DR4 ,Pin 4 direction select" "Input,Output" bitfld.long 0x00 26. " DR5 ,Pin 5 direction select" "Input,Output" bitfld.long 0x00 25. " DR6 ,Pin 6 direction select" "Input,Output" bitfld.long 0x00 24. " DR7 ,Pin 7 direction select" "Input,Output" newline bitfld.long 0x00 23. " DR8 ,Pin 8 direction select" "Input,Output" bitfld.long 0x00 22. " DR9 ,Pin 9 direction select" "Input,Output" bitfld.long 0x00 21. " DR10 ,Pin 10 direction select" "Input,Output" bitfld.long 0x00 20. " DR11 ,Pin 11 direction select" "Input,Output" bitfld.long 0x00 19. " DR12 ,Pin 12 direction select" "Input,Output" bitfld.long 0x00 18. " DR13 ,Pin 13 direction select" "Input,Output" bitfld.long 0x00 17. " DR14 ,Pin 14 direction select" "Input,Output" bitfld.long 0x00 16. " DR15 ,Pin 15 direction select" "Input,Output" newline bitfld.long 0x00 15. " DR16 ,Pin 16 direction select" "Input,Output" line.long 0x04 "GPODR,GPIO Open Drain Register" bitfld.long 0x04 31. " OD0 ,Open drain configuration for pin 0" "Push-pull,Open-drain" bitfld.long 0x04 30. " OD1 ,Open drain configuration for pin 1" "Push-pull,Open-drain" bitfld.long 0x04 29. " OD2 ,Open drain configuration for pin 2" "Push-pull,Open-drain" bitfld.long 0x04 28. " OD3 ,Open drain configuration for pin 3" "Push-pull,Open-drain" bitfld.long 0x04 27. " OD4 ,Open drain configuration for pin 4" "Push-pull,Open-drain" bitfld.long 0x04 26. " OD5 ,Open drain configuration for pin 5" "Push-pull,Open-drain" bitfld.long 0x04 25. " OD6 ,Open drain configuration for pin 6" "Push-pull,Open-drain" bitfld.long 0x04 24. " OD7 ,Open drain configuration for pin 7" "Push-pull,Open-drain" newline bitfld.long 0x04 23. " OD8 ,Open drain configuration for pin 8" "Push-pull,Open-drain" bitfld.long 0x04 22. " OD9 ,Open drain configuration for pin 9" "Push-pull,Open-drain" bitfld.long 0x04 21. " OD10 ,Open drain configuration for pin 10" "Push-pull,Open-drain" bitfld.long 0x04 20. " OD11 ,Open drain configuration for pin 11" "Push-pull,Open-drain" bitfld.long 0x04 19. " OD12 ,Open drain configuration for pin 12" "Push-pull,Open-drain" bitfld.long 0x04 18. " OD13 ,Open drain configuration for pin 13" "Push-pull,Open-drain" bitfld.long 0x04 17. " OD14 ,Open drain configuration for pin 14" "Push-pull,Open-drain" bitfld.long 0x04 16. " OD15 ,Open drain configuration for pin 15" "Push-pull,Open-drain" newline bitfld.long 0x04 15. " OD16 ,Open drain configuration for pin 0" "Push-pull,Open-drain" line.long 0x08 "GPDAT,GPIO Data Register" bitfld.long 0x08 31. " D0 ,Pin 0 data" "Low,High" bitfld.long 0x08 30. " D1 ,Pin 1 data" "Low,High" bitfld.long 0x08 29. " D2 ,Pin 2 data" "Low,High" bitfld.long 0x08 28. " D3 ,Pin 3 data" "Low,High" bitfld.long 0x08 27. " D4 ,Pin 4 data" "Low,High" bitfld.long 0x08 26. " D5 ,Pin 5 data" "Low,High" bitfld.long 0x08 25. " D6 ,Pin 6 data" "Low,High" bitfld.long 0x08 24. " D7 ,Pin 7 data" "Low,High" newline bitfld.long 0x08 23. " D8 ,Pin 8 data" "Low,High" bitfld.long 0x08 22. " D9 ,Pin 9 data" "Low,High" bitfld.long 0x08 21. " D10 ,Pin 10 data" "Low,High" bitfld.long 0x08 20. " D11 ,Pin 11 data" "Low,High" bitfld.long 0x08 19. " D12 ,Pin 12 data" "Low,High" bitfld.long 0x08 18. " D13 ,Pin 13 data" "Low,High" bitfld.long 0x08 17. " D14 ,Pin 14 data" "Low,High" bitfld.long 0x08 16. " D15 ,Pin 15 data" "Low,High" newline bitfld.long 0x08 15. " D16 ,Pin 16 data" "Low,High" line.long 0x0C "GPIER,GPIO Interrupt Event Register" eventfld.long 0x0C 31. " EV0 ,Pin 0 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 30. " EV1 ,Pin 1 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 29. " EV2 ,Pin 2 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 28. " EV3 ,Pin 3 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 27. " EV4 ,Pin 4 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 26. " EV5 ,Pin 5 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 25. " EV6 ,Pin 6 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 24. " EV7 ,Pin 7 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 23. " EV8 ,Pin 8 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 22. " EV9 ,Pin 9 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 21. " EV10 ,Pin 10 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 20. " EV11 ,Pin 11 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 19. " EV12 ,Pin 12 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 18. " EV13 ,Pin 13 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 17. " EV14 ,Pin 14 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 16. " EV15 ,Pin 15 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 15. " EV16 ,Pin 16 interrupt event status" "No interrupt,Interrupt" line.long 0x10 "GPIMR,GPIO Interrupt Mask Register" bitfld.long 0x10 31. " IM0 ,Pin 0 interrupt mask" "Masked,Not masked" bitfld.long 0x10 30. " IM1 ,Pin 1 interrupt mask" "Masked,Not masked" bitfld.long 0x10 29. " IM2 ,Pin 2 interrupt mask" "Masked,Not masked" bitfld.long 0x10 28. " IM3 ,Pin 3 interrupt mask" "Masked,Not masked" bitfld.long 0x10 27. " IM4 ,Pin 4 interrupt mask" "Masked,Not masked" bitfld.long 0x10 26. " IM5 ,Pin 5 interrupt mask" "Masked,Not masked" bitfld.long 0x10 25. " IM6 ,Pin 6 interrupt mask" "Masked,Not masked" bitfld.long 0x10 24. " IM7 ,Pin 7 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 23. " IM8 ,Pin 8 interrupt mask" "Masked,Not masked" bitfld.long 0x10 22. " IM9 ,Pin 9 interrupt mask" "Masked,Not masked" bitfld.long 0x10 21. " IM10 ,Pin 10 interrupt mask" "Masked,Not masked" bitfld.long 0x10 20. " IM11 ,Pin 11 interrupt mask" "Masked,Not masked" bitfld.long 0x10 19. " IM12 ,Pin 12 interrupt mask" "Masked,Not masked" bitfld.long 0x10 18. " IM13 ,Pin 13 interrupt mask" "Masked,Not masked" bitfld.long 0x10 17. " IM14 ,Pin 14 interrupt mask" "Masked,Not masked" bitfld.long 0x10 16. " IM15 ,Pin 15 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 15. " IM16 ,Pin 16 interrupt mask" "Masked,Not masked" line.long 0x14 "GPICR,GPIO Interrupt Control Register" bitfld.long 0x14 31. " ED0 ,Pin 0 edge detection select" "Any change,Falling" bitfld.long 0x14 30. " ED1 ,Pin 1 edge detection select" "Any change,Falling" bitfld.long 0x14 29. " ED2 ,Pin 2 edge detection select" "Any change,Falling" bitfld.long 0x14 28. " ED3 ,Pin 3 edge detection select" "Any change,Falling" bitfld.long 0x14 27. " ED4 ,Pin 4 edge detection select" "Any change,Falling" bitfld.long 0x14 26. " ED5 ,Pin 5 edge detection select" "Any change,Falling" bitfld.long 0x14 25. " ED6 ,Pin 6 edge detection select" "Any change,Falling" bitfld.long 0x14 24. " ED7 ,Pin 7 edge detection select" "Any change,Falling" newline bitfld.long 0x14 23. " ED8 ,Pin 8 edge detection select" "Any change,Falling" bitfld.long 0x14 22. " ED9 ,Pin 9 edge detection select" "Any change,Falling" bitfld.long 0x14 21. " ED10 ,Pin 10 edge detection select" "Any change,Falling" bitfld.long 0x14 20. " ED11 ,Pin 11 edge detection select" "Any change,Falling" bitfld.long 0x14 19. " ED12 ,Pin 12 edge detection select" "Any change,Falling" bitfld.long 0x14 18. " ED13 ,Pin 13 edge detection select" "Any change,Falling" bitfld.long 0x14 17. " ED14 ,Pin 14 edge detection select" "Any change,Falling" bitfld.long 0x14 16. " ED15 ,Pin 15 edge detection select" "Any change,Falling" newline bitfld.long 0x14 15. " ED16 ,Pin 16 edge detection select" "Any change,Falling" line.long 0x18 "GPIBE,GPIO Input Buffer Enable Register" bitfld.long 0x18 31. " IBE0 ,Pin 0 input enable" "Disabled,Enabled" bitfld.long 0x18 30. " IBE1 ,Pin 1 input enable" "Disabled,Enabled" bitfld.long 0x18 29. " IBE2 ,Pin 2 input enable" "Disabled,Enabled" bitfld.long 0x18 28. " IBE3 ,Pin 3 input enable" "Disabled,Enabled" bitfld.long 0x18 27. " IBE4 ,Pin 4 input enable" "Disabled,Enabled" bitfld.long 0x18 26. " IBE5 ,Pin 5 input enable" "Disabled,Enabled" bitfld.long 0x18 25. " IBE6 ,Pin 6 input enable" "Disabled,Enabled" bitfld.long 0x18 24. " IBE7 ,Pin 7 input enable" "Disabled,Enabled" newline bitfld.long 0x18 23. " IBE8 ,Pin 8 input enable" "Disabled,Enabled" bitfld.long 0x18 22. " IBE9 ,Pin 9 input enable" "Disabled,Enabled" bitfld.long 0x18 21. " IBE10 ,Pin 10 input enable" "Disabled,Enabled" bitfld.long 0x18 20. " IBE11 ,Pin 11 input enable" "Disabled,Enabled" bitfld.long 0x18 19. " IBE12 ,Pin 12 input enable" "Disabled,Enabled" bitfld.long 0x18 18. " IBE13 ,Pin 13 input enable" "Disabled,Enabled" bitfld.long 0x18 17. " IBE14 ,Pin 14 input enable" "Disabled,Enabled" bitfld.long 0x18 16. " IBE15 ,Pin 15 input enable" "Disabled,Enabled" newline bitfld.long 0x18 15. " IBE16 ,Pin 16 input enable" "Disabled,Enabled" width 0x0B tree.end tree "GPIO 4" base ad:0x02330000 width 7. group.long 0x00++0x1B line.long 0x00 "GPDIR,GPIO Direction Register" bitfld.long 0x00 31. " DR0 ,Pin 0 direction select" "Input,Output" bitfld.long 0x00 30. " DR1 ,Pin 1 direction select" "Input,Output" bitfld.long 0x00 29. " DR2 ,Pin 2 direction select" "Input,Output" bitfld.long 0x00 28. " DR3 ,Pin 3 direction select" "Input,Output" bitfld.long 0x00 27. " DR4 ,Pin 4 direction select" "Input,Output" bitfld.long 0x00 26. " DR5 ,Pin 5 direction select" "Input,Output" bitfld.long 0x00 25. " DR6 ,Pin 6 direction select" "Input,Output" bitfld.long 0x00 24. " DR7 ,Pin 7 direction select" "Input,Output" newline bitfld.long 0x00 23. " DR8 ,Pin 8 direction select" "Input,Output" bitfld.long 0x00 22. " DR9 ,Pin 9 direction select" "Input,Output" bitfld.long 0x00 21. " DR10 ,Pin 10 direction select" "Input,Output" bitfld.long 0x00 20. " DR11 ,Pin 11 direction select" "Input,Output" bitfld.long 0x00 19. " DR12 ,Pin 12 direction select" "Input,Output" bitfld.long 0x00 18. " DR13 ,Pin 13 direction select" "Input,Output" bitfld.long 0x00 17. " DR14 ,Pin 14 direction select" "Input,Output" bitfld.long 0x00 16. " DR15 ,Pin 15 direction select" "Input,Output" newline bitfld.long 0x00 15. " DR16 ,Pin 16 direction select" "Input,Output" bitfld.long 0x00 14. " DR17 ,Pin 17 direction select" "Input,Output" bitfld.long 0x00 13. " DR18 ,Pin 18 direction select" "Input,Output" bitfld.long 0x00 12. " DR19 ,Pin 19 direction select" "Input,Output" bitfld.long 0x00 11. " DR20 ,Pin 20 direction select" "Input,Output" bitfld.long 0x00 10. " DR21 ,Pin 21 direction select" "Input,Output" bitfld.long 0x00 9. " DR22 ,Pin 22 direction select" "Input,Output" bitfld.long 0x00 8. " DR23 ,Pin 23 direction select" "Input,Output" newline bitfld.long 0x00 7. " DR24 ,Pin 24 direction select" "Input,Output" bitfld.long 0x00 6. " DR25 ,Pin 25 direction select" "Input,Output" bitfld.long 0x00 5. " DR26 ,Pin 26 direction select" "Input,Output" sif !cpuis("LX2??2A") bitfld.long 0x00 4. " DR27 ,Pin 27 direction select" "Input,Output" bitfld.long 0x00 3. " DR28 ,Pin 28 direction select" "Input,Output" endif bitfld.long 0x00 2. " DR29 ,Pin 29 direction select" "Input,Output" line.long 0x04 "GPODR,GPIO Open Drain Register" bitfld.long 0x04 31. " OD0 ,Open drain configuration for pin 0" "Push-pull,Open-drain" bitfld.long 0x04 30. " OD1 ,Open drain configuration for pin 1" "Push-pull,Open-drain" bitfld.long 0x04 29. " OD2 ,Open drain configuration for pin 2" "Push-pull,Open-drain" bitfld.long 0x04 28. " OD3 ,Open drain configuration for pin 3" "Push-pull,Open-drain" bitfld.long 0x04 27. " OD4 ,Open drain configuration for pin 4" "Push-pull,Open-drain" bitfld.long 0x04 26. " OD5 ,Open drain configuration for pin 5" "Push-pull,Open-drain" bitfld.long 0x04 25. " OD6 ,Open drain configuration for pin 6" "Push-pull,Open-drain" bitfld.long 0x04 24. " OD7 ,Open drain configuration for pin 7" "Push-pull,Open-drain" newline bitfld.long 0x04 23. " OD8 ,Open drain configuration for pin 8" "Push-pull,Open-drain" bitfld.long 0x04 22. " OD9 ,Open drain configuration for pin 9" "Push-pull,Open-drain" bitfld.long 0x04 21. " OD10 ,Open drain configuration for pin 10" "Push-pull,Open-drain" bitfld.long 0x04 20. " OD11 ,Open drain configuration for pin 11" "Push-pull,Open-drain" bitfld.long 0x04 19. " OD12 ,Open drain configuration for pin 12" "Push-pull,Open-drain" bitfld.long 0x04 18. " OD13 ,Open drain configuration for pin 13" "Push-pull,Open-drain" bitfld.long 0x04 17. " OD14 ,Open drain configuration for pin 14" "Push-pull,Open-drain" bitfld.long 0x04 16. " OD15 ,Open drain configuration for pin 15" "Push-pull,Open-drain" newline bitfld.long 0x04 15. " OD16 ,Open drain configuration for pin 16" "Push-pull,Open-drain" bitfld.long 0x04 14. " OD17 ,Open drain configuration for pin 17" "Push-pull,Open-drain" bitfld.long 0x04 13. " OD18 ,Open drain configuration for pin 18" "Push-pull,Open-drain" bitfld.long 0x04 12. " OD19 ,Open drain configuration for pin 19" "Push-pull,Open-drain" bitfld.long 0x04 11. " OD20 ,Open drain configuration for pin 20" "Push-pull,Open-drain" bitfld.long 0x04 10. " OD21 ,Open drain configuration for pin 21" "Push-pull,Open-drain" bitfld.long 0x04 9. " OD22 ,Open drain configuration for pin 22" "Push-pull,Open-drain" bitfld.long 0x04 8. " OD23 ,Open drain configuration for pin 23" "Push-pull,Open-drain" newline bitfld.long 0x04 7. " OD24 ,Open drain configuration for pin 24" "Push-pull,Open-drain" bitfld.long 0x04 6. " OD25 ,Open drain configuration for pin 25" "Push-pull,Open-drain" bitfld.long 0x04 5. " OD26 ,Open drain configuration for pin 26" "Push-pull,Open-drain" sif !cpuis("LX2??2A") bitfld.long 0x04 4. " OD27 ,Open drain configuration for pin 27" "Push-pull,Open-drain" bitfld.long 0x04 3. " OD28 ,Open drain configuration for pin 28" "Push-pull,Open-drain" endif bitfld.long 0x04 2. " OD29 ,Open drain configuration for pin 29" "Push-pull,Open-drain" line.long 0x08 "GPDAT,GPIO Data Register" bitfld.long 0x08 31. " D0 ,Pin 0 data" "Low,High" bitfld.long 0x08 30. " D1 ,Pin 1 data" "Low,High" bitfld.long 0x08 29. " D2 ,Pin 2 data" "Low,High" bitfld.long 0x08 28. " D3 ,Pin 3 data" "Low,High" bitfld.long 0x08 27. " D4 ,Pin 4 data" "Low,High" bitfld.long 0x08 26. " D5 ,Pin 5 data" "Low,High" bitfld.long 0x08 25. " D6 ,Pin 6 data" "Low,High" bitfld.long 0x08 24. " D7 ,Pin 7 data" "Low,High" newline bitfld.long 0x08 23. " D8 ,Pin 8 data" "Low,High" bitfld.long 0x08 22. " D9 ,Pin 9 data" "Low,High" bitfld.long 0x08 21. " D10 ,Pin 10 data" "Low,High" bitfld.long 0x08 20. " D11 ,Pin 11 data" "Low,High" bitfld.long 0x08 19. " D12 ,Pin 12 data" "Low,High" bitfld.long 0x08 18. " D13 ,Pin 13 data" "Low,High" bitfld.long 0x08 17. " D14 ,Pin 14 data" "Low,High" bitfld.long 0x08 16. " D15 ,Pin 15 data" "Low,High" newline bitfld.long 0x08 15. " D16 ,Pin 16 data" "Low,High" bitfld.long 0x08 14. " D17 ,Pin 17 data" "Low,High" bitfld.long 0x08 13. " D18 ,Pin 18 data" "Low,High" bitfld.long 0x08 12. " D19 ,Pin 19 data" "Low,High" bitfld.long 0x08 11. " D20 ,Pin 20 data" "Low,High" bitfld.long 0x08 10. " D21 ,Pin 21 data" "Low,High" bitfld.long 0x08 9. " D22 ,Pin 22 data" "Low,High" bitfld.long 0x08 8. " D23 ,Pin 23 data" "Low,High" newline bitfld.long 0x08 7. " D24 ,Pin 24 data" "Low,High" bitfld.long 0x08 6. " D25 ,Pin 25 data" "Low,High" bitfld.long 0x08 5. " D26 ,Pin 26 data" "Low,High" sif !cpuis("LX2??2A") bitfld.long 0x08 4. " D27 ,Pin 27 data" "Low,High" bitfld.long 0x08 3. " D28 ,Pin 28 data" "Low,High" endif bitfld.long 0x08 2. " D29 ,Pin 29 data" "Low,High" line.long 0x0C "GPIER,GPIO Interrupt Event Register" eventfld.long 0x0C 31. " EV0 ,Pin 0 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 30. " EV1 ,Pin 1 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 29. " EV2 ,Pin 2 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 28. " EV3 ,Pin 3 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 27. " EV4 ,Pin 4 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 26. " EV5 ,Pin 5 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 25. " EV6 ,Pin 6 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 24. " EV7 ,Pin 7 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 23. " EV8 ,Pin 8 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 22. " EV9 ,Pin 9 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 21. " EV10 ,Pin 10 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 20. " EV11 ,Pin 11 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 19. " EV12 ,Pin 12 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 18. " EV13 ,Pin 13 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 17. " EV14 ,Pin 14 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 16. " EV15 ,Pin 15 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 15. " EV16 ,Pin 16 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 14. " EV17 ,Pin 17 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 13. " EV18 ,Pin 18 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 12. " EV19 ,Pin 19 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 11. " EV20 ,Pin 20 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 10. " EV21 ,Pin 21 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 9. " EV22 ,Pin 22 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 8. " EV23 ,Pin 23 interrupt event status" "No interrupt,Interrupt" newline eventfld.long 0x0C 7. " EV24 ,Pin 24 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 6. " EV25 ,Pin 25 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 5. " EV26 ,Pin 26 interrupt event status" "No interrupt,Interrupt" sif !cpuis("LX2??2A") eventfld.long 0x0C 4. " EV27 ,Pin 27 interrupt event status" "No interrupt,Interrupt" eventfld.long 0x0C 3. " EV28 ,Pin 28 interrupt event status" "No interrupt,Interrupt" endif eventfld.long 0x0C 2. " EV29 ,Pin 29 interrupt event status" "No interrupt,Interrupt" line.long 0x10 "GPIMR,GPIO Interrupt Mask Register" bitfld.long 0x10 31. " IM0 ,Pin 0 interrupt mask" "Masked,Not masked" bitfld.long 0x10 30. " IM1 ,Pin 1 interrupt mask" "Masked,Not masked" bitfld.long 0x10 29. " IM2 ,Pin 2 interrupt mask" "Masked,Not masked" bitfld.long 0x10 28. " IM3 ,Pin 3 interrupt mask" "Masked,Not masked" bitfld.long 0x10 27. " IM4 ,Pin 4 interrupt mask" "Masked,Not masked" bitfld.long 0x10 26. " IM5 ,Pin 5 interrupt mask" "Masked,Not masked" bitfld.long 0x10 25. " IM6 ,Pin 6 interrupt mask" "Masked,Not masked" bitfld.long 0x10 24. " IM7 ,Pin 7 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 23. " IM8 ,Pin 8 interrupt mask" "Masked,Not masked" bitfld.long 0x10 22. " IM9 ,Pin 9 interrupt mask" "Masked,Not masked" bitfld.long 0x10 21. " IM10 ,Pin 10 interrupt mask" "Masked,Not masked" bitfld.long 0x10 20. " IM11 ,Pin 11 interrupt mask" "Masked,Not masked" bitfld.long 0x10 19. " IM12 ,Pin 12 interrupt mask" "Masked,Not masked" bitfld.long 0x10 18. " IM13 ,Pin 13 interrupt mask" "Masked,Not masked" bitfld.long 0x10 17. " IM14 ,Pin 14 interrupt mask" "Masked,Not masked" bitfld.long 0x10 16. " IM15 ,Pin 15 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 15. " IM16 ,Pin 16 interrupt mask" "Masked,Not masked" bitfld.long 0x10 14. " IM17 ,Pin 17 interrupt mask" "Masked,Not masked" bitfld.long 0x10 13. " IM18 ,Pin 18 interrupt mask" "Masked,Not masked" bitfld.long 0x10 12. " IM19 ,Pin 19 interrupt mask" "Masked,Not masked" bitfld.long 0x10 11. " IM20 ,Pin 20 interrupt mask" "Masked,Not masked" bitfld.long 0x10 10. " IM21 ,Pin 21 interrupt mask" "Masked,Not masked" bitfld.long 0x10 9. " IM22 ,Pin 22 interrupt mask" "Masked,Not masked" bitfld.long 0x10 8. " IM23 ,Pin 23 interrupt mask" "Masked,Not masked" newline bitfld.long 0x10 7. " IM24 ,Pin 24 interrupt mask" "Masked,Not masked" bitfld.long 0x10 6. " IM25 ,Pin 25 interrupt mask" "Masked,Not masked" bitfld.long 0x10 5. " IM26 ,Pin 26 interrupt mask" "Masked,Not masked" sif !cpuis("LX2??2A") bitfld.long 0x10 4. " IM27 ,Pin 27 interrupt mask" "Masked,Not masked" bitfld.long 0x10 3. " IM28 ,Pin 28 interrupt mask" "Masked,Not masked" endif bitfld.long 0x10 2. " IM29 ,Pin 29 interrupt mask" "Masked,Not masked" line.long 0x14 "GPICR,GPIO Interrupt Control Register" bitfld.long 0x14 31. " ED0 ,Pin 0 edge detection select" "Any change,Falling" bitfld.long 0x14 30. " ED1 ,Pin 1 edge detection select" "Any change,Falling" bitfld.long 0x14 29. " ED2 ,Pin 2 edge detection select" "Any change,Falling" bitfld.long 0x14 28. " ED3 ,Pin 3 edge detection select" "Any change,Falling" bitfld.long 0x14 27. " ED4 ,Pin 4 edge detection select" "Any change,Falling" bitfld.long 0x14 26. " ED5 ,Pin 5 edge detection select" "Any change,Falling" bitfld.long 0x14 25. " ED6 ,Pin 6 edge detection select" "Any change,Falling" bitfld.long 0x14 24. " ED7 ,Pin 7 edge detection select" "Any change,Falling" newline bitfld.long 0x14 23. " ED8 ,Pin 8 edge detection select" "Any change,Falling" bitfld.long 0x14 22. " ED9 ,Pin 9 edge detection select" "Any change,Falling" bitfld.long 0x14 21. " ED10 ,Pin 10 edge detection select" "Any change,Falling" bitfld.long 0x14 20. " ED11 ,Pin 11 edge detection select" "Any change,Falling" bitfld.long 0x14 19. " ED12 ,Pin 12 edge detection select" "Any change,Falling" bitfld.long 0x14 18. " ED13 ,Pin 13 edge detection select" "Any change,Falling" bitfld.long 0x14 17. " ED14 ,Pin 14 edge detection select" "Any change,Falling" bitfld.long 0x14 16. " ED15 ,Pin 15 edge detection select" "Any change,Falling" newline bitfld.long 0x14 15. " ED16 ,Pin 16 edge detection select" "Any change,Falling" bitfld.long 0x14 14. " ED17 ,Pin 17 edge detection select" "Any change,Falling" bitfld.long 0x14 13. " ED18 ,Pin 18 edge detection select" "Any change,Falling" bitfld.long 0x14 12. " ED19 ,Pin 19 edge detection select" "Any change,Falling" bitfld.long 0x14 11. " ED20 ,Pin 20 edge detection select" "Any change,Falling" bitfld.long 0x14 10. " ED21 ,Pin 21 edge detection select" "Any change,Falling" bitfld.long 0x14 9. " ED22 ,Pin 22 edge detection select" "Any change,Falling" bitfld.long 0x14 8. " ED23 ,Pin 23 edge detection select" "Any change,Falling" newline bitfld.long 0x14 7. " ED24 ,Pin 24 edge detection select" "Any change,Falling" bitfld.long 0x14 6. " ED25 ,Pin 25 edge detection select" "Any change,Falling" bitfld.long 0x14 5. " ED26 ,Pin 26 edge detection select" "Any change,Falling" sif !cpuis("LX2??2A") bitfld.long 0x14 4. " ED27 ,Pin 27 edge detection select" "Any change,Falling" bitfld.long 0x14 3. " ED28 ,Pin 28 edge detection select" "Any change,Falling" endif bitfld.long 0x14 2. " ED29 ,Pin 29 edge detection select" "Any change,Falling" line.long 0x18 "GPIBE,GPIO Input Buffer Enable Register" bitfld.long 0x18 31. " IBE0 ,Pin 0 input enable" "Disabled,Enabled" bitfld.long 0x18 30. " IBE1 ,Pin 1 input enable" "Disabled,Enabled" bitfld.long 0x18 29. " IBE2 ,Pin 2 input enable" "Disabled,Enabled" bitfld.long 0x18 28. " IBE3 ,Pin 3 input enable" "Disabled,Enabled" bitfld.long 0x18 27. " IBE4 ,Pin 4 input enable" "Disabled,Enabled" bitfld.long 0x18 26. " IBE5 ,Pin 5 input enable" "Disabled,Enabled" bitfld.long 0x18 25. " IBE6 ,Pin 6 input enable" "Disabled,Enabled" bitfld.long 0x18 24. " IBE7 ,Pin 7 input enable" "Disabled,Enabled" newline bitfld.long 0x18 23. " IBE8 ,Pin 8 input enable" "Disabled,Enabled" bitfld.long 0x18 22. " IBE9 ,Pin 9 input enable" "Disabled,Enabled" bitfld.long 0x18 21. " IBE10 ,Pin 10 input enable" "Disabled,Enabled" bitfld.long 0x18 20. " IBE11 ,Pin 11 input enable" "Disabled,Enabled" bitfld.long 0x18 19. " IBE12 ,Pin 12 input enable" "Disabled,Enabled" bitfld.long 0x18 18. " IBE13 ,Pin 13 input enable" "Disabled,Enabled" bitfld.long 0x18 17. " IBE14 ,Pin 14 input enable" "Disabled,Enabled" bitfld.long 0x18 16. " IBE15 ,Pin 15 input enable" "Disabled,Enabled" newline bitfld.long 0x18 15. " IBE16 ,Pin 16 input enable" "Disabled,Enabled" bitfld.long 0x18 14. " IBE17 ,Pin 17 input enable" "Disabled,Enabled" bitfld.long 0x18 13. " IBE18 ,Pin 18 input enable" "Disabled,Enabled" bitfld.long 0x18 12. " IBE19 ,Pin 19 input enable" "Disabled,Enabled" bitfld.long 0x18 11. " IBE20 ,Pin 20 input enable" "Disabled,Enabled" bitfld.long 0x18 10. " IBE21 ,Pin 21 input enable" "Disabled,Enabled" bitfld.long 0x18 9. " IBE22 ,Pin 22 input enable" "Disabled,Enabled" bitfld.long 0x18 8. " IBE23 ,Pin 23 input enable" "Disabled,Enabled" newline bitfld.long 0x18 7. " IBE24 ,Pin 24 input enable" "Disabled,Enabled" bitfld.long 0x18 6. " IBE25 ,Pin 25 input enable" "Disabled,Enabled" bitfld.long 0x18 5. " IBE26 ,Pin 26 input enable" "Disabled,Enabled" sif !cpuis("LX2??2A") bitfld.long 0x18 4. " IBE27 ,Pin 27 input enable" "Disabled,Enabled" bitfld.long 0x18 3. " IBE28 ,Pin 28 input enable" "Disabled,Enabled" endif bitfld.long 0x18 2. " IBE29 ,Pin 29 input enable" "Disabled,Enabled" width 0x0B tree.end tree.end tree.open "I2C (Inter-Integrated Circuit)" tree "I2C 1" base ad:0x02000000 width 7. group.byte 0x00++0x02 line.byte 0x00 "IBAD,I2C Bus Address Register" hexmask.byte 0x00 1.--7. 0x02 " ADR ,Slave address" line.byte 0x01 "IBFD,I2C Bus Frequency Divider Register" line.byte 0x02 "IBCR,I2C Bus Control Register" bitfld.byte 0x02 7. " MDIS ,Module disable" "No,Yes" bitfld.byte 0x02 6. " IBIE ,I-Bus interrupt enable" "Disabled,Enabled" bitfld.byte 0x02 5. " MSSL ,Master/Slave mode select" "Slave,Master" bitfld.byte 0x02 4. " TXRX ,Transmit/Receive mode select" "Receive,Transmit" newline bitfld.byte 0x02 3. " NOACK ,Data acknowledge disable" "No,Yes" bitfld.byte 0x02 2. " RSTA ,Repeat start" "No effect,Repeat" bitfld.byte 0x02 1. " DMAEN ,DMA Enable" "Disabled,Enabled" bitfld.byte 0x02 0. " IBDOZE ,I2C bus interface stop in DOZE mode" "Not stopped,Stopped" if ((per.b(ad:0x02000000+0x02)&0x80)==0x00) if ((per.b(ad:0x02000000+0x02)&0x20)==0x00) if ((per.b(ad:0x02000000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif else if ((per.b(ad:0x02000000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif endif else hgroup.byte 0x03++0x00 hide.byte 0x00 "IBSR,I2C Bus Status Register" endif newline hgroup.byte 0x04++0x00 hide.byte 0x00 "IBDR,I2C Bus Data I/O Register" in group.byte 0x05++0x01 line.byte 0x00 "IBIC,I2C Bus Interrupt Config Register" bitfld.byte 0x00 7. " BIIE ,Bus idle interrupt enable" "Disabled,Enabled" bitfld.byte 0x00 6. " BYTERXIE ,Byte receive interrupt enable" "Disabled,Enabled" line.byte 0x01 "IBDBG,I2C Bus Debug Register" bitfld.byte 0x01 3. " GLFLT_EN ,Glitch filter enable" "Disabled,Enabled" rbitfld.byte 0x01 1. " IPG_DEBUG_HALTED ,Debug halted" "Not halted,Halted" bitfld.byte 0x01 0. " IPG_DEBUG_EN ,Debug mode enable" "Disabled,Enabled" width 0x0B tree.end tree "I2C 2" base ad:0x02010000 width 7. group.byte 0x00++0x02 line.byte 0x00 "IBAD,I2C Bus Address Register" hexmask.byte 0x00 1.--7. 0x02 " ADR ,Slave address" line.byte 0x01 "IBFD,I2C Bus Frequency Divider Register" line.byte 0x02 "IBCR,I2C Bus Control Register" bitfld.byte 0x02 7. " MDIS ,Module disable" "No,Yes" bitfld.byte 0x02 6. " IBIE ,I-Bus interrupt enable" "Disabled,Enabled" bitfld.byte 0x02 5. " MSSL ,Master/Slave mode select" "Slave,Master" bitfld.byte 0x02 4. " TXRX ,Transmit/Receive mode select" "Receive,Transmit" newline bitfld.byte 0x02 3. " NOACK ,Data acknowledge disable" "No,Yes" bitfld.byte 0x02 2. " RSTA ,Repeat start" "No effect,Repeat" bitfld.byte 0x02 1. " DMAEN ,DMA Enable" "Disabled,Enabled" bitfld.byte 0x02 0. " IBDOZE ,I2C bus interface stop in DOZE mode" "Not stopped,Stopped" if ((per.b(ad:0x02010000+0x02)&0x80)==0x00) if ((per.b(ad:0x02010000+0x02)&0x20)==0x00) if ((per.b(ad:0x02010000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif else if ((per.b(ad:0x02010000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif endif else hgroup.byte 0x03++0x00 hide.byte 0x00 "IBSR,I2C Bus Status Register" endif newline hgroup.byte 0x04++0x00 hide.byte 0x00 "IBDR,I2C Bus Data I/O Register" in group.byte 0x05++0x01 line.byte 0x00 "IBIC,I2C Bus Interrupt Config Register" bitfld.byte 0x00 7. " BIIE ,Bus idle interrupt enable" "Disabled,Enabled" bitfld.byte 0x00 6. " BYTERXIE ,Byte receive interrupt enable" "Disabled,Enabled" line.byte 0x01 "IBDBG,I2C Bus Debug Register" bitfld.byte 0x01 3. " GLFLT_EN ,Glitch filter enable" "Disabled,Enabled" rbitfld.byte 0x01 1. " IPG_DEBUG_HALTED ,Debug halted" "Not halted,Halted" bitfld.byte 0x01 0. " IPG_DEBUG_EN ,Debug mode enable" "Disabled,Enabled" width 0x0B tree.end tree "I2C 3" base ad:0x02020000 width 7. group.byte 0x00++0x02 line.byte 0x00 "IBAD,I2C Bus Address Register" hexmask.byte 0x00 1.--7. 0x02 " ADR ,Slave address" line.byte 0x01 "IBFD,I2C Bus Frequency Divider Register" line.byte 0x02 "IBCR,I2C Bus Control Register" bitfld.byte 0x02 7. " MDIS ,Module disable" "No,Yes" bitfld.byte 0x02 6. " IBIE ,I-Bus interrupt enable" "Disabled,Enabled" bitfld.byte 0x02 5. " MSSL ,Master/Slave mode select" "Slave,Master" bitfld.byte 0x02 4. " TXRX ,Transmit/Receive mode select" "Receive,Transmit" newline bitfld.byte 0x02 3. " NOACK ,Data acknowledge disable" "No,Yes" bitfld.byte 0x02 2. " RSTA ,Repeat start" "No effect,Repeat" bitfld.byte 0x02 1. " DMAEN ,DMA Enable" "Disabled,Enabled" bitfld.byte 0x02 0. " IBDOZE ,I2C bus interface stop in DOZE mode" "Not stopped,Stopped" if ((per.b(ad:0x02020000+0x02)&0x80)==0x00) if ((per.b(ad:0x02020000+0x02)&0x20)==0x00) if ((per.b(ad:0x02020000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif else if ((per.b(ad:0x02020000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif endif else hgroup.byte 0x03++0x00 hide.byte 0x00 "IBSR,I2C Bus Status Register" endif newline hgroup.byte 0x04++0x00 hide.byte 0x00 "IBDR,I2C Bus Data I/O Register" in group.byte 0x05++0x01 line.byte 0x00 "IBIC,I2C Bus Interrupt Config Register" bitfld.byte 0x00 7. " BIIE ,Bus idle interrupt enable" "Disabled,Enabled" bitfld.byte 0x00 6. " BYTERXIE ,Byte receive interrupt enable" "Disabled,Enabled" line.byte 0x01 "IBDBG,I2C Bus Debug Register" bitfld.byte 0x01 3. " GLFLT_EN ,Glitch filter enable" "Disabled,Enabled" rbitfld.byte 0x01 1. " IPG_DEBUG_HALTED ,Debug halted" "Not halted,Halted" bitfld.byte 0x01 0. " IPG_DEBUG_EN ,Debug mode enable" "Disabled,Enabled" width 0x0B tree.end tree "I2C 4" base ad:0x02030000 width 7. group.byte 0x00++0x02 line.byte 0x00 "IBAD,I2C Bus Address Register" hexmask.byte 0x00 1.--7. 0x02 " ADR ,Slave address" line.byte 0x01 "IBFD,I2C Bus Frequency Divider Register" line.byte 0x02 "IBCR,I2C Bus Control Register" bitfld.byte 0x02 7. " MDIS ,Module disable" "No,Yes" bitfld.byte 0x02 6. " IBIE ,I-Bus interrupt enable" "Disabled,Enabled" bitfld.byte 0x02 5. " MSSL ,Master/Slave mode select" "Slave,Master" bitfld.byte 0x02 4. " TXRX ,Transmit/Receive mode select" "Receive,Transmit" newline bitfld.byte 0x02 3. " NOACK ,Data acknowledge disable" "No,Yes" bitfld.byte 0x02 2. " RSTA ,Repeat start" "No effect,Repeat" bitfld.byte 0x02 1. " DMAEN ,DMA Enable" "Disabled,Enabled" bitfld.byte 0x02 0. " IBDOZE ,I2C bus interface stop in DOZE mode" "Not stopped,Stopped" if ((per.b(ad:0x02030000+0x02)&0x80)==0x00) if ((per.b(ad:0x02030000+0x02)&0x20)==0x00) if ((per.b(ad:0x02030000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif else if ((per.b(ad:0x02030000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif endif else hgroup.byte 0x03++0x00 hide.byte 0x00 "IBSR,I2C Bus Status Register" endif newline hgroup.byte 0x04++0x00 hide.byte 0x00 "IBDR,I2C Bus Data I/O Register" in group.byte 0x05++0x01 line.byte 0x00 "IBIC,I2C Bus Interrupt Config Register" bitfld.byte 0x00 7. " BIIE ,Bus idle interrupt enable" "Disabled,Enabled" bitfld.byte 0x00 6. " BYTERXIE ,Byte receive interrupt enable" "Disabled,Enabled" line.byte 0x01 "IBDBG,I2C Bus Debug Register" bitfld.byte 0x01 3. " GLFLT_EN ,Glitch filter enable" "Disabled,Enabled" rbitfld.byte 0x01 1. " IPG_DEBUG_HALTED ,Debug halted" "Not halted,Halted" bitfld.byte 0x01 0. " IPG_DEBUG_EN ,Debug mode enable" "Disabled,Enabled" width 0x0B tree.end tree "I2C 5" base ad:0x02040000 width 7. group.byte 0x00++0x02 line.byte 0x00 "IBAD,I2C Bus Address Register" hexmask.byte 0x00 1.--7. 0x02 " ADR ,Slave address" line.byte 0x01 "IBFD,I2C Bus Frequency Divider Register" line.byte 0x02 "IBCR,I2C Bus Control Register" bitfld.byte 0x02 7. " MDIS ,Module disable" "No,Yes" bitfld.byte 0x02 6. " IBIE ,I-Bus interrupt enable" "Disabled,Enabled" bitfld.byte 0x02 5. " MSSL ,Master/Slave mode select" "Slave,Master" bitfld.byte 0x02 4. " TXRX ,Transmit/Receive mode select" "Receive,Transmit" newline bitfld.byte 0x02 3. " NOACK ,Data acknowledge disable" "No,Yes" bitfld.byte 0x02 2. " RSTA ,Repeat start" "No effect,Repeat" bitfld.byte 0x02 1. " DMAEN ,DMA Enable" "Disabled,Enabled" bitfld.byte 0x02 0. " IBDOZE ,I2C bus interface stop in DOZE mode" "Not stopped,Stopped" if ((per.b(ad:0x02040000+0x02)&0x80)==0x00) if ((per.b(ad:0x02040000+0x02)&0x20)==0x00) if ((per.b(ad:0x02040000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif else if ((per.b(ad:0x02040000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif endif else hgroup.byte 0x03++0x00 hide.byte 0x00 "IBSR,I2C Bus Status Register" endif newline hgroup.byte 0x04++0x00 hide.byte 0x00 "IBDR,I2C Bus Data I/O Register" in group.byte 0x05++0x01 line.byte 0x00 "IBIC,I2C Bus Interrupt Config Register" bitfld.byte 0x00 7. " BIIE ,Bus idle interrupt enable" "Disabled,Enabled" bitfld.byte 0x00 6. " BYTERXIE ,Byte receive interrupt enable" "Disabled,Enabled" line.byte 0x01 "IBDBG,I2C Bus Debug Register" bitfld.byte 0x01 3. " GLFLT_EN ,Glitch filter enable" "Disabled,Enabled" rbitfld.byte 0x01 1. " IPG_DEBUG_HALTED ,Debug halted" "Not halted,Halted" bitfld.byte 0x01 0. " IPG_DEBUG_EN ,Debug mode enable" "Disabled,Enabled" width 0x0B tree.end tree "I2C 6" base ad:0x02050000 width 7. group.byte 0x00++0x02 line.byte 0x00 "IBAD,I2C Bus Address Register" hexmask.byte 0x00 1.--7. 0x02 " ADR ,Slave address" line.byte 0x01 "IBFD,I2C Bus Frequency Divider Register" line.byte 0x02 "IBCR,I2C Bus Control Register" bitfld.byte 0x02 7. " MDIS ,Module disable" "No,Yes" bitfld.byte 0x02 6. " IBIE ,I-Bus interrupt enable" "Disabled,Enabled" bitfld.byte 0x02 5. " MSSL ,Master/Slave mode select" "Slave,Master" bitfld.byte 0x02 4. " TXRX ,Transmit/Receive mode select" "Receive,Transmit" newline bitfld.byte 0x02 3. " NOACK ,Data acknowledge disable" "No,Yes" bitfld.byte 0x02 2. " RSTA ,Repeat start" "No effect,Repeat" bitfld.byte 0x02 1. " DMAEN ,DMA Enable" "Disabled,Enabled" bitfld.byte 0x02 0. " IBDOZE ,I2C bus interface stop in DOZE mode" "Not stopped,Stopped" if ((per.b(ad:0x02050000+0x02)&0x80)==0x00) if ((per.b(ad:0x02050000+0x02)&0x20)==0x00) if ((per.b(ad:0x02050000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif else if ((per.b(ad:0x02050000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif endif else hgroup.byte 0x03++0x00 hide.byte 0x00 "IBSR,I2C Bus Status Register" endif newline hgroup.byte 0x04++0x00 hide.byte 0x00 "IBDR,I2C Bus Data I/O Register" in group.byte 0x05++0x01 line.byte 0x00 "IBIC,I2C Bus Interrupt Config Register" bitfld.byte 0x00 7. " BIIE ,Bus idle interrupt enable" "Disabled,Enabled" bitfld.byte 0x00 6. " BYTERXIE ,Byte receive interrupt enable" "Disabled,Enabled" line.byte 0x01 "IBDBG,I2C Bus Debug Register" bitfld.byte 0x01 3. " GLFLT_EN ,Glitch filter enable" "Disabled,Enabled" rbitfld.byte 0x01 1. " IPG_DEBUG_HALTED ,Debug halted" "Not halted,Halted" bitfld.byte 0x01 0. " IPG_DEBUG_EN ,Debug mode enable" "Disabled,Enabled" width 0x0B tree.end tree "I2C 7" base ad:0x02060000 width 7. group.byte 0x00++0x02 line.byte 0x00 "IBAD,I2C Bus Address Register" hexmask.byte 0x00 1.--7. 0x02 " ADR ,Slave address" line.byte 0x01 "IBFD,I2C Bus Frequency Divider Register" line.byte 0x02 "IBCR,I2C Bus Control Register" bitfld.byte 0x02 7. " MDIS ,Module disable" "No,Yes" bitfld.byte 0x02 6. " IBIE ,I-Bus interrupt enable" "Disabled,Enabled" bitfld.byte 0x02 5. " MSSL ,Master/Slave mode select" "Slave,Master" bitfld.byte 0x02 4. " TXRX ,Transmit/Receive mode select" "Receive,Transmit" newline bitfld.byte 0x02 3. " NOACK ,Data acknowledge disable" "No,Yes" bitfld.byte 0x02 2. " RSTA ,Repeat start" "No effect,Repeat" bitfld.byte 0x02 1. " DMAEN ,DMA Enable" "Disabled,Enabled" bitfld.byte 0x02 0. " IBDOZE ,I2C bus interface stop in DOZE mode" "Not stopped,Stopped" if ((per.b(ad:0x02060000+0x02)&0x80)==0x00) if ((per.b(ad:0x02060000+0x02)&0x20)==0x00) if ((per.b(ad:0x02060000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif else if ((per.b(ad:0x02060000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif endif else hgroup.byte 0x03++0x00 hide.byte 0x00 "IBSR,I2C Bus Status Register" endif newline hgroup.byte 0x04++0x00 hide.byte 0x00 "IBDR,I2C Bus Data I/O Register" in group.byte 0x05++0x01 line.byte 0x00 "IBIC,I2C Bus Interrupt Config Register" bitfld.byte 0x00 7. " BIIE ,Bus idle interrupt enable" "Disabled,Enabled" bitfld.byte 0x00 6. " BYTERXIE ,Byte receive interrupt enable" "Disabled,Enabled" line.byte 0x01 "IBDBG,I2C Bus Debug Register" bitfld.byte 0x01 3. " GLFLT_EN ,Glitch filter enable" "Disabled,Enabled" rbitfld.byte 0x01 1. " IPG_DEBUG_HALTED ,Debug halted" "Not halted,Halted" bitfld.byte 0x01 0. " IPG_DEBUG_EN ,Debug mode enable" "Disabled,Enabled" width 0x0B tree.end tree "I2C 8" base ad:0x02070000 width 7. group.byte 0x00++0x02 line.byte 0x00 "IBAD,I2C Bus Address Register" hexmask.byte 0x00 1.--7. 0x02 " ADR ,Slave address" line.byte 0x01 "IBFD,I2C Bus Frequency Divider Register" line.byte 0x02 "IBCR,I2C Bus Control Register" bitfld.byte 0x02 7. " MDIS ,Module disable" "No,Yes" bitfld.byte 0x02 6. " IBIE ,I-Bus interrupt enable" "Disabled,Enabled" bitfld.byte 0x02 5. " MSSL ,Master/Slave mode select" "Slave,Master" bitfld.byte 0x02 4. " TXRX ,Transmit/Receive mode select" "Receive,Transmit" newline bitfld.byte 0x02 3. " NOACK ,Data acknowledge disable" "No,Yes" bitfld.byte 0x02 2. " RSTA ,Repeat start" "No effect,Repeat" bitfld.byte 0x02 1. " DMAEN ,DMA Enable" "Disabled,Enabled" bitfld.byte 0x02 0. " IBDOZE ,I2C bus interface stop in DOZE mode" "Not stopped,Stopped" if ((per.b(ad:0x02070000+0x02)&0x80)==0x00) if ((per.b(ad:0x02070000+0x02)&0x20)==0x00) if ((per.b(ad:0x02070000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline rbitfld.byte 0x00 2. " SRW ,Slave read/write" "Slave RX,Slave TX" eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif else if ((per.b(ad:0x02070000+0x03)&0x80)==0x80) group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" rbitfld.byte 0x00 0. " RXAK ,Received acknowledge" "ACK,NACK" else group.byte 0x03++0x00 line.byte 0x00 "IBSR,I2C Bus Status Register" rbitfld.byte 0x00 7. " TCF ,Transfer complete" "In progress,Completed" rbitfld.byte 0x00 6. " IAAS ,Addressed as a slave" "Not addressed,Addressed as slave" rbitfld.byte 0x00 5. " IBB ,Bus busy" "Idle,Busy" eventfld.byte 0x00 4. " IBAL ,Arbitration lost" "Not lost,Lost" newline textfld " " eventfld.byte 0x00 1. " IBIF ,I-Bus interrupt flag" "No interrupt,Interrupt" endif endif else hgroup.byte 0x03++0x00 hide.byte 0x00 "IBSR,I2C Bus Status Register" endif newline hgroup.byte 0x04++0x00 hide.byte 0x00 "IBDR,I2C Bus Data I/O Register" in group.byte 0x05++0x01 line.byte 0x00 "IBIC,I2C Bus Interrupt Config Register" bitfld.byte 0x00 7. " BIIE ,Bus idle interrupt enable" "Disabled,Enabled" bitfld.byte 0x00 6. " BYTERXIE ,Byte receive interrupt enable" "Disabled,Enabled" line.byte 0x01 "IBDBG,I2C Bus Debug Register" bitfld.byte 0x01 3. " GLFLT_EN ,Glitch filter enable" "Disabled,Enabled" rbitfld.byte 0x01 1. " IPG_DEBUG_HALTED ,Debug halted" "Not halted,Halted" bitfld.byte 0x01 0. " IPG_DEBUG_EN ,Debug mode enable" "Disabled,Enabled" width 0x0B tree.end tree.end tree.open "PCIe (PCI Express Interface Controller)" tree "PCIe 1" if ((per.l(ad:0x01E00000+0x78)&0x01)==(0x00)) base ad:0x03400000 width 39. rgroup.word 0x00++0x03 "Config Registers" line.word 0x00 "VENDOR_ID,Vendor ID Register" line.word 0x02 "DEVICE_ID,Device ID Register" group.word 0x04++0x03 line.word 0x00 "COMMAND,Command Register" bitfld.word 0x00 10. " INTERRUPT_DIS ,Interrupt disable" "No,Yes" bitfld.word 0x00 8. " SERR ,Non fatal/Fatal error reporting enable" "Disabled,Enabled" newline bitfld.word 0x00 6. " PARITY_ERROR_RESP ,PCI parity error response" "Ignored,Reported" bitfld.word 0x00 2. " BUS_MASTER ,Bus master enable" "Disabled,Enabled" newline bitfld.word 0x00 1. " MEMORY_SPACE ,Memory space enable" "Disabled,Enabled" bitfld.word 0x00 0. " IO_SPACE ,I/O space enable" "Disabled,Enabled" line.word 0x02 "STATUS,Status Register" eventfld.word 0x02 15. " PAR_ERR ,Parity error" "No error,Error" eventfld.word 0x02 14. " SYS_ERR ,System error" "No error,Error" newline eventfld.word 0x02 13. " RCVD_MAS_ABORT ,Received unsupported request completion status" "Not received,Received" eventfld.word 0x02 12. " RCVD_TAR_ABORT ,Received completer abort completion status" "Not received,Received" newline eventfld.word 0x02 11. " TAR_ABORT ,Completed request with completer abort completion status" "No,Yes" eventfld.word 0x02 8. " MAS_DPR ,Master data parity error" "No error,Error" newline eventfld.word 0x02 4. " EXT_CAP_LIST ,Extended capability list item present" "Not present,Present" eventfld.word 0x02 3. " INTR_STS ,Interrupt status" "No interrupt,Interrupt" rgroup.byte 0x08++0x03 line.byte 0x00 "REVISION_ID,Revision ID Register" line.byte 0x01 "CLASS_CODE_A,Class Code Register A" line.byte 0x02 "CLASS_CODE_B,Class Code Register B" line.byte 0x03 "CLASS_CODE_C,Class Code Register C" group.byte 0x0C++0x00 line.byte 0x00 "CACHE_LINE_SIZE,Cache Line Size Register" rgroup.byte 0x0D++0x01 line.byte 0x00 "LATENCY_TIMER,Latency Timer Register" line.byte 0x01 "HEADER_TYPE,Header Type Register" bitfld.byte 0x01 7. " MULTI_F ,Multifunction" "Single,Multiple" hexmask.byte 0x01 0.--6. 1. " HEADER_L ,Header layout" group.long 0x10++0x03 line.long 0x00 "BAR0,Base Address Register 0" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) group.long 0x14++0x03 line.long 0x00 "BAR1,Base Address Register 1" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x18++0x03 line.long 0x00 "BAR2,Base Address Register 2" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,64-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x1C++0x0B line.long 0x00 "BAR3,Base Address Register 3" line.long 0x04 "BAR4,Base Address Register 4" hexmask.long.tbyte 0x04 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x04 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x04 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x04 0. " MEMSP ,Memory space indicator" "Memory,IO" line.long 0x08 "BAR5,Base Address Register 5" rgroup.word 0x2C++0x03 line.word 0x00 "SUB_SYS_VEN_ID,Subsystem Vendor ID Register" line.word 0x02 "SUB_SYS_ID,Subsystem ID Register" elif ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x01)) group.byte 0x18++0x02 line.byte 0x00 "PRIM_BUS_NUM,Primary Bus Number Register" line.byte 0x01 "SEC_BUS_NUM,Secondary Bus Number Register" line.byte 0x02 "SUBOR_BUS_NUM,Subordinate Bus Number Register" group.byte 0x1C++0x01 line.byte 0x00 "IO_BASE_ADDR,I/O Base Register" bitfld.byte 0x00 4.--7. " IO_START_ADDR ,I/O start address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x00 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.byte 0x01 "IO_LIMIT,I/O Limit Register" bitfld.byte 0x01 4.--7. " IO_LIMIT_ADDR ,I/O limit address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x01 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.word 0x1E++0x09 line.word 0x00 "IOBLSSRT,I/O Base Limit And Secondary Status Register" eventfld.word 0x00 15. " DPE ,Parity error" "No error,Error" eventfld.word 0x00 14. " SSE ,System error" "No error,Error" newline eventfld.word 0x00 13. " RMA ,Received master abort" "Not received,Received" eventfld.word 0x00 12. " RTA ,Received target abort" "Not received,Received" newline eventfld.word 0x00 11. " STA ,Signaled target abort" "Not set,Set" eventfld.word 0x00 8. " MDPE ,Master data parity error" "No error,Error" line.word 0x02 "MEM_BASE_ADDR,Memory Base Address Register" hexmask.word 0x02 4.--15. 0x10 " MEM_BASE ,Memory base address" line.word 0x04 "MEM_LIMIT,Memory Limit Register" hexmask.word 0x04 4.--15. 0x10 " MEM_LIMIT ,Memory limit address" line.word 0x06 "PMBR,Prefetchable Memory Base Register" hexmask.word 0x06 4.--15. 0x10 " PF_MEM_BASE ,Prefetchable memory base address" rbitfld.word 0x06 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.word 0x08 "PF_MEM_LIMIT,Prefetchable Memory Limit Register" hexmask.word 0x08 4.--15. 0x10 " PF_MEM_LIMIT ,I/O limit address" rbitfld.word 0x08 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.long 0x28++0x0B line.long 0x00 "PBUDWRT1,Prefetchable Base Upper DWord Register" line.long 0x04 "PLUDWRT1,Prefetchable Limit Upper DWord Register" line.long 0x08 "ERBAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x08 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x08 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" rgroup.word 0x30++0x03 line.word 0x00 "PBUDWRT1,I/O Base Upper Word Register" line.word 0x02 "PLUDWRT1,I/O Limit Upper Word Register" endif rgroup.byte 0x34++0x00 line.byte 0x00 "CPR,Capabilities Pointer Register" if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) group.long 0x30++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" elif ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x01)) group.long 0x38++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" endif group.byte 0x3C++0x00 line.byte 0x00 "ILR,Interrupt Line Register" rgroup.byte 0x3D++0x00 line.byte 0x00 "IPR,Interrupt Pin Register" if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x3E++0x01 line.byte 0x00 "MIN_GNT,Minimum Grant Register" line.byte 0x01 "MAX_LAT,Maximum Latency Register" elif ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x01)) group.word 0x3E++0x01 line.word 0x00 "BCR,Bridge Control Register" bitfld.word 0x00 6. " SCND_RST ,Secondary bus reset" "No reset,Reset" bitfld.word 0x00 3. " VGA_EN ,VGA enable" "Disabled,Enabled" newline bitfld.word 0x00 2. " ISA_EN ,ISA enable" "Disabled,Enabled" bitfld.word 0x00 1. " SERR_EN ,SERR enable" "Disabled,Enabled" newline bitfld.word 0x00 0. " PER ,Parity error response" "No error,Error" endif rgroup.byte 0x40++0x00 line.byte 0x00 "PWR_MGMT_CAP_ID,Power Management Capability ID Register" rgroup.word 0x42++0x01 line.word 0x00 "PMCR,PM Capability Register" bitfld.word 0x00 15. " PME_SUPPORT[4] ,Power state 4 PME set support" "Not supported,Supported" bitfld.word 0x00 14. " [3] ,Power state 3 PME set support" "Not supported,Supported" newline bitfld.word 0x00 13. " [2] ,Power state 2 PME set support" "Not supported,Supported" bitfld.word 0x00 12. " [1] ,Power state 1 PME set support" "Not supported,Supported" newline bitfld.word 0x00 11. " [0] ,Power state 0 PME set support" "Not supported,Supported" bitfld.word 0x00 10. " D2_SUPPORT ,D2 power management state support" "Not supported,Supported" newline bitfld.word 0x00 9. " D1_SUPPORT ,D1 power management state support" "Not supported,Supported" bitfld.word 0x00 6.--8. " AUX_CURRENT ,Auxiliary current support" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 5. " DSI ,Device specific initialization sequence required" "Not required,Required" bitfld.word 0x00 3. " PME_CLOCK ,PCI clock required for PME operation" "Not required,Required" newline bitfld.word 0x00 0.--2. " PCIPM_VERSION ,PCI power management interface specification version" "0,1,2,3,4,5,6,7" group.word 0x44++0x01 line.word 0x00 "PMCSR,PM Control And Status Register" eventfld.word 0x00 15. " PME_STAT ,PME status" "0,1" rbitfld.word 0x00 13.--14. " DATA_SCALE ,Data scale factor" "0,1,2,3" newline bitfld.word 0x00 9.--12. " DATA_SELECT ,Data select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 8. " PME_EN ,PME enable" "Disabled,Enabled" newline bitfld.word 0x00 0.--1. " POWER_STATE ,Power state" "D0,D1,D2,D3" rgroup.byte 0x47++0x00 line.byte 0x00 "PMDR,PM Data Register" if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x50++0x00 line.byte 0x00 "MSI_MC_ID,MSI Message Capability ID Register" group.word 0x52++0x01 line.word 0x00 "MSI_MC,MSI Message Control Register" rbitfld.word 0x00 7. " AC_64 ,64-bit address capable" "Not capable,Capable" bitfld.word 0x00 4.--6. " MME ,Multiple message enable" "0,1,2,3,4,5,6,7" newline rbitfld.word 0x00 1.--3. " MMC ,Multiple message capable" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0. " MSIE ,MSI enable" "Disabled,Enabled" group.long 0x54++0x07 line.long 0x00 "MSI_MAR,MSI Message Address Register" hexmask.long 0x00 2.--31. 0x04 " MSG_ADDR ,Message address" line.long 0x04 "MSI_MSG_UP_ADDR,MSI Message Upper Address Register" group.word 0x5C++0x01 line.word 0x00 "MSI_MSG_DAT,MSI Message Data Register" endif rgroup.byte 0x70++0x00 line.byte 0x00 "CAP_ID,Capability ID Register" if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." newline bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" elif ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x01)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 8. " SLOT ,Slot implemented" "Not implemented,Implemented" newline bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif rgroup.long 0x74++0x03 line.long 0x00 "DEVICE_CAP,Device Capabilities Register" bitfld.long 0x00 28. " FLRC ,Function level reset capability" "Not capable,Capable" bitfld.long 0x00 26.--27. " CSPLS ,Captured slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 18.--25. 1. " CSPLV ,Captured slot power limit value" bitfld.long 0x00 15. " RBER ,Role based error reporting" "Not reported,Reported" newline bitfld.long 0x00 9.--11. " EP_L1_LAT ,Endpoint L1 acceptable latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--8. " EP_L0S_LAT ,Endpoint L0s acceptable latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. " ET ,Extended tag field supported" "Not supported,Supported" bitfld.long 0x00 3.--4. " PHAN_FCT ,Phantom functions supported" "0,1,2,3" newline bitfld.long 0x00 0.--2. " MAX_PL_SIZE_SUP ,Max payload size supported" "0,1,2,3,4,5,6,7" group.long 0x78++0x03 line.long 0x00 "DEVICE_CTRL,Device Control Register" bitfld.long 0x00 15. " IFLR ,Initiate function level reset" "No reset,Reset" bitfld.long 0x00 12.--14. " MAX_READ_SIZE ,Maximum read request size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." newline bitfld.long 0x00 11. " ENS ,No snoop enable" "Disabled,Enabled" bitfld.long 0x00 10. " APE ,AUX power PM enable" "Disabled,Enabled" newline bitfld.long 0x00 9. " PFE ,Phantom functions enable" "Disabled,Enabled" bitfld.long 0x00 8. " ETE ,Extended tag field enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--7. " MAX_PL_SZ ,Maximum payload size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." bitfld.long 0x00 4. " RO_EN ,Relaxed ordering enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " URR ,Unsupported request reporting enable" "Disabled,Enabled" bitfld.long 0x00 2. " FER ,Fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " NFERR ,Non fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 0. " CER ,Correctable error reporting enable" "Disabled,Enabled" group.word 0x7A++0x01 line.word 0x00 "DEV_STS,Device Status Register" rbitfld.word 0x00 5. " TP ,Transactions pending" "Not pending,Pending" rbitfld.word 0x00 4. " APD ,AUX power detected" "Not detected,Detected" newline eventfld.word 0x00 3. " URD ,Unsupported request detected" "Not detected,Detected" eventfld.word 0x00 2. " FED ,Fatal error detected" "Not detected,Detected" newline eventfld.word 0x00 1. " NFED ,Non fatal error detected" "Not detected,Detected" eventfld.word 0x00 0. " CED ,Correctable error detected" "Not detected,Detected" rgroup.long 0x7C++0x03 line.long 0x00 "LINK_CAP,Link Capabilities Register" hexmask.long.byte 0x00 24.--31. 1. " PORT_NR ,Port number" bitfld.long 0x00 22. " AOC ,ASPM optionality compliance" "0,1" newline bitfld.long 0x00 21. " LBWN ,Link bandwidth notification capability" "Not capable,Capable" bitfld.long 0x00 20. " DLLARC ,Data link layer active reporting capable" "Not capable,Capable" newline bitfld.long 0x00 19. " SD_ERR_RPT_CAP ,Surprise down error reporting capable" "Not capable,Capable" bitfld.long 0x00 18. " CPM ,Clock power management" "0,1" newline bitfld.long 0x00 15.--17. " L1_EX_LAT ,L1 exit latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--14. " L0S_EX_LAT ,L0s exit latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--11. " ASPM ,Active state power management (ASPM) support" "0,1,2,3" bitfld.long 0x00 4.--9. " MAX_LINK_W ,Maximum link width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--3. " MAX_LINK_SP ,Maximum link speed" "0,2.5GT/s,5.0GT/s,8.0GT/s,?..." if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) group.word 0x80++0x03 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" newline bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" line.word 0x02 "LINK_STS,Link Status Register" eventfld.word 0x02 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" eventfld.word 0x02 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline rbitfld.word 0x02 12. " SCC ,Slot clock configuration" "0,1" rbitfld.word 0x02 11. " LT ,Link training" "0,1" newline rbitfld.word 0x02 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." rbitfld.word 0x02 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." elif ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x01)) group.word 0x80++0x01 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 5. " RL ,Retrain link initiate" "Not initiated,Initiated" newline bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" newline bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" rgroup.word 0x82++0x01 line.word 0x00 "LINK_STS,Link Status Register" bitfld.word 0x00 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" bitfld.word 0x00 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline bitfld.word 0x00 12. " SCC ,Slot clock configuration" "0,1" bitfld.word 0x00 11. " LT ,Link training" "0,1" newline bitfld.word 0x00 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." bitfld.word 0x00 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." rgroup.long 0x84++0x03 line.long 0x00 "SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x00 19.--31. 1. " PHY_SLOT_NR ,Physical slot number" bitfld.long 0x00 18. " NOCMDCPLSUP ,No command completed support" "Not supported,Supported" newline bitfld.long 0x00 17. " EMIP ,Electromechanical interlock present" "Not present,Present" bitfld.long 0x00 15.--16. " SPLS ,Slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 7.--14. 1. " SPLV ,Slot power limit value" bitfld.long 0x00 6. " HPD ,Hot-plug capable" "Not capable,Capable" newline bitfld.long 0x00 5. " HPS ,Hot-plug surprise" "0,1" bitfld.long 0x00 4. " PIP ,Power indicator present" "Not present,Present" newline bitfld.long 0x00 3. " AIP ,Attention indicator present" "Not present,Present" bitfld.long 0x00 2. " MRLSP ,MRL sensor present" "Not present,Present" newline bitfld.long 0x00 1. " PCP ,Power controller present" "Not present,Present" bitfld.long 0x00 0. " ABP ,Attention button present" "Not present,Present" group.long 0x88++0x03 line.long 0x00 "SLOT_CTRL,Slot Control Register" bitfld.long 0x00 12. " DLLSTCHGEN ,Data link layer state changed enable" "Disabled,Enabled" bitfld.long 0x00 11. " EMICTL ,Electromechanical interlock control" "0,1" newline bitfld.long 0x00 10. " PCC ,Power controller control" "0,1" bitfld.long 0x00 8.--9. " PIC ,Power indicator control" ",On,Blink,Off" newline bitfld.long 0x00 6.--7. " AIC ,Attention indicator control" ",On,Blink,Off" bitfld.long 0x00 5. " HPIE ,Hot plug interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " CCIE ,Command completed interrupt enable" "Disabled,Enabled" bitfld.long 0x00 3. " PDCE ,Presence detect changed enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " MRLSCE ,MRL sensor changed enable" "Disabled,Enabled" bitfld.long 0x00 1. " PFDE ,Power fault detected enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " ABPE ,Attention button pressed enable" "Disabled,Enabled" group.word 0x8A++0x05 line.word 0x00 "SLOT_STAT,Slot Status Register" eventfld.word 0x00 8. " DLLSTCHG ,Data link layer state changed" "Not changed,Changed" rbitfld.word 0x00 7. " EM_IL_ST ,Electromechanical interlock status" "Not set,Set" newline rbitfld.word 0x00 6. " PDS ,Presence detect state" "Empty,Card present" rbitfld.word 0x00 5. " MRLSS ,MRL sensor state" "Closed,Open" newline eventfld.word 0x00 4. " CC ,Command completed" "Not completed,Completed" eventfld.word 0x00 3. " PDC ,Presence detect changed" "Not changed,Changed" newline eventfld.word 0x00 2. " MRLSC ,MRL sensor changed" "Not changed,Changed" eventfld.word 0x00 1. " PFD ,Power fault detected" "Not detected,Detected" newline eventfld.word 0x00 0. " ABP ,Attention button pressed" "Not pressed,Pressed" line.word 0x02 "ROOT_CTRL,Root Control Register" bitfld.word 0x02 4. " CRSSWVE ,CRS software visibility enable" "Disabled,Enabled" bitfld.word 0x02 3. " PMEIE ,PME interrupt enable" "Disabled,Enabled" newline bitfld.word 0x02 2. " SEFEE ,System error on fatal error enable" "Disabled,Enabled" bitfld.word 0x02 1. " SENFEE ,System error on non fatal error enable" "Disabled,Enabled" newline bitfld.word 0x02 0. " SECEE ,System error on correctable error enable" "Disabled,Enabled" line.word 0x04 "ROOT_CAP,Root Capabilities Register" bitfld.word 0x04 0. " CRSSWV ,CRS software visibility" "Not visible,Visible" group.long 0x90++0x03 line.long 0x00 "ROOT_STS,Root Status Register" bitfld.long 0x00 17. " PMEP ,PME pending" "Not pending,Pending" bitfld.long 0x00 16. " PMES ,PME status" "0,1" newline hexmask.long.word 0x00 0.--15. 1. " PME_REQ_ID ,PME requester ID" endif rgroup.long 0x94++0x03 line.long 0x00 "DEV_CAP_2,Device Capabilities 2 Register" bitfld.long 0x00 5. " ARI_FWD ,ARI forwarding supported" "Not supported,Supported" bitfld.long 0x00 4. " CPL_TO_DS ,Completion timeout disable supported" "Not supported,Supported" newline bitfld.long 0x00 0.--3. " CPL_TO_RS ,Completion timeout ranges supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.word 0x98++0x01 line.word 0x00 "DEV_CTRL_2,Device Control 2 Register" bitfld.word 0x00 9. " IDO_CPL_EN ,IDO completion enable" "Disabled,Enabled" bitfld.word 0x00 8. " IDO_REQ_EN ,IDO request enable" "Disabled,Enabled" newline bitfld.word 0x00 5. " ARIFE ,ARI forwarding enable" "Disabled,Enabled" bitfld.word 0x00 4. " CPL_TOD ,Completion timeout disable" "No,Yes" newline bitfld.word 0x00 0.--3. " CPL_TO_VAL ,Completion timeout value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x9C++0x03 line.long 0x00 "LINK_CAP_2,Link Capabilities 2 Register" bitfld.long 0x00 8. " CROSSLINK_SUPP ,Crosslink supported" "Not supported,Supported" hexmask.long.byte 0x00 1.--7. 1. " SUPP_LINK_SPEED_VEC ,Supported link speeds vector" group.word 0xA0++0x01 line.word 0x00 "LINK_CTRL_2,Link Control 2 Register" bitfld.word 0x00 12.--15. " CDE ,Compliance preset/De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 11. " CSOS ,Compliance SOS" "0,1" newline bitfld.word 0x00 10. " EMC ,Enter modified compliance" "0,1" bitfld.word 0x00 7.--9. " TXM ,Transmit margin" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 6. " SDE ,Selectable de-emphasis" "0,1" bitfld.word 0x00 5. " HWASD ,Hardware autonomous speed disable" "No,Yes" newline bitfld.word 0x00 4. " EC ,Enter compliance" "0,1" bitfld.word 0x00 0.--3. " T_LS ,Target link speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0xA2++0x01 line.word 0x00 "LINK_STS_2,Link Status 2 Register" bitfld.word 0x00 5. " LER ,Link equalization request" "Not requested,Requested" bitfld.word 0x00 4. " EP3S ,Equalization phase 3 successful" "Not successful,Successful" newline bitfld.word 0x00 3. " EP2S ,Equalization phase 2 successful" "Not successful,Successful" bitfld.word 0x00 2. " EP1S ,Equalization phase 1 successful" "Not successful,Successful" newline bitfld.word 0x00 1. " EC ,Equalization complete" "Not completed,Completed" bitfld.word 0x00 0. " DE_LVL ,Current de-emphasis level" "0,1" rgroup.word 0x100++0x01 line.word 0x00 "ADV_ERR_REP_CAP_ID,Advanced Error Reporting Capability ID Register" group.long 0x104++0x17 line.long 0x00 "UNCORR_ERR_STS,Uncorrectable Error Status Register" bitfld.long 0x00 20. " URE ,Unsupported request error status" "Not set,Set" bitfld.long 0x00 19. " ECRCE ,ECRC error status" "Not set,Set" newline bitfld.long 0x00 18. " MTLP ,Malformed TLP status" "Not set,Set" bitfld.long 0x00 17. " RXO ,Receiver overflow status" "Not set,Set" newline bitfld.long 0x00 16. " UC ,Unexpected completion status" "Not set,Set" bitfld.long 0x00 15. " CA ,Completer abort status" "Not set,Set" newline bitfld.long 0x00 14. " CTO ,Completion timeout status" "Not set,Set" bitfld.long 0x00 13. " FCPE ,Flow control protocol error status" "Not set,Set" newline bitfld.long 0x00 12. " PTLP ,Poisoned TLP status" "Not set,Set" bitfld.long 0x00 4. " DLPE ,Data link protocol error status" "Not set,Set" line.long 0x04 "UNCORR_ERR_MSK,Uncorrectable Error Mask Register" bitfld.long 0x04 20. " UREM ,Unsupported request error mask" "Not masked,Masked" bitfld.long 0x04 19. " ECRCEM ,ECRC error mask" "Not masked,Masked" newline bitfld.long 0x04 18. " MTLPM ,Malformed TLP mask" "Not masked,Masked" bitfld.long 0x04 17. " RXOM ,Receiver overflow mask" "Not masked,Masked" newline bitfld.long 0x04 16. " UCM ,Unexpected completion mask" "Not masked,Masked" bitfld.long 0x04 15. " CAM ,Completer abort mask" "Not masked,Masked" newline bitfld.long 0x04 14. " CTOM ,Completion timeout mask" "Not masked,Masked" bitfld.long 0x04 13. " FCPEM ,Flow control protocol error mask" "Not masked,Masked" newline bitfld.long 0x04 12. " PTLPM ,Poisoned TLP mask" "Not masked,Masked" bitfld.long 0x04 4. " DLPEM ,Data link protocol error mask" "Not masked,Masked" line.long 0x08 "UNCORR_ERR_SEV,Uncorrectable Error Severity Register" bitfld.long 0x08 20. " URES ,Unsupported request error severity" "Not set,Set" bitfld.long 0x08 19. " ECRCES ,ECRC error severity" "Not set,Set" newline bitfld.long 0x08 18. " MTLPS ,Malformed TLP severity" "Not set,Set" bitfld.long 0x08 17. " RXOS ,Receiver overflow severity" "Not set,Set" newline bitfld.long 0x08 16. " UCS ,Unexpected completion severity" "Not set,Set" bitfld.long 0x08 15. " CAS ,Completer abort severity" "Not set,Set" newline bitfld.long 0x08 14. " CTOS ,Completion timeout severity" "Not set,Set" bitfld.long 0x08 13. " FCPES ,Flow control protocol error severity" "Not set,Set" newline bitfld.long 0x08 12. " PTLPS ,Poisoned TLP severity" "Not set,Set" bitfld.long 0x08 4. " DLPES ,Data link protocol error severity" "Not set,Set" line.long 0x0C "CORR_ERR_STS,Correctable Error Status Register" bitfld.long 0x0C 13. " ADVNFE ,Advisory non fatal error status" "Not set,Set" bitfld.long 0x0C 12. " RTTO ,Replay timer timeout status" "Not set,Set" newline bitfld.long 0x0C 8. " RNR ,REPLAY_NUM rollover status" "Not set,Set" bitfld.long 0x0C 7. " BDLLP ,Bad DLLP status" "Not set,Set" newline bitfld.long 0x0C 6. " BTLP ,Bad TLP status" "Not set,Set" bitfld.long 0x0C 0. " RXE ,Receiver error status" "Not set,Set" line.long 0x10 "CORR_ERR_MSK,Correctable Error Mask Register" bitfld.long 0x10 13. " ADVNFEM ,Advisory non fatal error mask" "Not masked,Masked" bitfld.long 0x10 12. " RTTOM ,Replay timer timeout mask" "Not masked,Masked" newline bitfld.long 0x10 8. " RNRM ,REPLAY_NUM rollover mask" "Not masked,Masked" bitfld.long 0x10 7. " BDLLPM ,Bad DLLP mask" "Not masked,Masked" newline bitfld.long 0x10 6. " BTLPM ,Bad TLP mask" "Not masked,Masked" bitfld.long 0x10 0. " RXEM ,Receiver error mask" "Not masked,Masked" line.long 0x14 "ADV_ERR_CAP_CTL,Advanced Error Capabilities And Control Register" bitfld.long 0x14 8. " ECRCCE ,ECRC checking enable" "Disabled,Enabled" rbitfld.long 0x14 7. " ECRCCC ,ECRC checking capable" "Not capable,Capable" newline bitfld.long 0x14 6. " ECRCGE ,ECRC generation enable" "Disabled,Enabled" rbitfld.long 0x14 5. " ECRCGC ,ECRC generation capable" "Not capable,Capable" newline rbitfld.long 0x14 0.--4. " FIRST_ERR_PTR ,First error pointer" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F,0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,0x18,0x19,0x1A,0x1B,0x1C,0x1D,0x1E,0x1F" rgroup.long 0x11C++0x0F line.long 0x00 "HDR_LOG1,Header Log Register 1" hexmask.long.byte 0x00 24.--31. 1. " BYTE_0 ,Byte 0" hexmask.long.byte 0x00 16.--23. 1. " BYTE_1 ,Byte 1" newline hexmask.long.byte 0x00 8.--15. 1. " BYTE_2 ,Byte 2" hexmask.long.byte 0x00 0.--7. 1. " BYTE_3 ,Byte 3" line.long 0x04 "HDR_LOG2,Header Log Register 2" hexmask.long.byte 0x04 24.--31. 1. " BYTE_4 ,Byte 4" hexmask.long.byte 0x04 16.--23. 1. " BYTE_5 ,Byte 5" newline hexmask.long.byte 0x04 8.--15. 1. " BYTE_6 ,Byte 6" hexmask.long.byte 0x04 0.--7. 1. " BYTE_7 ,Byte 7" line.long 0x08 "HDR_LOG3,Header Log Register 3" hexmask.long.byte 0x08 24.--31. 1. " BYTE_8 ,Byte 8" hexmask.long.byte 0x08 16.--23. 1. " BYTE_9 ,Byte 9" newline hexmask.long.byte 0x08 8.--15. 1. " BYTE_A ,Byte A" hexmask.long.byte 0x08 0.--7. 1. " BYTE_B ,Byte B" line.long 0x0C "HDR_LOG4,Header Log Register 4" hexmask.long.byte 0x0C 24.--31. 1. " BYTE_C ,Byte C" hexmask.long.byte 0x0C 16.--23. 1. " BYTE_D ,Byte D" newline hexmask.long.byte 0x0C 8.--15. 1. " BYTE_E ,Byte E" hexmask.long.byte 0x0C 0.--7. 1. " BYTE_F ,Byte F" if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x01)) group.long 0x12C++0x07 line.long 0x00 "ROOT_ERR_CMD,Root Error Command Register" bitfld.long 0x00 2. " FERE ,Fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 1. " NFERE ,Non fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " CERE ,Correctable error reporting enable" "Disabled,Enabled" line.long 0x04 "ROOT_ERR_STS,Root Error Status Register" rbitfld.long 0x04 27.--31. " AEIMN ,Advanced error interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" eventfld.long 0x04 6. " FEMR ,Fatal error messages received" "Not received,Received" newline eventfld.long 0x04 5. " NFEMR ,First uncorrectable fatal" "Not received,Received" eventfld.long 0x04 4. " FUF ,First uncorrectable fatal" "Not received,Received" newline eventfld.long 0x04 3. " MEFNFR ,Multiple ERR_FATAL/NONFATAL received" "Not received,Received" eventfld.long 0x04 2. " EFNFR ,ERR_FATAL/NONFATAL received" "Not received,Received" newline eventfld.long 0x04 1. " MECR ,Multiple ERR_COR received" "Not received,Received" eventfld.long 0x04 0. " ECR ,ERR_COR received" "Not received,Received" endif rgroup.word 0x134++0x03 line.word 0x00 "CORR_ERR_SRC_ID,Correctable Error Source ID Register" line.word 0x02 "ERR_SRC_ID,Error Source ID Register" rgroup.long 0x148++0x03 line.long 0x00 "SPCIE_CAP_HDR,Secondary Extended Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" group.long 0x14C++0x07 line.long 0x00 "LINK_CTRL3,Link Control 3 Register" bitfld.long 0x00 1. " EQ_REQ_INT_EN ,Link equalization request interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " PERFORM_EQ ,Perform equalization" "Not performed,Performed" line.long 0x04 "LANE_ERR_STS,Lane Error Status Register" eventfld.long 0x04 3. " LANE_ERR_STS[3] ,Lane error status bit 3" "Not detected,Detected" eventfld.long 0x04 2. " [2] ,Lane error status bit 2" "Not detected,Detected" newline eventfld.long 0x04 1. " [1] ,Lane error status bit 1" "Not detected,Detected" eventfld.long 0x04 0. " [0] ,Lane error status bit 0" "Not detected,Detected" rgroup.word 0x154++0x01 line.word 0x00 "G4LECR0,GEN4 Lane Equalization Control Register 0" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x156++0x01 line.word 0x00 "G4LECR1,GEN4 Lane Equalization Control Register 1" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x158++0x01 line.word 0x00 "G4LECR2,GEN4 Lane Equalization Control Register 2" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x15A++0x01 line.word 0x00 "G4LECR3,GEN4 Lane Equalization Control Register 3" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x71C++0x03 line.long 0x00 "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register And Filter Mask 1 Register" hexmask.long.word 0x00 16.--31. 1. " MASK_RADM_1 ,Filter mask 1" bitfld.long 0x00 15. " DISABLE_FC_WD_TIMER ,Disable FC watchdog timer" "No,Yes" newline hexmask.long.word 0x00 0.--10. 1. " SKP_INT_VAL ,SKP interval value" group.long 0x890++0x03 line.long 0x00 "GEN3_RELATED_OFF,Gen 3 Control Register" bitfld.long 0x00 16. " GEN3_EQUALIZATION_DISABLE ,Equalization disable" "No,Yes" bitfld.long 0x00 12. " RXEQ_PH01_EN ,Rx equalization phase 0/1 hold enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " EQ_EIEOS_CNT ,Equalization EIEOS count reset disable" "No,Yes" group.long 0x8BC++0x03 line.long 0x00 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register" bitfld.long 0x00 0. " RO_WR_EN ,Read-only write enable" "Disabled,Enabled" group.long 0x8E0++0x07 line.long 0x00 "COHERENCY_CTRL_1_OFF,Coherency Control Register 1" hexmask.long 0x00 2.--31. 0x04 " CFG_MEMTYPE_BOUNDARY_LOW_ADDR ,Boundary lower address for memory type" bitfld.long 0x00 0. " CFG_MEMTYPE_VALUE ,Memory type" "CCSR/Memory,?..." line.long 0x04 "COHERENCY_CTRL_2_OFF,Coherency Control Register 2" group.long 0x900++0x03 line.long 0x00 "IATU_VIEWPORT_OFF,iATU Index Register" bitfld.long 0x00 31. " REGION_DIR ,Region direction" "Outbound,Inbound" hexmask.long.byte 0x00 0.--7. 1. " REGION_INDEX ,Region index" if ((per.w(ad:0x03400000+0x900)&0x80000000)==(0x00)) group.long 0x904++0x1B line.long 0x00 "IATU_REGION_CTRL_1_OFF_OUTBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,AT" "0,1,2,3" bitfld.long 0x00 9.--10. " ATTR ,Attribute" "0,1,2,3" newline bitfld.long 0x00 8. " TD ,TLP digest" "0,1" bitfld.long 0x00 5.--7. " TC ,Traffic class" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "IATU_REGION_CTRL_2_OFF_OUTBOUND_0,iATU Region Control 2 Register" bitfld.long 0x04 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x04 28. " CFG_SHIFT_MODE ,CFG shift mode" "Off,On" newline hexmask.long.byte 0x04 0.--7. 1. " MSG_CODE ,Message code" line.long 0x08 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x08 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x08 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x0C "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_0,iATU Upper Base Address Register" line.long 0x10 "IATU_LIMIT_ADDR_OFF_OUTBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x14 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_0,iATU Outbound Region#N Lower Offset Address Register" hexmask.long.tbyte 0x14 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x14 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x18 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_0,iATU Upper Target Address Register" else if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 20.--24. " CTRL_1_FUNC_NUM ,Function number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" elif ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x01)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" endif group.long 0x908++0x17 line.long 0x00 "IATU_REGION_CTRL_2_OFF_INBOUND_0,iATU Region Control 2 Register" bitfld.long 0x00 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x00 30. " MATCH_MODE ,Match mode" "Address/Routing ID,BAR/Accept/Vendor" newline bitfld.long 0x00 19. " FUNC_NUM_MATCH_EN ,Function number match enable" "Disabled,Enabled" bitfld.long 0x00 18. " AT_MATCH_EN ,AT match enable" "Disabled,Enabled" newline bitfld.long 0x00 8.--10. " BAR_NUM ,BAR number" "0,1,2,3,4,5,ROM,?..." line.long 0x04 "IATU_LWR_BASE_ADDR_OFF_INBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x04 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x04 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x08 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_0,iATU Upper Base Address Register" line.long 0x0C "IATU_LIMIT_ADDR_OFF_INBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x0C 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x0C 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x10 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_0,iATU Region#N Lower Offset Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x14 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_0,iATU Upper Target Address Register" endif wgroup.long 0x1010++0x03 line.long 0x00 "BAR0_MASK,Base Address Register 0" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" wgroup.long 0x1014++0x03 line.long 0x00 "BAR1_MASK,Base Address Register 1" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1018++0x03 line.long 0x00 "BAR2_MASK,Base Address Register 2" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) wgroup.long 0x101C++0x03 line.long 0x00 "BAR3_MASK,Base Address Register 3" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1020++0x03 line.long 0x00 "BAR4_MASK,Base Address Register 4" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1024++0x03 line.long 0x00 "BAR5_MASK,Base Address Register 5" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1030++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" elif ((per.w(ad:0x03400000+0x0D)&0x7F)==(0x01)) wgroup.long 0x1038++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif width 0x0B base ad:0x03480000 width 23. group.long 0x20++0x07 "PEX LUT Registers" line.long 0x00 "PEXLSR,PEX LUT Status Register" eventfld.long 0x00 31. " LUTM ,Lookup table miss" "Not missed,Missed" eventfld.long 0x00 30. " MLUTM ,Multiple lookup table miss" "Not missed,Missed" hexmask.long.word 0x00 0.--15. 1. " CREQID ,Captured REQID" line.long 0x04 "PEXLCR,PEX LUT Control Register" bitfld.long 0x04 31. " DPL ,Default privilege level" "0,1" bitfld.long 0x04 30. " DBMT ,Default bypass memory translation" "0,1" hexmask.long.word 0x04 0.--14. 1. " DICID ,Default isolation context ID" group.long 0x800++0x07 line.long 0x00 "PEXL0UDR,PEX LUT Entry 0 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 0 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 0 of the PEX lookup table" line.long 0x04 "PEXL0LDR,PEX LUT Entry 0 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 0 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 0 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 0 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 0 within the PEX lookup table" group.long 0x808++0x07 line.long 0x00 "PEXL1UDR,PEX LUT Entry 1 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 1 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 1 of the PEX lookup table" line.long 0x04 "PEXL1LDR,PEX LUT Entry 1 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 1 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 1 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 1 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 1 within the PEX lookup table" group.long 0x810++0x07 line.long 0x00 "PEXL2UDR,PEX LUT Entry 2 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 2 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 2 of the PEX lookup table" line.long 0x04 "PEXL2LDR,PEX LUT Entry 2 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 2 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 2 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 2 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 2 within the PEX lookup table" group.long 0x818++0x07 line.long 0x00 "PEXL3UDR,PEX LUT Entry 3 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 3 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 3 of the PEX lookup table" line.long 0x04 "PEXL3LDR,PEX LUT Entry 3 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 3 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 3 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 3 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 3 within the PEX lookup table" group.long 0x820++0x07 line.long 0x00 "PEXL4UDR,PEX LUT Entry 4 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 4 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 4 of the PEX lookup table" line.long 0x04 "PEXL4LDR,PEX LUT Entry 4 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 4 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 4 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 4 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 4 within the PEX lookup table" group.long 0x828++0x07 line.long 0x00 "PEXL5UDR,PEX LUT Entry 5 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 5 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 5 of the PEX lookup table" line.long 0x04 "PEXL5LDR,PEX LUT Entry 5 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 5 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 5 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 5 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 5 within the PEX lookup table" group.long 0x830++0x07 line.long 0x00 "PEXL6UDR,PEX LUT Entry 6 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 6 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 6 of the PEX lookup table" line.long 0x04 "PEXL6LDR,PEX LUT Entry 6 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 6 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 6 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 6 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 6 within the PEX lookup table" group.long 0x838++0x07 line.long 0x00 "PEXL7UDR,PEX LUT Entry 7 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 7 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 7 of the PEX lookup table" line.long 0x04 "PEXL7LDR,PEX LUT Entry 7 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 7 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 7 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 7 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 7 within the PEX lookup table" group.long 0x840++0x07 line.long 0x00 "PEXL8UDR,PEX LUT Entry 8 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 8 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 8 of the PEX lookup table" line.long 0x04 "PEXL8LDR,PEX LUT Entry 8 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 8 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 8 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 8 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 8 within the PEX lookup table" group.long 0x848++0x07 line.long 0x00 "PEXL9UDR,PEX LUT Entry 9 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 9 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 9 of the PEX lookup table" line.long 0x04 "PEXL9LDR,PEX LUT Entry 9 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 9 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 9 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 9 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 9 within the PEX lookup table" group.long 0x850++0x07 line.long 0x00 "PEXL10UDR,PEX LUT Entry 10 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 10 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 10 of the PEX lookup table" line.long 0x04 "PEXL10LDR,PEX LUT Entry 10 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 10 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 10 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 10 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 10 within the PEX lookup table" group.long 0x858++0x07 line.long 0x00 "PEXL11UDR,PEX LUT Entry 11 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 11 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 11 of the PEX lookup table" line.long 0x04 "PEXL11LDR,PEX LUT Entry 11 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 11 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 11 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 11 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 11 within the PEX lookup table" group.long 0x860++0x07 line.long 0x00 "PEXL12UDR,PEX LUT Entry 12 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 12 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 12 of the PEX lookup table" line.long 0x04 "PEXL12LDR,PEX LUT Entry 12 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 12 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 12 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 12 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 12 within the PEX lookup table" group.long 0x868++0x07 line.long 0x00 "PEXL13UDR,PEX LUT Entry 13 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 13 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 13 of the PEX lookup table" line.long 0x04 "PEXL13LDR,PEX LUT Entry 13 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 13 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 13 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 13 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 13 within the PEX lookup table" group.long 0x870++0x07 line.long 0x00 "PEXL14UDR,PEX LUT Entry 14 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 14 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 14 of the PEX lookup table" line.long 0x04 "PEXL14LDR,PEX LUT Entry 14 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 14 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 14 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 14 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 14 within the PEX lookup table" group.long 0x878++0x07 line.long 0x00 "PEXL15UDR,PEX LUT Entry 15 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 15 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 15 of the PEX lookup table" line.long 0x04 "PEXL15LDR,PEX LUT Entry 15 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 15 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 15 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 15 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 15 within the PEX lookup table" group.long 0x880++0x07 line.long 0x00 "PEXL16UDR,PEX LUT Entry 16 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 16 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 16 of the PEX lookup table" line.long 0x04 "PEXL16LDR,PEX LUT Entry 16 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 16 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 16 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 16 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 16 within the PEX lookup table" group.long 0x888++0x07 line.long 0x00 "PEXL17UDR,PEX LUT Entry 17 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 17 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 17 of the PEX lookup table" line.long 0x04 "PEXL17LDR,PEX LUT Entry 17 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 17 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 17 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 17 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 17 within the PEX lookup table" group.long 0x890++0x07 line.long 0x00 "PEXL18UDR,PEX LUT Entry 18 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 18 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 18 of the PEX lookup table" line.long 0x04 "PEXL18LDR,PEX LUT Entry 18 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 18 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 18 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 18 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 18 within the PEX lookup table" group.long 0x898++0x07 line.long 0x00 "PEXL19UDR,PEX LUT Entry 19 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 19 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 19 of the PEX lookup table" line.long 0x04 "PEXL19LDR,PEX LUT Entry 19 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 19 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 19 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 19 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 19 within the PEX lookup table" group.long 0x8A0++0x07 line.long 0x00 "PEXL20UDR,PEX LUT Entry 20 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 20 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 20 of the PEX lookup table" line.long 0x04 "PEXL20LDR,PEX LUT Entry 20 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 20 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 20 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 20 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 20 within the PEX lookup table" group.long 0x8A8++0x07 line.long 0x00 "PEXL21UDR,PEX LUT Entry 21 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 21 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 21 of the PEX lookup table" line.long 0x04 "PEXL21LDR,PEX LUT Entry 21 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 21 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 21 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 21 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 21 within the PEX lookup table" group.long 0x8B0++0x07 line.long 0x00 "PEXL22UDR,PEX LUT Entry 22 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 22 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 22 of the PEX lookup table" line.long 0x04 "PEXL22LDR,PEX LUT Entry 22 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 22 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 22 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 22 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 22 within the PEX lookup table" group.long 0x8B8++0x07 line.long 0x00 "PEXL23UDR,PEX LUT Entry 23 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 23 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 23 of the PEX lookup table" line.long 0x04 "PEXL23LDR,PEX LUT Entry 23 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 23 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 23 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 23 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 23 within the PEX lookup table" group.long 0x8C0++0x07 line.long 0x00 "PEXL24UDR,PEX LUT Entry 24 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 24 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 24 of the PEX lookup table" line.long 0x04 "PEXL24LDR,PEX LUT Entry 24 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 24 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 24 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 24 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 24 within the PEX lookup table" group.long 0x8C8++0x07 line.long 0x00 "PEXL25UDR,PEX LUT Entry 25 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 25 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 25 of the PEX lookup table" line.long 0x04 "PEXL25LDR,PEX LUT Entry 25 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 25 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 25 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 25 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 25 within the PEX lookup table" group.long 0x8D0++0x07 line.long 0x00 "PEXL26UDR,PEX LUT Entry 26 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 26 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 26 of the PEX lookup table" line.long 0x04 "PEXL26LDR,PEX LUT Entry 26 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 26 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 26 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 26 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 26 within the PEX lookup table" group.long 0x8D8++0x07 line.long 0x00 "PEXL27UDR,PEX LUT Entry 27 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 27 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 27 of the PEX lookup table" line.long 0x04 "PEXL27LDR,PEX LUT Entry 27 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 27 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 27 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 27 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 27 within the PEX lookup table" group.long 0x8E0++0x07 line.long 0x00 "PEXL28UDR,PEX LUT Entry 28 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 28 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 28 of the PEX lookup table" line.long 0x04 "PEXL28LDR,PEX LUT Entry 28 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 28 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 28 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 28 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 28 within the PEX lookup table" group.long 0x8E8++0x07 line.long 0x00 "PEXL29UDR,PEX LUT Entry 29 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 29 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 29 of the PEX lookup table" line.long 0x04 "PEXL29LDR,PEX LUT Entry 29 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 29 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 29 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 29 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 29 within the PEX lookup table" group.long 0x8F0++0x07 line.long 0x00 "PEXL30UDR,PEX LUT Entry 30 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 30 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 30 of the PEX lookup table" line.long 0x04 "PEXL30LDR,PEX LUT Entry 30 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 30 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 30 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 30 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 30 within the PEX lookup table" group.long 0x8F8++0x07 line.long 0x00 "PEXL31UDR,PEX LUT Entry 31 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 31 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 31 of the PEX lookup table" line.long 0x04 "PEXL31LDR,PEX LUT Entry 31 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 31 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 31 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 31 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 31 within the PEX lookup table" group.long 0x40014++0x03 line.long 0x00 "PEX_PF0_CONFIG,PEX PF0 Config" bitfld.long 0x00 9. " SDT ,Sense device type" "EP mode,RC mode" bitfld.long 0x00 0. " CFG_READY ,Config ready" "Not ready,Ready" rgroup.long (0x40014+0x04)++0x03 line.long 0x00 "PEX_PF0_INT_STAT,PEX PF0 Interrupt Status" bitfld.long 0x00 15. " INTM ,Per PF dependent message interrupt is pending" "No pending,Pending" bitfld.long 0x00 14. " INTE ,Per PF dependent error interrupt is pending" "No pending,Pending" group.long (0x40014+0x08)++0x13 line.long 0x00 "PEX_PF0_INT_CTRL,PEX PF0 Interrupt Control" bitfld.long 0x00 31. " PPMEIC ,PAB PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 30. " LPMEIC ,LUT PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 29. " PERRIC ,PAB error interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 28. " PAERIC ,PCIe AER interrupt control" "Routed to SPI,Routed to INTA" line.long 0x04 "PEX_PF0_PME_MES_DR,PEX PF0 PCIE PME And Message Detect Register" eventfld.long 0x04 15. " PTO ,PME turn off detected" "Not detected,Detected" eventfld.long 0x04 13. " ENL23 ,PCIe core entered L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 12. " EXL23 ,PCIe core exited L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 10. " HRD ,Hot reset was detected" "Not detected,Detected" newline eventfld.long 0x04 9. " LDD ,Link down detected" "Not detected,Detected" eventfld.long 0x04 7. " LUD ,Link up detected" "Not detected,Detected" line.long 0x08 "PEX_PF0_PME_MES_DISR,PEX PF0 PCIE PME And Message Disable Register" bitfld.long 0x08 15. " PTOD ,PME turn off detect disable" "No,Yes" bitfld.long 0x08 13. " ENL23D ,Entered L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 12. " EXL23D ,Exited L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 10. " HRDD ,Hot reset detect disable" "No,Yes" newline bitfld.long 0x08 9. " LDDD ,Link down detect disable" "No,Yes" bitfld.long 0x08 7. " LUDD ,Link up detect disable" "No,Yes" line.long 0x0C "PEX_PF0_PME_MES_IER,PEX PF0 PCIE PME And Message Interrupt Enable Register" bitfld.long 0x0C 15. " PTOIE ,PME turn off detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 13. " ENL23IE ,Entered L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 12. " EXL23IE ,Exited L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 10. " HRDIE ,Hot reset detect interrupt enable" "Disabled,Enabled" newline bitfld.long 0x0C 9. " LDDIE ,Link down detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 7. " LUDIE ,Link up detect interrupt enable" "Disabled,Enabled" line.long 0x10 "PEX_PF0_MCR,PEX PF0 PCIE Message Command Register" bitfld.long 0x10 4. " INTX ,INTx command assert" "Not asserted,Asserted" bitfld.long 0x10 2. " SPMES ,PM_PME command send" "Not sent,Sent" bitfld.long 0x10 1. " EXL2S ,Exit L2 state command" "Not generated,Generated" bitfld.long 0x10 0. " PTOMR ,PME turn off message generate" "Not generated,Generated" group.long (0x40014+0x12C)++0x03 line.long 0x00 "PEX_PF0_RBP_ADDR_U,PEX PF0 Route By Port Address Upper Register" hexmask.long.word 0x00 16.--31. 0x01 " ADDR_U ,Upper 16 bit of the PCIE slave device used for the outbound transactions" group.long (0x40014+0x1EC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " ME ,Multiple errors of same type" "Not set,Set" eventfld.long 0x00 23. " PCT ,Completion timeout" "Not detected,Detected" eventfld.long 0x00 21. " PCAC ,Completer abort detected" "Not detected,Detected" eventfld.long 0x00 19. " CDNSC ,Completion with data not successful detected" "Not detected,Detected" newline eventfld.long 0x00 12. " UREP ,Unsupported request completion detected" "Not detected,Detected" group.long (0x40014+0x1F4)++0x03 line.long 0x00 "PEX_PF0_ERR_EN,PEX PF0 Error Interrupt Enable Register" bitfld.long 0x00 23. " PCTIE ,Completion timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x00 21. " PCACIE ,Completer abort interrupt enable" "Disabled,Enabled" bitfld.long 0x00 19. " CDNSCIE ,Completion with data not successful interrupt enable" "Disabled,Enabled" bitfld.long 0x00 12. " UREPIE ,Unsupported request completion interrupt enable" "Disabled,Enabled" group.long (0x40014+0x1FC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " MED ,Multiple errors of same type detection disable" "No,Yes" bitfld.long 0x00 23. " PCTD ,Completion timeout detection disable" "No,Yes" bitfld.long 0x00 21. " PCACD ,Completer abort detection disable" "No,Yes" bitfld.long 0x00 19. " CDNSCD ,Completion with data not successful detection disable" "No,Yes" newline bitfld.long 0x00 12. " UREPD ,Unsupported request completion detection disable" "No,Yes" if (((per.l(ad:0x03480000+0x40014+0x7E8))&0x80000000)==0x80000000) group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" bitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" else group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" rbitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" endif width 0x0B else textline "-----------------------------------" textline "PCIe 1 disabled by DC.DEVDISR3.PEX1" textline "-----------------------------------" endif tree.end sif !cpuis("LX2??2A") tree "PCIe 2" if ((per.l(ad:0x01E00000+0x78)&0x02)==(0x00)) base ad:0x03500000 width 39. rgroup.word 0x00++0x03 "Config Registers" line.word 0x00 "VENDOR_ID,Vendor ID Register" line.word 0x02 "DEVICE_ID,Device ID Register" group.word 0x04++0x03 line.word 0x00 "COMMAND,Command Register" bitfld.word 0x00 10. " INTERRUPT_DIS ,Interrupt disable" "No,Yes" bitfld.word 0x00 8. " SERR ,Non fatal/Fatal error reporting enable" "Disabled,Enabled" newline bitfld.word 0x00 6. " PARITY_ERROR_RESP ,PCI parity error response" "Ignored,Reported" bitfld.word 0x00 2. " BUS_MASTER ,Bus master enable" "Disabled,Enabled" newline bitfld.word 0x00 1. " MEMORY_SPACE ,Memory space enable" "Disabled,Enabled" bitfld.word 0x00 0. " IO_SPACE ,I/O space enable" "Disabled,Enabled" line.word 0x02 "STATUS,Status Register" eventfld.word 0x02 15. " PAR_ERR ,Parity error" "No error,Error" eventfld.word 0x02 14. " SYS_ERR ,System error" "No error,Error" newline eventfld.word 0x02 13. " RCVD_MAS_ABORT ,Received unsupported request completion status" "Not received,Received" eventfld.word 0x02 12. " RCVD_TAR_ABORT ,Received completer abort completion status" "Not received,Received" newline eventfld.word 0x02 11. " TAR_ABORT ,Completed request with completer abort completion status" "No,Yes" eventfld.word 0x02 8. " MAS_DPR ,Master data parity error" "No error,Error" newline eventfld.word 0x02 4. " EXT_CAP_LIST ,Extended capability list item present" "Not present,Present" eventfld.word 0x02 3. " INTR_STS ,Interrupt status" "No interrupt,Interrupt" rgroup.byte 0x08++0x03 line.byte 0x00 "REVISION_ID,Revision ID Register" line.byte 0x01 "CLASS_CODE_A,Class Code Register A" line.byte 0x02 "CLASS_CODE_B,Class Code Register B" line.byte 0x03 "CLASS_CODE_C,Class Code Register C" group.byte 0x0C++0x00 line.byte 0x00 "CACHE_LINE_SIZE,Cache Line Size Register" rgroup.byte 0x0D++0x01 line.byte 0x00 "LATENCY_TIMER,Latency Timer Register" line.byte 0x01 "HEADER_TYPE,Header Type Register" bitfld.byte 0x01 7. " MULTI_F ,Multifunction" "Single,Multiple" hexmask.byte 0x01 0.--6. 1. " HEADER_L ,Header layout" group.long 0x10++0x03 line.long 0x00 "BAR0,Base Address Register 0" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) group.long 0x14++0x03 line.long 0x00 "BAR1,Base Address Register 1" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x18++0x03 line.long 0x00 "BAR2,Base Address Register 2" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,64-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x1C++0x0B line.long 0x00 "BAR3,Base Address Register 3" line.long 0x04 "BAR4,Base Address Register 4" hexmask.long.tbyte 0x04 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x04 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x04 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x04 0. " MEMSP ,Memory space indicator" "Memory,IO" line.long 0x08 "BAR5,Base Address Register 5" rgroup.word 0x2C++0x03 line.word 0x00 "SUB_SYS_VEN_ID,Subsystem Vendor ID Register" line.word 0x02 "SUB_SYS_ID,Subsystem ID Register" elif ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x01)) group.byte 0x18++0x02 line.byte 0x00 "PRIM_BUS_NUM,Primary Bus Number Register" line.byte 0x01 "SEC_BUS_NUM,Secondary Bus Number Register" line.byte 0x02 "SUBOR_BUS_NUM,Subordinate Bus Number Register" group.byte 0x1C++0x01 line.byte 0x00 "IO_BASE_ADDR,I/O Base Register" bitfld.byte 0x00 4.--7. " IO_START_ADDR ,I/O start address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x00 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.byte 0x01 "IO_LIMIT,I/O Limit Register" bitfld.byte 0x01 4.--7. " IO_LIMIT_ADDR ,I/O limit address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x01 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.word 0x1E++0x09 line.word 0x00 "IOBLSSRT,I/O Base Limit And Secondary Status Register" eventfld.word 0x00 15. " DPE ,Parity error" "No error,Error" eventfld.word 0x00 14. " SSE ,System error" "No error,Error" newline eventfld.word 0x00 13. " RMA ,Received master abort" "Not received,Received" eventfld.word 0x00 12. " RTA ,Received target abort" "Not received,Received" newline eventfld.word 0x00 11. " STA ,Signaled target abort" "Not set,Set" eventfld.word 0x00 8. " MDPE ,Master data parity error" "No error,Error" line.word 0x02 "MEM_BASE_ADDR,Memory Base Address Register" hexmask.word 0x02 4.--15. 0x10 " MEM_BASE ,Memory base address" line.word 0x04 "MEM_LIMIT,Memory Limit Register" hexmask.word 0x04 4.--15. 0x10 " MEM_LIMIT ,Memory limit address" line.word 0x06 "PMBR,Prefetchable Memory Base Register" hexmask.word 0x06 4.--15. 0x10 " PF_MEM_BASE ,Prefetchable memory base address" rbitfld.word 0x06 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.word 0x08 "PF_MEM_LIMIT,Prefetchable Memory Limit Register" hexmask.word 0x08 4.--15. 0x10 " PF_MEM_LIMIT ,I/O limit address" rbitfld.word 0x08 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.long 0x28++0x0B line.long 0x00 "PBUDWRT1,Prefetchable Base Upper DWord Register" line.long 0x04 "PLUDWRT1,Prefetchable Limit Upper DWord Register" line.long 0x08 "ERBAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x08 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x08 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" rgroup.word 0x30++0x03 line.word 0x00 "PBUDWRT1,I/O Base Upper Word Register" line.word 0x02 "PLUDWRT1,I/O Limit Upper Word Register" endif rgroup.byte 0x34++0x00 line.byte 0x00 "CPR,Capabilities Pointer Register" if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) group.long 0x30++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" elif ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x01)) group.long 0x38++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" endif group.byte 0x3C++0x00 line.byte 0x00 "ILR,Interrupt Line Register" rgroup.byte 0x3D++0x00 line.byte 0x00 "IPR,Interrupt Pin Register" if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x3E++0x01 line.byte 0x00 "MIN_GNT,Minimum Grant Register" line.byte 0x01 "MAX_LAT,Maximum Latency Register" elif ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x01)) group.word 0x3E++0x01 line.word 0x00 "BCR,Bridge Control Register" bitfld.word 0x00 6. " SCND_RST ,Secondary bus reset" "No reset,Reset" bitfld.word 0x00 3. " VGA_EN ,VGA enable" "Disabled,Enabled" newline bitfld.word 0x00 2. " ISA_EN ,ISA enable" "Disabled,Enabled" bitfld.word 0x00 1. " SERR_EN ,SERR enable" "Disabled,Enabled" newline bitfld.word 0x00 0. " PER ,Parity error response" "No error,Error" endif rgroup.byte 0x40++0x00 line.byte 0x00 "PWR_MGMT_CAP_ID,Power Management Capability ID Register" rgroup.word 0x42++0x01 line.word 0x00 "PMCR,PM Capability Register" bitfld.word 0x00 15. " PME_SUPPORT[4] ,Power state 4 PME set support" "Not supported,Supported" bitfld.word 0x00 14. " [3] ,Power state 3 PME set support" "Not supported,Supported" newline bitfld.word 0x00 13. " [2] ,Power state 2 PME set support" "Not supported,Supported" bitfld.word 0x00 12. " [1] ,Power state 1 PME set support" "Not supported,Supported" newline bitfld.word 0x00 11. " [0] ,Power state 0 PME set support" "Not supported,Supported" bitfld.word 0x00 10. " D2_SUPPORT ,D2 power management state support" "Not supported,Supported" newline bitfld.word 0x00 9. " D1_SUPPORT ,D1 power management state support" "Not supported,Supported" bitfld.word 0x00 6.--8. " AUX_CURRENT ,Auxiliary current support" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 5. " DSI ,Device specific initialization sequence required" "Not required,Required" bitfld.word 0x00 3. " PME_CLOCK ,PCI clock required for PME operation" "Not required,Required" newline bitfld.word 0x00 0.--2. " PCIPM_VERSION ,PCI power management interface specification version" "0,1,2,3,4,5,6,7" group.word 0x44++0x01 line.word 0x00 "PMCSR,PM Control And Status Register" eventfld.word 0x00 15. " PME_STAT ,PME status" "0,1" rbitfld.word 0x00 13.--14. " DATA_SCALE ,Data scale factor" "0,1,2,3" newline bitfld.word 0x00 9.--12. " DATA_SELECT ,Data select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 8. " PME_EN ,PME enable" "Disabled,Enabled" newline bitfld.word 0x00 0.--1. " POWER_STATE ,Power state" "D0,D1,D2,D3" rgroup.byte 0x47++0x00 line.byte 0x00 "PMDR,PM Data Register" if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x50++0x00 line.byte 0x00 "MSI_MC_ID,MSI Message Capability ID Register" group.word 0x52++0x01 line.word 0x00 "MSI_MC,MSI Message Control Register" rbitfld.word 0x00 7. " AC_64 ,64-bit address capable" "Not capable,Capable" bitfld.word 0x00 4.--6. " MME ,Multiple message enable" "0,1,2,3,4,5,6,7" newline rbitfld.word 0x00 1.--3. " MMC ,Multiple message capable" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0. " MSIE ,MSI enable" "Disabled,Enabled" group.long 0x54++0x07 line.long 0x00 "MSI_MAR,MSI Message Address Register" hexmask.long 0x00 2.--31. 0x04 " MSG_ADDR ,Message address" line.long 0x04 "MSI_MSG_UP_ADDR,MSI Message Upper Address Register" group.word 0x5C++0x01 line.word 0x00 "MSI_MSG_DAT,MSI Message Data Register" endif rgroup.byte 0x70++0x00 line.byte 0x00 "CAP_ID,Capability ID Register" if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." newline bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" elif ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x01)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 8. " SLOT ,Slot implemented" "Not implemented,Implemented" newline bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif rgroup.long 0x74++0x03 line.long 0x00 "DEVICE_CAP,Device Capabilities Register" bitfld.long 0x00 28. " FLRC ,Function level reset capability" "Not capable,Capable" bitfld.long 0x00 26.--27. " CSPLS ,Captured slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 18.--25. 1. " CSPLV ,Captured slot power limit value" bitfld.long 0x00 15. " RBER ,Role based error reporting" "Not reported,Reported" newline bitfld.long 0x00 9.--11. " EP_L1_LAT ,Endpoint L1 acceptable latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--8. " EP_L0S_LAT ,Endpoint L0s acceptable latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. " ET ,Extended tag field supported" "Not supported,Supported" bitfld.long 0x00 3.--4. " PHAN_FCT ,Phantom functions supported" "0,1,2,3" newline bitfld.long 0x00 0.--2. " MAX_PL_SIZE_SUP ,Max payload size supported" "0,1,2,3,4,5,6,7" group.long 0x78++0x03 line.long 0x00 "DEVICE_CTRL,Device Control Register" bitfld.long 0x00 15. " IFLR ,Initiate function level reset" "No reset,Reset" bitfld.long 0x00 12.--14. " MAX_READ_SIZE ,Maximum read request size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." newline bitfld.long 0x00 11. " ENS ,No snoop enable" "Disabled,Enabled" bitfld.long 0x00 10. " APE ,AUX power PM enable" "Disabled,Enabled" newline bitfld.long 0x00 9. " PFE ,Phantom functions enable" "Disabled,Enabled" bitfld.long 0x00 8. " ETE ,Extended tag field enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--7. " MAX_PL_SZ ,Maximum payload size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." bitfld.long 0x00 4. " RO_EN ,Relaxed ordering enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " URR ,Unsupported request reporting enable" "Disabled,Enabled" bitfld.long 0x00 2. " FER ,Fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " NFERR ,Non fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 0. " CER ,Correctable error reporting enable" "Disabled,Enabled" group.word 0x7A++0x01 line.word 0x00 "DEV_STS,Device Status Register" rbitfld.word 0x00 5. " TP ,Transactions pending" "Not pending,Pending" rbitfld.word 0x00 4. " APD ,AUX power detected" "Not detected,Detected" newline eventfld.word 0x00 3. " URD ,Unsupported request detected" "Not detected,Detected" eventfld.word 0x00 2. " FED ,Fatal error detected" "Not detected,Detected" newline eventfld.word 0x00 1. " NFED ,Non fatal error detected" "Not detected,Detected" eventfld.word 0x00 0. " CED ,Correctable error detected" "Not detected,Detected" rgroup.long 0x7C++0x03 line.long 0x00 "LINK_CAP,Link Capabilities Register" hexmask.long.byte 0x00 24.--31. 1. " PORT_NR ,Port number" bitfld.long 0x00 22. " AOC ,ASPM optionality compliance" "0,1" newline bitfld.long 0x00 21. " LBWN ,Link bandwidth notification capability" "Not capable,Capable" bitfld.long 0x00 20. " DLLARC ,Data link layer active reporting capable" "Not capable,Capable" newline bitfld.long 0x00 19. " SD_ERR_RPT_CAP ,Surprise down error reporting capable" "Not capable,Capable" bitfld.long 0x00 18. " CPM ,Clock power management" "0,1" newline bitfld.long 0x00 15.--17. " L1_EX_LAT ,L1 exit latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--14. " L0S_EX_LAT ,L0s exit latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--11. " ASPM ,Active state power management (ASPM) support" "0,1,2,3" bitfld.long 0x00 4.--9. " MAX_LINK_W ,Maximum link width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--3. " MAX_LINK_SP ,Maximum link speed" "0,2.5GT/s,5.0GT/s,8.0GT/s,?..." if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) group.word 0x80++0x03 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" newline bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" line.word 0x02 "LINK_STS,Link Status Register" eventfld.word 0x02 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" eventfld.word 0x02 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline rbitfld.word 0x02 12. " SCC ,Slot clock configuration" "0,1" rbitfld.word 0x02 11. " LT ,Link training" "0,1" newline rbitfld.word 0x02 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." rbitfld.word 0x02 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." elif ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x01)) group.word 0x80++0x01 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 5. " RL ,Retrain link initiate" "Not initiated,Initiated" newline bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" newline bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" rgroup.word 0x82++0x01 line.word 0x00 "LINK_STS,Link Status Register" bitfld.word 0x00 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" bitfld.word 0x00 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline bitfld.word 0x00 12. " SCC ,Slot clock configuration" "0,1" bitfld.word 0x00 11. " LT ,Link training" "0,1" newline bitfld.word 0x00 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." bitfld.word 0x00 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." rgroup.long 0x84++0x03 line.long 0x00 "SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x00 19.--31. 1. " PHY_SLOT_NR ,Physical slot number" bitfld.long 0x00 18. " NOCMDCPLSUP ,No command completed support" "Not supported,Supported" newline bitfld.long 0x00 17. " EMIP ,Electromechanical interlock present" "Not present,Present" bitfld.long 0x00 15.--16. " SPLS ,Slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 7.--14. 1. " SPLV ,Slot power limit value" bitfld.long 0x00 6. " HPD ,Hot-plug capable" "Not capable,Capable" newline bitfld.long 0x00 5. " HPS ,Hot-plug surprise" "0,1" bitfld.long 0x00 4. " PIP ,Power indicator present" "Not present,Present" newline bitfld.long 0x00 3. " AIP ,Attention indicator present" "Not present,Present" bitfld.long 0x00 2. " MRLSP ,MRL sensor present" "Not present,Present" newline bitfld.long 0x00 1. " PCP ,Power controller present" "Not present,Present" bitfld.long 0x00 0. " ABP ,Attention button present" "Not present,Present" group.long 0x88++0x03 line.long 0x00 "SLOT_CTRL,Slot Control Register" bitfld.long 0x00 12. " DLLSTCHGEN ,Data link layer state changed enable" "Disabled,Enabled" bitfld.long 0x00 11. " EMICTL ,Electromechanical interlock control" "0,1" newline bitfld.long 0x00 10. " PCC ,Power controller control" "0,1" bitfld.long 0x00 8.--9. " PIC ,Power indicator control" ",On,Blink,Off" newline bitfld.long 0x00 6.--7. " AIC ,Attention indicator control" ",On,Blink,Off" bitfld.long 0x00 5. " HPIE ,Hot plug interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " CCIE ,Command completed interrupt enable" "Disabled,Enabled" bitfld.long 0x00 3. " PDCE ,Presence detect changed enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " MRLSCE ,MRL sensor changed enable" "Disabled,Enabled" bitfld.long 0x00 1. " PFDE ,Power fault detected enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " ABPE ,Attention button pressed enable" "Disabled,Enabled" group.word 0x8A++0x05 line.word 0x00 "SLOT_STAT,Slot Status Register" eventfld.word 0x00 8. " DLLSTCHG ,Data link layer state changed" "Not changed,Changed" rbitfld.word 0x00 7. " EM_IL_ST ,Electromechanical interlock status" "Not set,Set" newline rbitfld.word 0x00 6. " PDS ,Presence detect state" "Empty,Card present" rbitfld.word 0x00 5. " MRLSS ,MRL sensor state" "Closed,Open" newline eventfld.word 0x00 4. " CC ,Command completed" "Not completed,Completed" eventfld.word 0x00 3. " PDC ,Presence detect changed" "Not changed,Changed" newline eventfld.word 0x00 2. " MRLSC ,MRL sensor changed" "Not changed,Changed" eventfld.word 0x00 1. " PFD ,Power fault detected" "Not detected,Detected" newline eventfld.word 0x00 0. " ABP ,Attention button pressed" "Not pressed,Pressed" line.word 0x02 "ROOT_CTRL,Root Control Register" bitfld.word 0x02 4. " CRSSWVE ,CRS software visibility enable" "Disabled,Enabled" bitfld.word 0x02 3. " PMEIE ,PME interrupt enable" "Disabled,Enabled" newline bitfld.word 0x02 2. " SEFEE ,System error on fatal error enable" "Disabled,Enabled" bitfld.word 0x02 1. " SENFEE ,System error on non fatal error enable" "Disabled,Enabled" newline bitfld.word 0x02 0. " SECEE ,System error on correctable error enable" "Disabled,Enabled" line.word 0x04 "ROOT_CAP,Root Capabilities Register" bitfld.word 0x04 0. " CRSSWV ,CRS software visibility" "Not visible,Visible" group.long 0x90++0x03 line.long 0x00 "ROOT_STS,Root Status Register" bitfld.long 0x00 17. " PMEP ,PME pending" "Not pending,Pending" bitfld.long 0x00 16. " PMES ,PME status" "0,1" newline hexmask.long.word 0x00 0.--15. 1. " PME_REQ_ID ,PME requester ID" endif rgroup.long 0x94++0x03 line.long 0x00 "DEV_CAP_2,Device Capabilities 2 Register" bitfld.long 0x00 5. " ARI_FWD ,ARI forwarding supported" "Not supported,Supported" bitfld.long 0x00 4. " CPL_TO_DS ,Completion timeout disable supported" "Not supported,Supported" newline bitfld.long 0x00 0.--3. " CPL_TO_RS ,Completion timeout ranges supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.word 0x98++0x01 line.word 0x00 "DEV_CTRL_2,Device Control 2 Register" bitfld.word 0x00 9. " IDO_CPL_EN ,IDO completion enable" "Disabled,Enabled" bitfld.word 0x00 8. " IDO_REQ_EN ,IDO request enable" "Disabled,Enabled" newline bitfld.word 0x00 5. " ARIFE ,ARI forwarding enable" "Disabled,Enabled" bitfld.word 0x00 4. " CPL_TOD ,Completion timeout disable" "No,Yes" newline bitfld.word 0x00 0.--3. " CPL_TO_VAL ,Completion timeout value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x9C++0x03 line.long 0x00 "LINK_CAP_2,Link Capabilities 2 Register" bitfld.long 0x00 8. " CROSSLINK_SUPP ,Crosslink supported" "Not supported,Supported" hexmask.long.byte 0x00 1.--7. 1. " SUPP_LINK_SPEED_VEC ,Supported link speeds vector" group.word 0xA0++0x01 line.word 0x00 "LINK_CTRL_2,Link Control 2 Register" bitfld.word 0x00 12.--15. " CDE ,Compliance preset/De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 11. " CSOS ,Compliance SOS" "0,1" newline bitfld.word 0x00 10. " EMC ,Enter modified compliance" "0,1" bitfld.word 0x00 7.--9. " TXM ,Transmit margin" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 6. " SDE ,Selectable de-emphasis" "0,1" bitfld.word 0x00 5. " HWASD ,Hardware autonomous speed disable" "No,Yes" newline bitfld.word 0x00 4. " EC ,Enter compliance" "0,1" bitfld.word 0x00 0.--3. " T_LS ,Target link speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0xA2++0x01 line.word 0x00 "LINK_STS_2,Link Status 2 Register" bitfld.word 0x00 5. " LER ,Link equalization request" "Not requested,Requested" bitfld.word 0x00 4. " EP3S ,Equalization phase 3 successful" "Not successful,Successful" newline bitfld.word 0x00 3. " EP2S ,Equalization phase 2 successful" "Not successful,Successful" bitfld.word 0x00 2. " EP1S ,Equalization phase 1 successful" "Not successful,Successful" newline bitfld.word 0x00 1. " EC ,Equalization complete" "Not completed,Completed" bitfld.word 0x00 0. " DE_LVL ,Current de-emphasis level" "0,1" rgroup.word 0x100++0x01 line.word 0x00 "ADV_ERR_REP_CAP_ID,Advanced Error Reporting Capability ID Register" group.long 0x104++0x17 line.long 0x00 "UNCORR_ERR_STS,Uncorrectable Error Status Register" bitfld.long 0x00 20. " URE ,Unsupported request error status" "Not set,Set" bitfld.long 0x00 19. " ECRCE ,ECRC error status" "Not set,Set" newline bitfld.long 0x00 18. " MTLP ,Malformed TLP status" "Not set,Set" bitfld.long 0x00 17. " RXO ,Receiver overflow status" "Not set,Set" newline bitfld.long 0x00 16. " UC ,Unexpected completion status" "Not set,Set" bitfld.long 0x00 15. " CA ,Completer abort status" "Not set,Set" newline bitfld.long 0x00 14. " CTO ,Completion timeout status" "Not set,Set" bitfld.long 0x00 13. " FCPE ,Flow control protocol error status" "Not set,Set" newline bitfld.long 0x00 12. " PTLP ,Poisoned TLP status" "Not set,Set" bitfld.long 0x00 4. " DLPE ,Data link protocol error status" "Not set,Set" line.long 0x04 "UNCORR_ERR_MSK,Uncorrectable Error Mask Register" bitfld.long 0x04 20. " UREM ,Unsupported request error mask" "Not masked,Masked" bitfld.long 0x04 19. " ECRCEM ,ECRC error mask" "Not masked,Masked" newline bitfld.long 0x04 18. " MTLPM ,Malformed TLP mask" "Not masked,Masked" bitfld.long 0x04 17. " RXOM ,Receiver overflow mask" "Not masked,Masked" newline bitfld.long 0x04 16. " UCM ,Unexpected completion mask" "Not masked,Masked" bitfld.long 0x04 15. " CAM ,Completer abort mask" "Not masked,Masked" newline bitfld.long 0x04 14. " CTOM ,Completion timeout mask" "Not masked,Masked" bitfld.long 0x04 13. " FCPEM ,Flow control protocol error mask" "Not masked,Masked" newline bitfld.long 0x04 12. " PTLPM ,Poisoned TLP mask" "Not masked,Masked" bitfld.long 0x04 4. " DLPEM ,Data link protocol error mask" "Not masked,Masked" line.long 0x08 "UNCORR_ERR_SEV,Uncorrectable Error Severity Register" bitfld.long 0x08 20. " URES ,Unsupported request error severity" "Not set,Set" bitfld.long 0x08 19. " ECRCES ,ECRC error severity" "Not set,Set" newline bitfld.long 0x08 18. " MTLPS ,Malformed TLP severity" "Not set,Set" bitfld.long 0x08 17. " RXOS ,Receiver overflow severity" "Not set,Set" newline bitfld.long 0x08 16. " UCS ,Unexpected completion severity" "Not set,Set" bitfld.long 0x08 15. " CAS ,Completer abort severity" "Not set,Set" newline bitfld.long 0x08 14. " CTOS ,Completion timeout severity" "Not set,Set" bitfld.long 0x08 13. " FCPES ,Flow control protocol error severity" "Not set,Set" newline bitfld.long 0x08 12. " PTLPS ,Poisoned TLP severity" "Not set,Set" bitfld.long 0x08 4. " DLPES ,Data link protocol error severity" "Not set,Set" line.long 0x0C "CORR_ERR_STS,Correctable Error Status Register" bitfld.long 0x0C 13. " ADVNFE ,Advisory non fatal error status" "Not set,Set" bitfld.long 0x0C 12. " RTTO ,Replay timer timeout status" "Not set,Set" newline bitfld.long 0x0C 8. " RNR ,REPLAY_NUM rollover status" "Not set,Set" bitfld.long 0x0C 7. " BDLLP ,Bad DLLP status" "Not set,Set" newline bitfld.long 0x0C 6. " BTLP ,Bad TLP status" "Not set,Set" bitfld.long 0x0C 0. " RXE ,Receiver error status" "Not set,Set" line.long 0x10 "CORR_ERR_MSK,Correctable Error Mask Register" bitfld.long 0x10 13. " ADVNFEM ,Advisory non fatal error mask" "Not masked,Masked" bitfld.long 0x10 12. " RTTOM ,Replay timer timeout mask" "Not masked,Masked" newline bitfld.long 0x10 8. " RNRM ,REPLAY_NUM rollover mask" "Not masked,Masked" bitfld.long 0x10 7. " BDLLPM ,Bad DLLP mask" "Not masked,Masked" newline bitfld.long 0x10 6. " BTLPM ,Bad TLP mask" "Not masked,Masked" bitfld.long 0x10 0. " RXEM ,Receiver error mask" "Not masked,Masked" line.long 0x14 "ADV_ERR_CAP_CTL,Advanced Error Capabilities And Control Register" bitfld.long 0x14 8. " ECRCCE ,ECRC checking enable" "Disabled,Enabled" rbitfld.long 0x14 7. " ECRCCC ,ECRC checking capable" "Not capable,Capable" newline bitfld.long 0x14 6. " ECRCGE ,ECRC generation enable" "Disabled,Enabled" rbitfld.long 0x14 5. " ECRCGC ,ECRC generation capable" "Not capable,Capable" newline rbitfld.long 0x14 0.--4. " FIRST_ERR_PTR ,First error pointer" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F,0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,0x18,0x19,0x1A,0x1B,0x1C,0x1D,0x1E,0x1F" rgroup.long 0x11C++0x0F line.long 0x00 "HDR_LOG1,Header Log Register 1" hexmask.long.byte 0x00 24.--31. 1. " BYTE_0 ,Byte 0" hexmask.long.byte 0x00 16.--23. 1. " BYTE_1 ,Byte 1" newline hexmask.long.byte 0x00 8.--15. 1. " BYTE_2 ,Byte 2" hexmask.long.byte 0x00 0.--7. 1. " BYTE_3 ,Byte 3" line.long 0x04 "HDR_LOG2,Header Log Register 2" hexmask.long.byte 0x04 24.--31. 1. " BYTE_4 ,Byte 4" hexmask.long.byte 0x04 16.--23. 1. " BYTE_5 ,Byte 5" newline hexmask.long.byte 0x04 8.--15. 1. " BYTE_6 ,Byte 6" hexmask.long.byte 0x04 0.--7. 1. " BYTE_7 ,Byte 7" line.long 0x08 "HDR_LOG3,Header Log Register 3" hexmask.long.byte 0x08 24.--31. 1. " BYTE_8 ,Byte 8" hexmask.long.byte 0x08 16.--23. 1. " BYTE_9 ,Byte 9" newline hexmask.long.byte 0x08 8.--15. 1. " BYTE_A ,Byte A" hexmask.long.byte 0x08 0.--7. 1. " BYTE_B ,Byte B" line.long 0x0C "HDR_LOG4,Header Log Register 4" hexmask.long.byte 0x0C 24.--31. 1. " BYTE_C ,Byte C" hexmask.long.byte 0x0C 16.--23. 1. " BYTE_D ,Byte D" newline hexmask.long.byte 0x0C 8.--15. 1. " BYTE_E ,Byte E" hexmask.long.byte 0x0C 0.--7. 1. " BYTE_F ,Byte F" if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x01)) group.long 0x12C++0x07 line.long 0x00 "ROOT_ERR_CMD,Root Error Command Register" bitfld.long 0x00 2. " FERE ,Fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 1. " NFERE ,Non fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " CERE ,Correctable error reporting enable" "Disabled,Enabled" line.long 0x04 "ROOT_ERR_STS,Root Error Status Register" rbitfld.long 0x04 27.--31. " AEIMN ,Advanced error interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" eventfld.long 0x04 6. " FEMR ,Fatal error messages received" "Not received,Received" newline eventfld.long 0x04 5. " NFEMR ,First uncorrectable fatal" "Not received,Received" eventfld.long 0x04 4. " FUF ,First uncorrectable fatal" "Not received,Received" newline eventfld.long 0x04 3. " MEFNFR ,Multiple ERR_FATAL/NONFATAL received" "Not received,Received" eventfld.long 0x04 2. " EFNFR ,ERR_FATAL/NONFATAL received" "Not received,Received" newline eventfld.long 0x04 1. " MECR ,Multiple ERR_COR received" "Not received,Received" eventfld.long 0x04 0. " ECR ,ERR_COR received" "Not received,Received" endif rgroup.word 0x134++0x03 line.word 0x00 "CORR_ERR_SRC_ID,Correctable Error Source ID Register" line.word 0x02 "ERR_SRC_ID,Error Source ID Register" rgroup.long 0x148++0x03 line.long 0x00 "SPCIE_CAP_HDR,Secondary Extended Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" group.long 0x14C++0x07 line.long 0x00 "LINK_CTRL3,Link Control 3 Register" bitfld.long 0x00 1. " EQ_REQ_INT_EN ,Link equalization request interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " PERFORM_EQ ,Perform equalization" "Not performed,Performed" line.long 0x04 "LANE_ERR_STS,Lane Error Status Register" eventfld.long 0x04 3. " LANE_ERR_STS[3] ,Lane error status bit 3" "Not detected,Detected" eventfld.long 0x04 2. " [2] ,Lane error status bit 2" "Not detected,Detected" newline eventfld.long 0x04 1. " [1] ,Lane error status bit 1" "Not detected,Detected" eventfld.long 0x04 0. " [0] ,Lane error status bit 0" "Not detected,Detected" rgroup.word 0x154++0x01 line.word 0x00 "G4LECR0,GEN4 Lane Equalization Control Register 0" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x156++0x01 line.word 0x00 "G4LECR1,GEN4 Lane Equalization Control Register 1" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x158++0x01 line.word 0x00 "G4LECR2,GEN4 Lane Equalization Control Register 2" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x15A++0x01 line.word 0x00 "G4LECR3,GEN4 Lane Equalization Control Register 3" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x71C++0x03 line.long 0x00 "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register And Filter Mask 1 Register" hexmask.long.word 0x00 16.--31. 1. " MASK_RADM_1 ,Filter mask 1" bitfld.long 0x00 15. " DISABLE_FC_WD_TIMER ,Disable FC watchdog timer" "No,Yes" newline hexmask.long.word 0x00 0.--10. 1. " SKP_INT_VAL ,SKP interval value" group.long 0x890++0x03 line.long 0x00 "GEN3_RELATED_OFF,Gen 3 Control Register" bitfld.long 0x00 16. " GEN3_EQUALIZATION_DISABLE ,Equalization disable" "No,Yes" bitfld.long 0x00 12. " RXEQ_PH01_EN ,Rx equalization phase 0/1 hold enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " EQ_EIEOS_CNT ,Equalization EIEOS count reset disable" "No,Yes" group.long 0x8BC++0x03 line.long 0x00 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register" bitfld.long 0x00 0. " RO_WR_EN ,Read-only write enable" "Disabled,Enabled" group.long 0x8E0++0x07 line.long 0x00 "COHERENCY_CTRL_1_OFF,Coherency Control Register 1" hexmask.long 0x00 2.--31. 0x04 " CFG_MEMTYPE_BOUNDARY_LOW_ADDR ,Boundary lower address for memory type" bitfld.long 0x00 0. " CFG_MEMTYPE_VALUE ,Memory type" "CCSR/Memory,?..." line.long 0x04 "COHERENCY_CTRL_2_OFF,Coherency Control Register 2" group.long 0x900++0x03 line.long 0x00 "IATU_VIEWPORT_OFF,iATU Index Register" bitfld.long 0x00 31. " REGION_DIR ,Region direction" "Outbound,Inbound" hexmask.long.byte 0x00 0.--7. 1. " REGION_INDEX ,Region index" if ((per.w(ad:0x03500000+0x900)&0x80000000)==(0x00)) group.long 0x904++0x1B line.long 0x00 "IATU_REGION_CTRL_1_OFF_OUTBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,AT" "0,1,2,3" bitfld.long 0x00 9.--10. " ATTR ,Attribute" "0,1,2,3" newline bitfld.long 0x00 8. " TD ,TLP digest" "0,1" bitfld.long 0x00 5.--7. " TC ,Traffic class" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "IATU_REGION_CTRL_2_OFF_OUTBOUND_0,iATU Region Control 2 Register" bitfld.long 0x04 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x04 28. " CFG_SHIFT_MODE ,CFG shift mode" "Off,On" newline hexmask.long.byte 0x04 0.--7. 1. " MSG_CODE ,Message code" line.long 0x08 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x08 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x08 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x0C "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_0,iATU Upper Base Address Register" line.long 0x10 "IATU_LIMIT_ADDR_OFF_OUTBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x14 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_0,iATU Outbound Region#N Lower Offset Address Register" hexmask.long.tbyte 0x14 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x14 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x18 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_0,iATU Upper Target Address Register" else if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 20.--24. " CTRL_1_FUNC_NUM ,Function number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" elif ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x01)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" endif group.long 0x908++0x17 line.long 0x00 "IATU_REGION_CTRL_2_OFF_INBOUND_0,iATU Region Control 2 Register" bitfld.long 0x00 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x00 30. " MATCH_MODE ,Match mode" "Address/Routing ID,BAR/Accept/Vendor" newline bitfld.long 0x00 19. " FUNC_NUM_MATCH_EN ,Function number match enable" "Disabled,Enabled" bitfld.long 0x00 18. " AT_MATCH_EN ,AT match enable" "Disabled,Enabled" newline bitfld.long 0x00 8.--10. " BAR_NUM ,BAR number" "0,1,2,3,4,5,ROM,?..." line.long 0x04 "IATU_LWR_BASE_ADDR_OFF_INBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x04 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x04 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x08 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_0,iATU Upper Base Address Register" line.long 0x0C "IATU_LIMIT_ADDR_OFF_INBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x0C 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x0C 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x10 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_0,iATU Region#N Lower Offset Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x14 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_0,iATU Upper Target Address Register" endif wgroup.long 0x1010++0x03 line.long 0x00 "BAR0_MASK,Base Address Register 0" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" wgroup.long 0x1014++0x03 line.long 0x00 "BAR1_MASK,Base Address Register 1" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1018++0x03 line.long 0x00 "BAR2_MASK,Base Address Register 2" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) wgroup.long 0x101C++0x03 line.long 0x00 "BAR3_MASK,Base Address Register 3" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1020++0x03 line.long 0x00 "BAR4_MASK,Base Address Register 4" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1024++0x03 line.long 0x00 "BAR5_MASK,Base Address Register 5" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1030++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" elif ((per.w(ad:0x03500000+0x0D)&0x7F)==(0x01)) wgroup.long 0x1038++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif width 0x0B base ad:0x03580000 width 23. group.long 0x20++0x07 "PEX LUT Registers" line.long 0x00 "PEXLSR,PEX LUT Status Register" eventfld.long 0x00 31. " LUTM ,Lookup table miss" "Not missed,Missed" eventfld.long 0x00 30. " MLUTM ,Multiple lookup table miss" "Not missed,Missed" hexmask.long.word 0x00 0.--15. 1. " CREQID ,Captured REQID" line.long 0x04 "PEXLCR,PEX LUT Control Register" bitfld.long 0x04 31. " DPL ,Default privilege level" "0,1" bitfld.long 0x04 30. " DBMT ,Default bypass memory translation" "0,1" hexmask.long.word 0x04 0.--14. 1. " DICID ,Default isolation context ID" group.long 0x800++0x07 line.long 0x00 "PEXL0UDR,PEX LUT Entry 0 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 0 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 0 of the PEX lookup table" line.long 0x04 "PEXL0LDR,PEX LUT Entry 0 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 0 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 0 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 0 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 0 within the PEX lookup table" group.long 0x808++0x07 line.long 0x00 "PEXL1UDR,PEX LUT Entry 1 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 1 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 1 of the PEX lookup table" line.long 0x04 "PEXL1LDR,PEX LUT Entry 1 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 1 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 1 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 1 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 1 within the PEX lookup table" group.long 0x810++0x07 line.long 0x00 "PEXL2UDR,PEX LUT Entry 2 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 2 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 2 of the PEX lookup table" line.long 0x04 "PEXL2LDR,PEX LUT Entry 2 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 2 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 2 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 2 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 2 within the PEX lookup table" group.long 0x818++0x07 line.long 0x00 "PEXL3UDR,PEX LUT Entry 3 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 3 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 3 of the PEX lookup table" line.long 0x04 "PEXL3LDR,PEX LUT Entry 3 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 3 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 3 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 3 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 3 within the PEX lookup table" group.long 0x820++0x07 line.long 0x00 "PEXL4UDR,PEX LUT Entry 4 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 4 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 4 of the PEX lookup table" line.long 0x04 "PEXL4LDR,PEX LUT Entry 4 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 4 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 4 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 4 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 4 within the PEX lookup table" group.long 0x828++0x07 line.long 0x00 "PEXL5UDR,PEX LUT Entry 5 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 5 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 5 of the PEX lookup table" line.long 0x04 "PEXL5LDR,PEX LUT Entry 5 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 5 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 5 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 5 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 5 within the PEX lookup table" group.long 0x830++0x07 line.long 0x00 "PEXL6UDR,PEX LUT Entry 6 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 6 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 6 of the PEX lookup table" line.long 0x04 "PEXL6LDR,PEX LUT Entry 6 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 6 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 6 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 6 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 6 within the PEX lookup table" group.long 0x838++0x07 line.long 0x00 "PEXL7UDR,PEX LUT Entry 7 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 7 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 7 of the PEX lookup table" line.long 0x04 "PEXL7LDR,PEX LUT Entry 7 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 7 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 7 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 7 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 7 within the PEX lookup table" group.long 0x840++0x07 line.long 0x00 "PEXL8UDR,PEX LUT Entry 8 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 8 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 8 of the PEX lookup table" line.long 0x04 "PEXL8LDR,PEX LUT Entry 8 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 8 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 8 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 8 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 8 within the PEX lookup table" group.long 0x848++0x07 line.long 0x00 "PEXL9UDR,PEX LUT Entry 9 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 9 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 9 of the PEX lookup table" line.long 0x04 "PEXL9LDR,PEX LUT Entry 9 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 9 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 9 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 9 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 9 within the PEX lookup table" group.long 0x850++0x07 line.long 0x00 "PEXL10UDR,PEX LUT Entry 10 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 10 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 10 of the PEX lookup table" line.long 0x04 "PEXL10LDR,PEX LUT Entry 10 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 10 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 10 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 10 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 10 within the PEX lookup table" group.long 0x858++0x07 line.long 0x00 "PEXL11UDR,PEX LUT Entry 11 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 11 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 11 of the PEX lookup table" line.long 0x04 "PEXL11LDR,PEX LUT Entry 11 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 11 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 11 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 11 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 11 within the PEX lookup table" group.long 0x860++0x07 line.long 0x00 "PEXL12UDR,PEX LUT Entry 12 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 12 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 12 of the PEX lookup table" line.long 0x04 "PEXL12LDR,PEX LUT Entry 12 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 12 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 12 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 12 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 12 within the PEX lookup table" group.long 0x868++0x07 line.long 0x00 "PEXL13UDR,PEX LUT Entry 13 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 13 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 13 of the PEX lookup table" line.long 0x04 "PEXL13LDR,PEX LUT Entry 13 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 13 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 13 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 13 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 13 within the PEX lookup table" group.long 0x870++0x07 line.long 0x00 "PEXL14UDR,PEX LUT Entry 14 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 14 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 14 of the PEX lookup table" line.long 0x04 "PEXL14LDR,PEX LUT Entry 14 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 14 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 14 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 14 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 14 within the PEX lookup table" group.long 0x878++0x07 line.long 0x00 "PEXL15UDR,PEX LUT Entry 15 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 15 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 15 of the PEX lookup table" line.long 0x04 "PEXL15LDR,PEX LUT Entry 15 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 15 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 15 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 15 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 15 within the PEX lookup table" group.long 0x880++0x07 line.long 0x00 "PEXL16UDR,PEX LUT Entry 16 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 16 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 16 of the PEX lookup table" line.long 0x04 "PEXL16LDR,PEX LUT Entry 16 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 16 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 16 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 16 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 16 within the PEX lookup table" group.long 0x888++0x07 line.long 0x00 "PEXL17UDR,PEX LUT Entry 17 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 17 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 17 of the PEX lookup table" line.long 0x04 "PEXL17LDR,PEX LUT Entry 17 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 17 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 17 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 17 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 17 within the PEX lookup table" group.long 0x890++0x07 line.long 0x00 "PEXL18UDR,PEX LUT Entry 18 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 18 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 18 of the PEX lookup table" line.long 0x04 "PEXL18LDR,PEX LUT Entry 18 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 18 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 18 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 18 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 18 within the PEX lookup table" group.long 0x898++0x07 line.long 0x00 "PEXL19UDR,PEX LUT Entry 19 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 19 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 19 of the PEX lookup table" line.long 0x04 "PEXL19LDR,PEX LUT Entry 19 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 19 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 19 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 19 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 19 within the PEX lookup table" group.long 0x8A0++0x07 line.long 0x00 "PEXL20UDR,PEX LUT Entry 20 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 20 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 20 of the PEX lookup table" line.long 0x04 "PEXL20LDR,PEX LUT Entry 20 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 20 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 20 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 20 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 20 within the PEX lookup table" group.long 0x8A8++0x07 line.long 0x00 "PEXL21UDR,PEX LUT Entry 21 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 21 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 21 of the PEX lookup table" line.long 0x04 "PEXL21LDR,PEX LUT Entry 21 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 21 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 21 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 21 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 21 within the PEX lookup table" group.long 0x8B0++0x07 line.long 0x00 "PEXL22UDR,PEX LUT Entry 22 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 22 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 22 of the PEX lookup table" line.long 0x04 "PEXL22LDR,PEX LUT Entry 22 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 22 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 22 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 22 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 22 within the PEX lookup table" group.long 0x8B8++0x07 line.long 0x00 "PEXL23UDR,PEX LUT Entry 23 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 23 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 23 of the PEX lookup table" line.long 0x04 "PEXL23LDR,PEX LUT Entry 23 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 23 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 23 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 23 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 23 within the PEX lookup table" group.long 0x8C0++0x07 line.long 0x00 "PEXL24UDR,PEX LUT Entry 24 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 24 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 24 of the PEX lookup table" line.long 0x04 "PEXL24LDR,PEX LUT Entry 24 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 24 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 24 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 24 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 24 within the PEX lookup table" group.long 0x8C8++0x07 line.long 0x00 "PEXL25UDR,PEX LUT Entry 25 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 25 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 25 of the PEX lookup table" line.long 0x04 "PEXL25LDR,PEX LUT Entry 25 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 25 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 25 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 25 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 25 within the PEX lookup table" group.long 0x8D0++0x07 line.long 0x00 "PEXL26UDR,PEX LUT Entry 26 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 26 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 26 of the PEX lookup table" line.long 0x04 "PEXL26LDR,PEX LUT Entry 26 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 26 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 26 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 26 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 26 within the PEX lookup table" group.long 0x8D8++0x07 line.long 0x00 "PEXL27UDR,PEX LUT Entry 27 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 27 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 27 of the PEX lookup table" line.long 0x04 "PEXL27LDR,PEX LUT Entry 27 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 27 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 27 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 27 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 27 within the PEX lookup table" group.long 0x8E0++0x07 line.long 0x00 "PEXL28UDR,PEX LUT Entry 28 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 28 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 28 of the PEX lookup table" line.long 0x04 "PEXL28LDR,PEX LUT Entry 28 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 28 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 28 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 28 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 28 within the PEX lookup table" group.long 0x8E8++0x07 line.long 0x00 "PEXL29UDR,PEX LUT Entry 29 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 29 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 29 of the PEX lookup table" line.long 0x04 "PEXL29LDR,PEX LUT Entry 29 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 29 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 29 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 29 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 29 within the PEX lookup table" group.long 0x8F0++0x07 line.long 0x00 "PEXL30UDR,PEX LUT Entry 30 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 30 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 30 of the PEX lookup table" line.long 0x04 "PEXL30LDR,PEX LUT Entry 30 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 30 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 30 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 30 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 30 within the PEX lookup table" group.long 0x8F8++0x07 line.long 0x00 "PEXL31UDR,PEX LUT Entry 31 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 31 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 31 of the PEX lookup table" line.long 0x04 "PEXL31LDR,PEX LUT Entry 31 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 31 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 31 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 31 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 31 within the PEX lookup table" group.long 0x40014++0x03 line.long 0x00 "PEX_PF0_CONFIG,PEX PF0 Config" bitfld.long 0x00 9. " SDT ,Sense device type" "EP mode,RC mode" bitfld.long 0x00 0. " CFG_READY ,Config ready" "Not ready,Ready" rgroup.long (0x40014+0x04)++0x03 line.long 0x00 "PEX_PF0_INT_STAT,PEX PF0 Interrupt Status" bitfld.long 0x00 15. " INTM ,Per PF dependent message interrupt is pending" "No pending,Pending" bitfld.long 0x00 14. " INTE ,Per PF dependent error interrupt is pending" "No pending,Pending" group.long (0x40014+0x08)++0x13 line.long 0x00 "PEX_PF0_INT_CTRL,PEX PF0 Interrupt Control" bitfld.long 0x00 31. " PPMEIC ,PAB PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 30. " LPMEIC ,LUT PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 29. " PERRIC ,PAB error interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 28. " PAERIC ,PCIe AER interrupt control" "Routed to SPI,Routed to INTA" line.long 0x04 "PEX_PF0_PME_MES_DR,PEX PF0 PCIE PME And Message Detect Register" eventfld.long 0x04 15. " PTO ,PME turn off detected" "Not detected,Detected" eventfld.long 0x04 13. " ENL23 ,PCIe core entered L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 12. " EXL23 ,PCIe core exited L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 10. " HRD ,Hot reset was detected" "Not detected,Detected" newline eventfld.long 0x04 9. " LDD ,Link down detected" "Not detected,Detected" eventfld.long 0x04 7. " LUD ,Link up detected" "Not detected,Detected" line.long 0x08 "PEX_PF0_PME_MES_DISR,PEX PF0 PCIE PME And Message Disable Register" bitfld.long 0x08 15. " PTOD ,PME turn off detect disable" "No,Yes" bitfld.long 0x08 13. " ENL23D ,Entered L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 12. " EXL23D ,Exited L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 10. " HRDD ,Hot reset detect disable" "No,Yes" newline bitfld.long 0x08 9. " LDDD ,Link down detect disable" "No,Yes" bitfld.long 0x08 7. " LUDD ,Link up detect disable" "No,Yes" line.long 0x0C "PEX_PF0_PME_MES_IER,PEX PF0 PCIE PME And Message Interrupt Enable Register" bitfld.long 0x0C 15. " PTOIE ,PME turn off detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 13. " ENL23IE ,Entered L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 12. " EXL23IE ,Exited L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 10. " HRDIE ,Hot reset detect interrupt enable" "Disabled,Enabled" newline bitfld.long 0x0C 9. " LDDIE ,Link down detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 7. " LUDIE ,Link up detect interrupt enable" "Disabled,Enabled" line.long 0x10 "PEX_PF0_MCR,PEX PF0 PCIE Message Command Register" bitfld.long 0x10 4. " INTX ,INTx command assert" "Not asserted,Asserted" bitfld.long 0x10 2. " SPMES ,PM_PME command send" "Not sent,Sent" bitfld.long 0x10 1. " EXL2S ,Exit L2 state command" "Not generated,Generated" bitfld.long 0x10 0. " PTOMR ,PME turn off message generate" "Not generated,Generated" group.long (0x40014+0x12C)++0x03 line.long 0x00 "PEX_PF0_RBP_ADDR_U,PEX PF0 Route By Port Address Upper Register" hexmask.long.word 0x00 16.--31. 0x01 " ADDR_U ,Upper 16 bit of the PCIE slave device used for the outbound transactions" group.long (0x40014+0x1EC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " ME ,Multiple errors of same type" "Not set,Set" eventfld.long 0x00 23. " PCT ,Completion timeout" "Not detected,Detected" eventfld.long 0x00 21. " PCAC ,Completer abort detected" "Not detected,Detected" eventfld.long 0x00 19. " CDNSC ,Completion with data not successful detected" "Not detected,Detected" newline eventfld.long 0x00 12. " UREP ,Unsupported request completion detected" "Not detected,Detected" group.long (0x40014+0x1F4)++0x03 line.long 0x00 "PEX_PF0_ERR_EN,PEX PF0 Error Interrupt Enable Register" bitfld.long 0x00 23. " PCTIE ,Completion timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x00 21. " PCACIE ,Completer abort interrupt enable" "Disabled,Enabled" bitfld.long 0x00 19. " CDNSCIE ,Completion with data not successful interrupt enable" "Disabled,Enabled" bitfld.long 0x00 12. " UREPIE ,Unsupported request completion interrupt enable" "Disabled,Enabled" group.long (0x40014+0x1FC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " MED ,Multiple errors of same type detection disable" "No,Yes" bitfld.long 0x00 23. " PCTD ,Completion timeout detection disable" "No,Yes" bitfld.long 0x00 21. " PCACD ,Completer abort detection disable" "No,Yes" bitfld.long 0x00 19. " CDNSCD ,Completion with data not successful detection disable" "No,Yes" newline bitfld.long 0x00 12. " UREPD ,Unsupported request completion detection disable" "No,Yes" if (((per.l(ad:0x03580000+0x40014+0x7E8))&0x80000000)==0x80000000) group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" bitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" else group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" rbitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" endif width 0x0B else textline "-----------------------------------" textline "PCIe 2 disabled by DC.DEVDISR3.PEX2" textline "-----------------------------------" endif tree.end endif tree "PCIe 3" if ((per.l(ad:0x01E00000+0x78)&0x04)==(0x00)) base ad:0x03600000 width 39. rgroup.word 0x00++0x03 "Config Registers" line.word 0x00 "VENDOR_ID,Vendor ID Register" line.word 0x02 "DEVICE_ID,Device ID Register" group.word 0x04++0x03 line.word 0x00 "COMMAND,Command Register" bitfld.word 0x00 10. " INTERRUPT_DIS ,Interrupt disable" "No,Yes" bitfld.word 0x00 8. " SERR ,Non fatal/Fatal error reporting enable" "Disabled,Enabled" newline bitfld.word 0x00 6. " PARITY_ERROR_RESP ,PCI parity error response" "Ignored,Reported" bitfld.word 0x00 2. " BUS_MASTER ,Bus master enable" "Disabled,Enabled" newline bitfld.word 0x00 1. " MEMORY_SPACE ,Memory space enable" "Disabled,Enabled" bitfld.word 0x00 0. " IO_SPACE ,I/O space enable" "Disabled,Enabled" line.word 0x02 "STATUS,Status Register" eventfld.word 0x02 15. " PAR_ERR ,Parity error" "No error,Error" eventfld.word 0x02 14. " SYS_ERR ,System error" "No error,Error" newline eventfld.word 0x02 13. " RCVD_MAS_ABORT ,Received unsupported request completion status" "Not received,Received" eventfld.word 0x02 12. " RCVD_TAR_ABORT ,Received completer abort completion status" "Not received,Received" newline eventfld.word 0x02 11. " TAR_ABORT ,Completed request with completer abort completion status" "No,Yes" eventfld.word 0x02 8. " MAS_DPR ,Master data parity error" "No error,Error" newline eventfld.word 0x02 4. " EXT_CAP_LIST ,Extended capability list item present" "Not present,Present" eventfld.word 0x02 3. " INTR_STS ,Interrupt status" "No interrupt,Interrupt" rgroup.byte 0x08++0x03 line.byte 0x00 "REVISION_ID,Revision ID Register" line.byte 0x01 "CLASS_CODE_A,Class Code Register A" line.byte 0x02 "CLASS_CODE_B,Class Code Register B" line.byte 0x03 "CLASS_CODE_C,Class Code Register C" group.byte 0x0C++0x00 line.byte 0x00 "CACHE_LINE_SIZE,Cache Line Size Register" rgroup.byte 0x0D++0x01 line.byte 0x00 "LATENCY_TIMER,Latency Timer Register" line.byte 0x01 "HEADER_TYPE,Header Type Register" bitfld.byte 0x01 7. " MULTI_F ,Multifunction" "Single,Multiple" hexmask.byte 0x01 0.--6. 1. " HEADER_L ,Header layout" group.long 0x10++0x03 line.long 0x00 "BAR0,Base Address Register 0" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) group.long 0x14++0x03 line.long 0x00 "BAR1,Base Address Register 1" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x18++0x03 line.long 0x00 "BAR2,Base Address Register 2" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,64-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x1C++0x0B line.long 0x00 "BAR3,Base Address Register 3" line.long 0x04 "BAR4,Base Address Register 4" hexmask.long.tbyte 0x04 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x04 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x04 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x04 0. " MEMSP ,Memory space indicator" "Memory,IO" line.long 0x08 "BAR5,Base Address Register 5" rgroup.word 0x2C++0x03 line.word 0x00 "SUB_SYS_VEN_ID,Subsystem Vendor ID Register" line.word 0x02 "SUB_SYS_ID,Subsystem ID Register" elif ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x01)) group.byte 0x18++0x02 line.byte 0x00 "PRIM_BUS_NUM,Primary Bus Number Register" line.byte 0x01 "SEC_BUS_NUM,Secondary Bus Number Register" line.byte 0x02 "SUBOR_BUS_NUM,Subordinate Bus Number Register" group.byte 0x1C++0x01 line.byte 0x00 "IO_BASE_ADDR,I/O Base Register" bitfld.byte 0x00 4.--7. " IO_START_ADDR ,I/O start address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x00 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.byte 0x01 "IO_LIMIT,I/O Limit Register" bitfld.byte 0x01 4.--7. " IO_LIMIT_ADDR ,I/O limit address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x01 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.word 0x1E++0x09 line.word 0x00 "IOBLSSRT,I/O Base Limit And Secondary Status Register" eventfld.word 0x00 15. " DPE ,Parity error" "No error,Error" eventfld.word 0x00 14. " SSE ,System error" "No error,Error" newline eventfld.word 0x00 13. " RMA ,Received master abort" "Not received,Received" eventfld.word 0x00 12. " RTA ,Received target abort" "Not received,Received" newline eventfld.word 0x00 11. " STA ,Signaled target abort" "Not set,Set" eventfld.word 0x00 8. " MDPE ,Master data parity error" "No error,Error" line.word 0x02 "MEM_BASE_ADDR,Memory Base Address Register" hexmask.word 0x02 4.--15. 0x10 " MEM_BASE ,Memory base address" line.word 0x04 "MEM_LIMIT,Memory Limit Register" hexmask.word 0x04 4.--15. 0x10 " MEM_LIMIT ,Memory limit address" line.word 0x06 "PMBR,Prefetchable Memory Base Register" hexmask.word 0x06 4.--15. 0x10 " PF_MEM_BASE ,Prefetchable memory base address" rbitfld.word 0x06 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.word 0x08 "PF_MEM_LIMIT,Prefetchable Memory Limit Register" hexmask.word 0x08 4.--15. 0x10 " PF_MEM_LIMIT ,I/O limit address" rbitfld.word 0x08 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.long 0x28++0x0B line.long 0x00 "PBUDWRT1,Prefetchable Base Upper DWord Register" line.long 0x04 "PLUDWRT1,Prefetchable Limit Upper DWord Register" line.long 0x08 "ERBAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x08 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x08 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" rgroup.word 0x30++0x03 line.word 0x00 "PBUDWRT1,I/O Base Upper Word Register" line.word 0x02 "PLUDWRT1,I/O Limit Upper Word Register" endif rgroup.byte 0x34++0x00 line.byte 0x00 "CPR,Capabilities Pointer Register" if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) group.long 0x30++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" elif ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x01)) group.long 0x38++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" endif group.byte 0x3C++0x00 line.byte 0x00 "ILR,Interrupt Line Register" rgroup.byte 0x3D++0x00 line.byte 0x00 "IPR,Interrupt Pin Register" if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x3E++0x01 line.byte 0x00 "MIN_GNT,Minimum Grant Register" line.byte 0x01 "MAX_LAT,Maximum Latency Register" elif ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x01)) group.word 0x3E++0x01 line.word 0x00 "BCR,Bridge Control Register" bitfld.word 0x00 6. " SCND_RST ,Secondary bus reset" "No reset,Reset" bitfld.word 0x00 3. " VGA_EN ,VGA enable" "Disabled,Enabled" newline bitfld.word 0x00 2. " ISA_EN ,ISA enable" "Disabled,Enabled" bitfld.word 0x00 1. " SERR_EN ,SERR enable" "Disabled,Enabled" newline bitfld.word 0x00 0. " PER ,Parity error response" "No error,Error" endif rgroup.byte 0x40++0x00 line.byte 0x00 "PWR_MGMT_CAP_ID,Power Management Capability ID Register" rgroup.word 0x42++0x01 line.word 0x00 "PMCR,PM Capability Register" bitfld.word 0x00 15. " PME_SUPPORT[4] ,Power state 4 PME set support" "Not supported,Supported" bitfld.word 0x00 14. " [3] ,Power state 3 PME set support" "Not supported,Supported" newline bitfld.word 0x00 13. " [2] ,Power state 2 PME set support" "Not supported,Supported" bitfld.word 0x00 12. " [1] ,Power state 1 PME set support" "Not supported,Supported" newline bitfld.word 0x00 11. " [0] ,Power state 0 PME set support" "Not supported,Supported" bitfld.word 0x00 10. " D2_SUPPORT ,D2 power management state support" "Not supported,Supported" newline bitfld.word 0x00 9. " D1_SUPPORT ,D1 power management state support" "Not supported,Supported" bitfld.word 0x00 6.--8. " AUX_CURRENT ,Auxiliary current support" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 5. " DSI ,Device specific initialization sequence required" "Not required,Required" bitfld.word 0x00 3. " PME_CLOCK ,PCI clock required for PME operation" "Not required,Required" newline bitfld.word 0x00 0.--2. " PCIPM_VERSION ,PCI power management interface specification version" "0,1,2,3,4,5,6,7" group.word 0x44++0x01 line.word 0x00 "PMCSR,PM Control And Status Register" eventfld.word 0x00 15. " PME_STAT ,PME status" "0,1" rbitfld.word 0x00 13.--14. " DATA_SCALE ,Data scale factor" "0,1,2,3" newline bitfld.word 0x00 9.--12. " DATA_SELECT ,Data select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 8. " PME_EN ,PME enable" "Disabled,Enabled" newline bitfld.word 0x00 0.--1. " POWER_STATE ,Power state" "D0,D1,D2,D3" rgroup.byte 0x47++0x00 line.byte 0x00 "PMDR,PM Data Register" if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x50++0x00 line.byte 0x00 "MSI_MC_ID,MSI Message Capability ID Register" group.word 0x52++0x01 line.word 0x00 "MSI_MC,MSI Message Control Register" rbitfld.word 0x00 7. " AC_64 ,64-bit address capable" "Not capable,Capable" bitfld.word 0x00 4.--6. " MME ,Multiple message enable" "0,1,2,3,4,5,6,7" newline rbitfld.word 0x00 1.--3. " MMC ,Multiple message capable" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0. " MSIE ,MSI enable" "Disabled,Enabled" group.long 0x54++0x07 line.long 0x00 "MSI_MAR,MSI Message Address Register" hexmask.long 0x00 2.--31. 0x04 " MSG_ADDR ,Message address" line.long 0x04 "MSI_MSG_UP_ADDR,MSI Message Upper Address Register" group.word 0x5C++0x01 line.word 0x00 "MSI_MSG_DAT,MSI Message Data Register" endif rgroup.byte 0x70++0x00 line.byte 0x00 "CAP_ID,Capability ID Register" if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." newline bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" elif ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x01)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 8. " SLOT ,Slot implemented" "Not implemented,Implemented" newline bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif rgroup.long 0x74++0x03 line.long 0x00 "DEVICE_CAP,Device Capabilities Register" bitfld.long 0x00 28. " FLRC ,Function level reset capability" "Not capable,Capable" bitfld.long 0x00 26.--27. " CSPLS ,Captured slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 18.--25. 1. " CSPLV ,Captured slot power limit value" bitfld.long 0x00 15. " RBER ,Role based error reporting" "Not reported,Reported" newline bitfld.long 0x00 9.--11. " EP_L1_LAT ,Endpoint L1 acceptable latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--8. " EP_L0S_LAT ,Endpoint L0s acceptable latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. " ET ,Extended tag field supported" "Not supported,Supported" bitfld.long 0x00 3.--4. " PHAN_FCT ,Phantom functions supported" "0,1,2,3" newline bitfld.long 0x00 0.--2. " MAX_PL_SIZE_SUP ,Max payload size supported" "0,1,2,3,4,5,6,7" group.long 0x78++0x03 line.long 0x00 "DEVICE_CTRL,Device Control Register" bitfld.long 0x00 15. " IFLR ,Initiate function level reset" "No reset,Reset" bitfld.long 0x00 12.--14. " MAX_READ_SIZE ,Maximum read request size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." newline bitfld.long 0x00 11. " ENS ,No snoop enable" "Disabled,Enabled" bitfld.long 0x00 10. " APE ,AUX power PM enable" "Disabled,Enabled" newline bitfld.long 0x00 9. " PFE ,Phantom functions enable" "Disabled,Enabled" bitfld.long 0x00 8. " ETE ,Extended tag field enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--7. " MAX_PL_SZ ,Maximum payload size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." bitfld.long 0x00 4. " RO_EN ,Relaxed ordering enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " URR ,Unsupported request reporting enable" "Disabled,Enabled" bitfld.long 0x00 2. " FER ,Fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " NFERR ,Non fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 0. " CER ,Correctable error reporting enable" "Disabled,Enabled" group.word 0x7A++0x01 line.word 0x00 "DEV_STS,Device Status Register" rbitfld.word 0x00 5. " TP ,Transactions pending" "Not pending,Pending" rbitfld.word 0x00 4. " APD ,AUX power detected" "Not detected,Detected" newline eventfld.word 0x00 3. " URD ,Unsupported request detected" "Not detected,Detected" eventfld.word 0x00 2. " FED ,Fatal error detected" "Not detected,Detected" newline eventfld.word 0x00 1. " NFED ,Non fatal error detected" "Not detected,Detected" eventfld.word 0x00 0. " CED ,Correctable error detected" "Not detected,Detected" rgroup.long 0x7C++0x03 line.long 0x00 "LINK_CAP,Link Capabilities Register" hexmask.long.byte 0x00 24.--31. 1. " PORT_NR ,Port number" bitfld.long 0x00 22. " AOC ,ASPM optionality compliance" "0,1" newline bitfld.long 0x00 21. " LBWN ,Link bandwidth notification capability" "Not capable,Capable" bitfld.long 0x00 20. " DLLARC ,Data link layer active reporting capable" "Not capable,Capable" newline bitfld.long 0x00 19. " SD_ERR_RPT_CAP ,Surprise down error reporting capable" "Not capable,Capable" bitfld.long 0x00 18. " CPM ,Clock power management" "0,1" newline bitfld.long 0x00 15.--17. " L1_EX_LAT ,L1 exit latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--14. " L0S_EX_LAT ,L0s exit latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--11. " ASPM ,Active state power management (ASPM) support" "0,1,2,3" bitfld.long 0x00 4.--9. " MAX_LINK_W ,Maximum link width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--3. " MAX_LINK_SP ,Maximum link speed" "0,2.5GT/s,5.0GT/s,8.0GT/s,?..." if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) group.word 0x80++0x03 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" newline bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" line.word 0x02 "LINK_STS,Link Status Register" eventfld.word 0x02 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" eventfld.word 0x02 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline rbitfld.word 0x02 12. " SCC ,Slot clock configuration" "0,1" rbitfld.word 0x02 11. " LT ,Link training" "0,1" newline rbitfld.word 0x02 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." rbitfld.word 0x02 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." elif ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x01)) group.word 0x80++0x01 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 5. " RL ,Retrain link initiate" "Not initiated,Initiated" newline bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" newline bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" rgroup.word 0x82++0x01 line.word 0x00 "LINK_STS,Link Status Register" bitfld.word 0x00 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" bitfld.word 0x00 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline bitfld.word 0x00 12. " SCC ,Slot clock configuration" "0,1" bitfld.word 0x00 11. " LT ,Link training" "0,1" newline bitfld.word 0x00 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." bitfld.word 0x00 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." rgroup.long 0x84++0x03 line.long 0x00 "SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x00 19.--31. 1. " PHY_SLOT_NR ,Physical slot number" bitfld.long 0x00 18. " NOCMDCPLSUP ,No command completed support" "Not supported,Supported" newline bitfld.long 0x00 17. " EMIP ,Electromechanical interlock present" "Not present,Present" bitfld.long 0x00 15.--16. " SPLS ,Slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 7.--14. 1. " SPLV ,Slot power limit value" bitfld.long 0x00 6. " HPD ,Hot-plug capable" "Not capable,Capable" newline bitfld.long 0x00 5. " HPS ,Hot-plug surprise" "0,1" bitfld.long 0x00 4. " PIP ,Power indicator present" "Not present,Present" newline bitfld.long 0x00 3. " AIP ,Attention indicator present" "Not present,Present" bitfld.long 0x00 2. " MRLSP ,MRL sensor present" "Not present,Present" newline bitfld.long 0x00 1. " PCP ,Power controller present" "Not present,Present" bitfld.long 0x00 0. " ABP ,Attention button present" "Not present,Present" group.long 0x88++0x03 line.long 0x00 "SLOT_CTRL,Slot Control Register" bitfld.long 0x00 12. " DLLSTCHGEN ,Data link layer state changed enable" "Disabled,Enabled" bitfld.long 0x00 11. " EMICTL ,Electromechanical interlock control" "0,1" newline bitfld.long 0x00 10. " PCC ,Power controller control" "0,1" bitfld.long 0x00 8.--9. " PIC ,Power indicator control" ",On,Blink,Off" newline bitfld.long 0x00 6.--7. " AIC ,Attention indicator control" ",On,Blink,Off" bitfld.long 0x00 5. " HPIE ,Hot plug interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " CCIE ,Command completed interrupt enable" "Disabled,Enabled" bitfld.long 0x00 3. " PDCE ,Presence detect changed enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " MRLSCE ,MRL sensor changed enable" "Disabled,Enabled" bitfld.long 0x00 1. " PFDE ,Power fault detected enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " ABPE ,Attention button pressed enable" "Disabled,Enabled" group.word 0x8A++0x05 line.word 0x00 "SLOT_STAT,Slot Status Register" eventfld.word 0x00 8. " DLLSTCHG ,Data link layer state changed" "Not changed,Changed" rbitfld.word 0x00 7. " EM_IL_ST ,Electromechanical interlock status" "Not set,Set" newline rbitfld.word 0x00 6. " PDS ,Presence detect state" "Empty,Card present" rbitfld.word 0x00 5. " MRLSS ,MRL sensor state" "Closed,Open" newline eventfld.word 0x00 4. " CC ,Command completed" "Not completed,Completed" eventfld.word 0x00 3. " PDC ,Presence detect changed" "Not changed,Changed" newline eventfld.word 0x00 2. " MRLSC ,MRL sensor changed" "Not changed,Changed" eventfld.word 0x00 1. " PFD ,Power fault detected" "Not detected,Detected" newline eventfld.word 0x00 0. " ABP ,Attention button pressed" "Not pressed,Pressed" line.word 0x02 "ROOT_CTRL,Root Control Register" bitfld.word 0x02 4. " CRSSWVE ,CRS software visibility enable" "Disabled,Enabled" bitfld.word 0x02 3. " PMEIE ,PME interrupt enable" "Disabled,Enabled" newline bitfld.word 0x02 2. " SEFEE ,System error on fatal error enable" "Disabled,Enabled" bitfld.word 0x02 1. " SENFEE ,System error on non fatal error enable" "Disabled,Enabled" newline bitfld.word 0x02 0. " SECEE ,System error on correctable error enable" "Disabled,Enabled" line.word 0x04 "ROOT_CAP,Root Capabilities Register" bitfld.word 0x04 0. " CRSSWV ,CRS software visibility" "Not visible,Visible" group.long 0x90++0x03 line.long 0x00 "ROOT_STS,Root Status Register" bitfld.long 0x00 17. " PMEP ,PME pending" "Not pending,Pending" bitfld.long 0x00 16. " PMES ,PME status" "0,1" newline hexmask.long.word 0x00 0.--15. 1. " PME_REQ_ID ,PME requester ID" endif rgroup.long 0x94++0x03 line.long 0x00 "DEV_CAP_2,Device Capabilities 2 Register" bitfld.long 0x00 5. " ARI_FWD ,ARI forwarding supported" "Not supported,Supported" bitfld.long 0x00 4. " CPL_TO_DS ,Completion timeout disable supported" "Not supported,Supported" newline bitfld.long 0x00 0.--3. " CPL_TO_RS ,Completion timeout ranges supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.word 0x98++0x01 line.word 0x00 "DEV_CTRL_2,Device Control 2 Register" bitfld.word 0x00 9. " IDO_CPL_EN ,IDO completion enable" "Disabled,Enabled" bitfld.word 0x00 8. " IDO_REQ_EN ,IDO request enable" "Disabled,Enabled" newline bitfld.word 0x00 5. " ARIFE ,ARI forwarding enable" "Disabled,Enabled" bitfld.word 0x00 4. " CPL_TOD ,Completion timeout disable" "No,Yes" newline bitfld.word 0x00 0.--3. " CPL_TO_VAL ,Completion timeout value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x9C++0x03 line.long 0x00 "LINK_CAP_2,Link Capabilities 2 Register" bitfld.long 0x00 8. " CROSSLINK_SUPP ,Crosslink supported" "Not supported,Supported" hexmask.long.byte 0x00 1.--7. 1. " SUPP_LINK_SPEED_VEC ,Supported link speeds vector" group.word 0xA0++0x01 line.word 0x00 "LINK_CTRL_2,Link Control 2 Register" bitfld.word 0x00 12.--15. " CDE ,Compliance preset/De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 11. " CSOS ,Compliance SOS" "0,1" newline bitfld.word 0x00 10. " EMC ,Enter modified compliance" "0,1" bitfld.word 0x00 7.--9. " TXM ,Transmit margin" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 6. " SDE ,Selectable de-emphasis" "0,1" bitfld.word 0x00 5. " HWASD ,Hardware autonomous speed disable" "No,Yes" newline bitfld.word 0x00 4. " EC ,Enter compliance" "0,1" bitfld.word 0x00 0.--3. " T_LS ,Target link speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0xA2++0x01 line.word 0x00 "LINK_STS_2,Link Status 2 Register" bitfld.word 0x00 5. " LER ,Link equalization request" "Not requested,Requested" bitfld.word 0x00 4. " EP3S ,Equalization phase 3 successful" "Not successful,Successful" newline bitfld.word 0x00 3. " EP2S ,Equalization phase 2 successful" "Not successful,Successful" bitfld.word 0x00 2. " EP1S ,Equalization phase 1 successful" "Not successful,Successful" newline bitfld.word 0x00 1. " EC ,Equalization complete" "Not completed,Completed" bitfld.word 0x00 0. " DE_LVL ,Current de-emphasis level" "0,1" if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) rgroup.byte 0xB0++0x00 line.byte 0x00 "MSI_X_MSG_CAP_ID,MSI-X Message Capability ID Register" group.word 0xB2++0x01 line.word 0x00 "MSI_X_MSG_CTRL,MSI-X Message Control Register" bitfld.word 0x00 15. " MSIXE ,MSI-X enable" "Disabled,Enabled" bitfld.word 0x00 14. " FM ,Function mask" "Unmasked,Masked" newline hexmask.word 0x00 0.--10. 1. " TS ,Table size" group.long 0xB4++0x07 line.long 0x00 "MSI_X_TABLE_OFFSET_BIR,MSI-X Table Offset/BIR Register" hexmask.long 0x00 3.--31. 0x08 " TABLE_OFFSET ,Table offset" bitfld.long 0x00 0.--2. " TBIR ,Table BIR" "0x10,0x14,0x18,0x1C,0x20,0x24,?..." line.long 0x04 "MSI_X_PBA_OFFSET_BIR,MSI-X PBA Offset/BIR Register" hexmask.long 0x04 3.--31. 0x08 " PBA_OFFSET ,PBA offset" bitfld.long 0x04 0.--2. " PBIR ,PBA BIR" "0x10,0x14,0x18,0x1C,0x20,0x24,?..." endif rgroup.word 0x100++0x01 line.word 0x00 "ADV_ERR_REP_CAP_ID,Advanced Error Reporting Capability ID Register" group.long 0x104++0x17 line.long 0x00 "UNCORR_ERR_STS,Uncorrectable Error Status Register" bitfld.long 0x00 20. " URE ,Unsupported request error status" "Not set,Set" bitfld.long 0x00 19. " ECRCE ,ECRC error status" "Not set,Set" newline bitfld.long 0x00 18. " MTLP ,Malformed TLP status" "Not set,Set" bitfld.long 0x00 17. " RXO ,Receiver overflow status" "Not set,Set" newline bitfld.long 0x00 16. " UC ,Unexpected completion status" "Not set,Set" bitfld.long 0x00 15. " CA ,Completer abort status" "Not set,Set" newline bitfld.long 0x00 14. " CTO ,Completion timeout status" "Not set,Set" bitfld.long 0x00 13. " FCPE ,Flow control protocol error status" "Not set,Set" newline bitfld.long 0x00 12. " PTLP ,Poisoned TLP status" "Not set,Set" bitfld.long 0x00 4. " DLPE ,Data link protocol error status" "Not set,Set" line.long 0x04 "UNCORR_ERR_MSK,Uncorrectable Error Mask Register" bitfld.long 0x04 20. " UREM ,Unsupported request error mask" "Not masked,Masked" bitfld.long 0x04 19. " ECRCEM ,ECRC error mask" "Not masked,Masked" newline bitfld.long 0x04 18. " MTLPM ,Malformed TLP mask" "Not masked,Masked" bitfld.long 0x04 17. " RXOM ,Receiver overflow mask" "Not masked,Masked" newline bitfld.long 0x04 16. " UCM ,Unexpected completion mask" "Not masked,Masked" bitfld.long 0x04 15. " CAM ,Completer abort mask" "Not masked,Masked" newline bitfld.long 0x04 14. " CTOM ,Completion timeout mask" "Not masked,Masked" bitfld.long 0x04 13. " FCPEM ,Flow control protocol error mask" "Not masked,Masked" newline bitfld.long 0x04 12. " PTLPM ,Poisoned TLP mask" "Not masked,Masked" bitfld.long 0x04 4. " DLPEM ,Data link protocol error mask" "Not masked,Masked" line.long 0x08 "UNCORR_ERR_SEV,Uncorrectable Error Severity Register" bitfld.long 0x08 20. " URES ,Unsupported request error severity" "Not set,Set" bitfld.long 0x08 19. " ECRCES ,ECRC error severity" "Not set,Set" newline bitfld.long 0x08 18. " MTLPS ,Malformed TLP severity" "Not set,Set" bitfld.long 0x08 17. " RXOS ,Receiver overflow severity" "Not set,Set" newline bitfld.long 0x08 16. " UCS ,Unexpected completion severity" "Not set,Set" bitfld.long 0x08 15. " CAS ,Completer abort severity" "Not set,Set" newline bitfld.long 0x08 14. " CTOS ,Completion timeout severity" "Not set,Set" bitfld.long 0x08 13. " FCPES ,Flow control protocol error severity" "Not set,Set" newline bitfld.long 0x08 12. " PTLPS ,Poisoned TLP severity" "Not set,Set" bitfld.long 0x08 4. " DLPES ,Data link protocol error severity" "Not set,Set" line.long 0x0C "CORR_ERR_STS,Correctable Error Status Register" bitfld.long 0x0C 13. " ADVNFE ,Advisory non fatal error status" "Not set,Set" bitfld.long 0x0C 12. " RTTO ,Replay timer timeout status" "Not set,Set" newline bitfld.long 0x0C 8. " RNR ,REPLAY_NUM rollover status" "Not set,Set" bitfld.long 0x0C 7. " BDLLP ,Bad DLLP status" "Not set,Set" newline bitfld.long 0x0C 6. " BTLP ,Bad TLP status" "Not set,Set" bitfld.long 0x0C 0. " RXE ,Receiver error status" "Not set,Set" line.long 0x10 "CORR_ERR_MSK,Correctable Error Mask Register" bitfld.long 0x10 13. " ADVNFEM ,Advisory non fatal error mask" "Not masked,Masked" bitfld.long 0x10 12. " RTTOM ,Replay timer timeout mask" "Not masked,Masked" newline bitfld.long 0x10 8. " RNRM ,REPLAY_NUM rollover mask" "Not masked,Masked" bitfld.long 0x10 7. " BDLLPM ,Bad DLLP mask" "Not masked,Masked" newline bitfld.long 0x10 6. " BTLPM ,Bad TLP mask" "Not masked,Masked" bitfld.long 0x10 0. " RXEM ,Receiver error mask" "Not masked,Masked" line.long 0x14 "ADV_ERR_CAP_CTL,Advanced Error Capabilities And Control Register" bitfld.long 0x14 8. " ECRCCE ,ECRC checking enable" "Disabled,Enabled" rbitfld.long 0x14 7. " ECRCCC ,ECRC checking capable" "Not capable,Capable" newline bitfld.long 0x14 6. " ECRCGE ,ECRC generation enable" "Disabled,Enabled" rbitfld.long 0x14 5. " ECRCGC ,ECRC generation capable" "Not capable,Capable" newline rbitfld.long 0x14 0.--4. " FIRST_ERR_PTR ,First error pointer" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F,0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,0x18,0x19,0x1A,0x1B,0x1C,0x1D,0x1E,0x1F" rgroup.long 0x11C++0x0F line.long 0x00 "HDR_LOG1,Header Log Register 1" hexmask.long.byte 0x00 24.--31. 1. " BYTE_0 ,Byte 0" hexmask.long.byte 0x00 16.--23. 1. " BYTE_1 ,Byte 1" newline hexmask.long.byte 0x00 8.--15. 1. " BYTE_2 ,Byte 2" hexmask.long.byte 0x00 0.--7. 1. " BYTE_3 ,Byte 3" line.long 0x04 "HDR_LOG2,Header Log Register 2" hexmask.long.byte 0x04 24.--31. 1. " BYTE_4 ,Byte 4" hexmask.long.byte 0x04 16.--23. 1. " BYTE_5 ,Byte 5" newline hexmask.long.byte 0x04 8.--15. 1. " BYTE_6 ,Byte 6" hexmask.long.byte 0x04 0.--7. 1. " BYTE_7 ,Byte 7" line.long 0x08 "HDR_LOG3,Header Log Register 3" hexmask.long.byte 0x08 24.--31. 1. " BYTE_8 ,Byte 8" hexmask.long.byte 0x08 16.--23. 1. " BYTE_9 ,Byte 9" newline hexmask.long.byte 0x08 8.--15. 1. " BYTE_A ,Byte A" hexmask.long.byte 0x08 0.--7. 1. " BYTE_B ,Byte B" line.long 0x0C "HDR_LOG4,Header Log Register 4" hexmask.long.byte 0x0C 24.--31. 1. " BYTE_C ,Byte C" hexmask.long.byte 0x0C 16.--23. 1. " BYTE_D ,Byte D" newline hexmask.long.byte 0x0C 8.--15. 1. " BYTE_E ,Byte E" hexmask.long.byte 0x0C 0.--7. 1. " BYTE_F ,Byte F" if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x01)) group.long 0x12C++0x07 line.long 0x00 "ROOT_ERR_CMD,Root Error Command Register" bitfld.long 0x00 2. " FERE ,Fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 1. " NFERE ,Non fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " CERE ,Correctable error reporting enable" "Disabled,Enabled" line.long 0x04 "ROOT_ERR_STS,Root Error Status Register" rbitfld.long 0x04 27.--31. " AEIMN ,Advanced error interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" eventfld.long 0x04 6. " FEMR ,Fatal error messages received" "Not received,Received" newline eventfld.long 0x04 5. " NFEMR ,First uncorrectable fatal" "Not received,Received" eventfld.long 0x04 4. " FUF ,First uncorrectable fatal" "Not received,Received" newline eventfld.long 0x04 3. " MEFNFR ,Multiple ERR_FATAL/NONFATAL received" "Not received,Received" eventfld.long 0x04 2. " EFNFR ,ERR_FATAL/NONFATAL received" "Not received,Received" newline eventfld.long 0x04 1. " MECR ,Multiple ERR_COR received" "Not received,Received" eventfld.long 0x04 0. " ECR ,ERR_COR received" "Not received,Received" endif rgroup.word 0x134++0x03 line.word 0x00 "CORR_ERR_SRC_ID,Correctable Error Source ID Register" line.word 0x02 "ERR_SRC_ID,Error Source ID Register" rgroup.long 0x148++0x03 line.long 0x00 "ARI_CAP_HDR,ARI Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" rgroup.word 0x14C++0x03 line.word 0x00 "ARI_CAP,ARI Capability Register" hexmask.word.byte 0x00 8.--15. 1. " NFN ,Next function number" bitfld.word 0x00 1. " AFGC ,ACS function groups capability" "Not capable,Capable" newline bitfld.word 0x00 0. " MFGC ,MFVC function groups capability" "Not capable,Capable" line.word 0x02 "ARI_CTRL,ARI Control Register" bitfld.word 0x02 4.--6. " FG ,Function group" "0,1,2,3,4,5,6,7" bitfld.word 0x02 1. " AFGE ,ACS function groups enable" "Disabled,Enabled" newline bitfld.word 0x02 0. " MFGE ,MFVC function groups enable" "Disabled,Enabled" rgroup.long 0x148++0x03 line.long 0x00 "SPCIE_CAP_HDR,Secondary Extended Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" group.long 0x14C++0x07 line.long 0x00 "LINK_CTRL3,Link Control 3 Register" bitfld.long 0x00 1. " EQ_REQ_INT_EN ,Link equalization request interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " PERFORM_EQ ,Perform equalization" "Not performed,Performed" line.long 0x04 "LANE_ERR_STS,Lane Error Status Register" eventfld.long 0x04 3. " LANE_ERR_STS[3] ,Lane error status bit 3" "Not detected,Detected" eventfld.long 0x04 2. " [2] ,Lane error status bit 2" "Not detected,Detected" newline eventfld.long 0x04 1. " [1] ,Lane error status bit 1" "Not detected,Detected" eventfld.long 0x04 0. " [0] ,Lane error status bit 0" "Not detected,Detected" rgroup.word 0x164++0x01 line.word 0x00 "G4LECR0,GEN4 Lane Equalization Control Register 0" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x166++0x01 line.word 0x00 "G4LECR1,GEN4 Lane Equalization Control Register 1" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x168++0x01 line.word 0x00 "G4LECR2,GEN4 Lane Equalization Control Register 2" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x16A++0x01 line.word 0x00 "G4LECR3,GEN4 Lane Equalization Control Register 3" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x16C++0x01 line.word 0x00 "G4LECR4,GEN4 Lane Equalization Control Register 4" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x16E++0x01 line.word 0x00 "G4LECR5,GEN4 Lane Equalization Control Register 5" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x170++0x01 line.word 0x00 "G4LECR6,GEN4 Lane Equalization Control Register 6" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x172++0x01 line.word 0x00 "G4LECR7,GEN4 Lane Equalization Control Register 7" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x178++0x07 line.long 0x00 "SR_IOV_EXT_CAP_ID,SR-IOV Extended Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" line.long 0x04 "SR_IOV_CAP,SR-IOV Capabilities Register" hexmask.long.word 0x04 21.--31. 1. " VFMIMN ,VF migration interrupt message number" bitfld.long 0x04 1. " ARICHR ,ARI capable hierarchy preserved" "Not preserved,Preserved" newline bitfld.long 0x04 0. " VFMC ,VF migration capable" "Not capable,Capable" rgroup.word 0x180++0x07 line.word 0x00 "SR_IOV_CTRL,SR-IOV Control Register" bitfld.word 0x00 4. " ARICH ,ARI capable hierarchy" "0,1" bitfld.word 0x00 3. " VFMIE ,VF MSE" "Disabled,Enabled" newline bitfld.word 0x00 2. " VFMIE ,VF migration interrupt enable" "Disabled,Enabled" bitfld.word 0x00 1. " VFME ,VF migration enable" "Disabled,Enabled" newline bitfld.word 0x00 0. " VFE ,VF enable" "Disabled,Enabled" line.word 0x02 "SR_IOV_STS,SR-IOV Status Register" bitfld.word 0x02 0. " VFMS ,VF migration status" "0,1" line.word 0x04 "SR_IOV_INIT_VF,SR-IOV Initial VF Register" line.word 0x06 "SR_IOV_TOTAL_VF,SR-IOV Total VF Register" group.word 0x188++0x01 line.word 0x00 "SR_IOV_NR_VF,SR-IOV Number VF Register" group.byte 0x18A++0x00 line.byte 0x00 "SR_IOV_FUNC_DPNDC_LNK,SR-IOV Function Dependency Link Register" rgroup.word 0x18C++0x03 line.word 0x00 "SR_IOV_FRST_VF_OFFST,SR-IOV First VF Offset Register" line.word 0x02 "SR_IOV_VF_STRD,SR-IOV VF Stride Register" rgroup.word 0x192++0x01 line.word 0x00 "SR_IOV_DEV_ID,SR-IOV Device ID Register" rgroup.long 0x194++0x03 line.long 0x00 "SR_IOV_SUPP_PG_SZ,SR-IOV Supported Page Size Register" group.long 0x198++0x03 line.long 0x00 "SR_IOV_SYS_PG_SZ,SR-IOV System Page Size Register" group.long 0x19C++0x03 line.long 0x00 "VFBAR0,SR-IOV VF Base Address Register 0" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1A0++0x03 line.long 0x00 "VFBAR1,SR-IOV VF Base Address Register 1" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1A4++0x03 line.long 0x00 "VFBAR2,SR-IOV VF Base Address Register 2" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1A8++0x03 line.long 0x00 "VFBAR3,SR-IOV VF Base Address Register 3" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1AC++0x03 line.long 0x00 "VFBAR4,SR-IOV VF Base Address Register 4" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1B0++0x03 line.long 0x00 "VFBAR5,SR-IOV VF Base Address Register 5" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" rgroup.long 0x1B4++0x03 line.long 0x00 "SR_IOV_MIG_STATE_ARR_OFF,SR-IOV Migration State Array Offset Register" hexmask.long 0x00 3.--31. 0x08 " MSAO ,VF migration state offset" bitfld.long 0x00 0.--2. " MSBIR ,VF migration state BIR" "0,1,2,3,4,5,6,7" group.long 0x71C++0x03 line.long 0x00 "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register And Filter Mask 1 Register" hexmask.long.word 0x00 16.--31. 1. " MASK_RADM_1 ,Filter mask 1" bitfld.long 0x00 15. " DISABLE_FC_WD_TIMER ,Disable FC watchdog timer" "No,Yes" newline hexmask.long.word 0x00 0.--10. 1. " SKP_INT_VAL ,SKP interval value" group.long 0x890++0x03 line.long 0x00 "GEN3_RELATED_OFF,Gen 3 Control Register" bitfld.long 0x00 16. " GEN3_EQUALIZATION_DISABLE ,Equalization disable" "No,Yes" bitfld.long 0x00 12. " RXEQ_PH01_EN ,Rx equalization phase 0/1 hold enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " EQ_EIEOS_CNT ,Equalization EIEOS count reset disable" "No,Yes" group.long 0x8BC++0x03 line.long 0x00 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register" bitfld.long 0x00 0. " RO_WR_EN ,Read-only write enable" "Disabled,Enabled" group.long 0x8E0++0x07 line.long 0x00 "COHERENCY_CTRL_1_OFF,Coherency Control Register 1" hexmask.long 0x00 2.--31. 0x04 " CFG_MEMTYPE_BOUNDARY_LOW_ADDR ,Boundary lower address for memory type" bitfld.long 0x00 0. " CFG_MEMTYPE_VALUE ,Memory type" "CCSR/Memory,?..." line.long 0x04 "COHERENCY_CTRL_2_OFF,Coherency Control Register 2" group.long 0x900++0x03 line.long 0x00 "IATU_VIEWPORT_OFF,iATU Index Register" bitfld.long 0x00 31. " REGION_DIR ,Region direction" "Outbound,Inbound" hexmask.long.byte 0x00 0.--7. 1. " REGION_INDEX ,Region index" if ((per.w(ad:0x03600000+0x900)&0x80000000)==(0x00)) group.long 0x904++0x1B line.long 0x00 "IATU_REGION_CTRL_1_OFF_OUTBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,AT" "0,1,2,3" bitfld.long 0x00 9.--10. " ATTR ,Attribute" "0,1,2,3" newline bitfld.long 0x00 8. " TD ,TLP digest" "0,1" bitfld.long 0x00 5.--7. " TC ,Traffic class" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "IATU_REGION_CTRL_2_OFF_OUTBOUND_0,iATU Region Control 2 Register" bitfld.long 0x04 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x04 28. " CFG_SHIFT_MODE ,CFG shift mode" "Off,On" newline hexmask.long.byte 0x04 0.--7. 1. " MSG_CODE ,Message code" line.long 0x08 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x08 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x08 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x0C "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_0,iATU Upper Base Address Register" line.long 0x10 "IATU_LIMIT_ADDR_OFF_OUTBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x14 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_0,iATU Outbound Region#N Lower Offset Address Register" hexmask.long.tbyte 0x14 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x14 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x18 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_0,iATU Upper Target Address Register" else if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 20.--24. " CTRL_1_FUNC_NUM ,Function number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" elif ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x01)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" endif group.long 0x908++0x17 line.long 0x00 "IATU_REGION_CTRL_2_OFF_INBOUND_0,iATU Region Control 2 Register" bitfld.long 0x00 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x00 30. " MATCH_MODE ,Match mode" "Address/Routing ID,BAR/Accept/Vendor" newline bitfld.long 0x00 19. " FUNC_NUM_MATCH_EN ,Function number match enable" "Disabled,Enabled" bitfld.long 0x00 18. " AT_MATCH_EN ,AT match enable" "Disabled,Enabled" newline bitfld.long 0x00 8.--10. " BAR_NUM ,BAR number" "0,1,2,3,4,5,ROM,?..." line.long 0x04 "IATU_LWR_BASE_ADDR_OFF_INBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x04 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x04 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x08 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_0,iATU Upper Base Address Register" line.long 0x0C "IATU_LIMIT_ADDR_OFF_INBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x0C 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x0C 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x10 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_0,iATU Region#N Lower Offset Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x14 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_0,iATU Upper Target Address Register" endif wgroup.long 0x1010++0x03 line.long 0x00 "BAR0_MASK,Base Address Register 0" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" wgroup.long 0x1014++0x03 line.long 0x00 "BAR1_MASK,Base Address Register 1" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1018++0x03 line.long 0x00 "BAR2_MASK,Base Address Register 2" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) wgroup.long 0x101C++0x03 line.long 0x00 "BAR3_MASK,Base Address Register 3" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1020++0x03 line.long 0x00 "BAR4_MASK,Base Address Register 4" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1024++0x03 line.long 0x00 "BAR5_MASK,Base Address Register 5" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1030++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" elif ((per.w(ad:0x03600000+0x0D)&0x7F)==(0x01)) wgroup.long 0x1038++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif width 0x0B base ad:0x03680000 width 23. group.long 0x20++0x07 "PEX LUT Registers" line.long 0x00 "PEXLSR,PEX LUT Status Register" eventfld.long 0x00 31. " LUTM ,Lookup table miss" "Not missed,Missed" eventfld.long 0x00 30. " MLUTM ,Multiple lookup table miss" "Not missed,Missed" hexmask.long.word 0x00 0.--15. 1. " CREQID ,Captured REQID" line.long 0x04 "PEXLCR,PEX LUT Control Register" bitfld.long 0x04 31. " DPL ,Default privilege level" "0,1" bitfld.long 0x04 30. " DBMT ,Default bypass memory translation" "0,1" hexmask.long.word 0x04 0.--14. 1. " DICID ,Default isolation context ID" group.long 0x800++0x07 line.long 0x00 "PEXL0UDR,PEX LUT Entry 0 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 0 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 0 of the PEX lookup table" line.long 0x04 "PEXL0LDR,PEX LUT Entry 0 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 0 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 0 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 0 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 0 within the PEX lookup table" group.long 0x808++0x07 line.long 0x00 "PEXL1UDR,PEX LUT Entry 1 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 1 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 1 of the PEX lookup table" line.long 0x04 "PEXL1LDR,PEX LUT Entry 1 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 1 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 1 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 1 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 1 within the PEX lookup table" group.long 0x810++0x07 line.long 0x00 "PEXL2UDR,PEX LUT Entry 2 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 2 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 2 of the PEX lookup table" line.long 0x04 "PEXL2LDR,PEX LUT Entry 2 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 2 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 2 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 2 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 2 within the PEX lookup table" group.long 0x818++0x07 line.long 0x00 "PEXL3UDR,PEX LUT Entry 3 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 3 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 3 of the PEX lookup table" line.long 0x04 "PEXL3LDR,PEX LUT Entry 3 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 3 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 3 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 3 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 3 within the PEX lookup table" group.long 0x820++0x07 line.long 0x00 "PEXL4UDR,PEX LUT Entry 4 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 4 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 4 of the PEX lookup table" line.long 0x04 "PEXL4LDR,PEX LUT Entry 4 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 4 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 4 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 4 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 4 within the PEX lookup table" group.long 0x828++0x07 line.long 0x00 "PEXL5UDR,PEX LUT Entry 5 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 5 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 5 of the PEX lookup table" line.long 0x04 "PEXL5LDR,PEX LUT Entry 5 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 5 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 5 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 5 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 5 within the PEX lookup table" group.long 0x830++0x07 line.long 0x00 "PEXL6UDR,PEX LUT Entry 6 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 6 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 6 of the PEX lookup table" line.long 0x04 "PEXL6LDR,PEX LUT Entry 6 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 6 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 6 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 6 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 6 within the PEX lookup table" group.long 0x838++0x07 line.long 0x00 "PEXL7UDR,PEX LUT Entry 7 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 7 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 7 of the PEX lookup table" line.long 0x04 "PEXL7LDR,PEX LUT Entry 7 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 7 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 7 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 7 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 7 within the PEX lookup table" group.long 0x840++0x07 line.long 0x00 "PEXL8UDR,PEX LUT Entry 8 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 8 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 8 of the PEX lookup table" line.long 0x04 "PEXL8LDR,PEX LUT Entry 8 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 8 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 8 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 8 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 8 within the PEX lookup table" group.long 0x848++0x07 line.long 0x00 "PEXL9UDR,PEX LUT Entry 9 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 9 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 9 of the PEX lookup table" line.long 0x04 "PEXL9LDR,PEX LUT Entry 9 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 9 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 9 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 9 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 9 within the PEX lookup table" group.long 0x850++0x07 line.long 0x00 "PEXL10UDR,PEX LUT Entry 10 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 10 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 10 of the PEX lookup table" line.long 0x04 "PEXL10LDR,PEX LUT Entry 10 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 10 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 10 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 10 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 10 within the PEX lookup table" group.long 0x858++0x07 line.long 0x00 "PEXL11UDR,PEX LUT Entry 11 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 11 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 11 of the PEX lookup table" line.long 0x04 "PEXL11LDR,PEX LUT Entry 11 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 11 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 11 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 11 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 11 within the PEX lookup table" group.long 0x860++0x07 line.long 0x00 "PEXL12UDR,PEX LUT Entry 12 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 12 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 12 of the PEX lookup table" line.long 0x04 "PEXL12LDR,PEX LUT Entry 12 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 12 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 12 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 12 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 12 within the PEX lookup table" group.long 0x868++0x07 line.long 0x00 "PEXL13UDR,PEX LUT Entry 13 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 13 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 13 of the PEX lookup table" line.long 0x04 "PEXL13LDR,PEX LUT Entry 13 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 13 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 13 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 13 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 13 within the PEX lookup table" group.long 0x870++0x07 line.long 0x00 "PEXL14UDR,PEX LUT Entry 14 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 14 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 14 of the PEX lookup table" line.long 0x04 "PEXL14LDR,PEX LUT Entry 14 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 14 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 14 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 14 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 14 within the PEX lookup table" group.long 0x878++0x07 line.long 0x00 "PEXL15UDR,PEX LUT Entry 15 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 15 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 15 of the PEX lookup table" line.long 0x04 "PEXL15LDR,PEX LUT Entry 15 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 15 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 15 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 15 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 15 within the PEX lookup table" group.long 0x880++0x07 line.long 0x00 "PEXL16UDR,PEX LUT Entry 16 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 16 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 16 of the PEX lookup table" line.long 0x04 "PEXL16LDR,PEX LUT Entry 16 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 16 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 16 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 16 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 16 within the PEX lookup table" group.long 0x888++0x07 line.long 0x00 "PEXL17UDR,PEX LUT Entry 17 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 17 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 17 of the PEX lookup table" line.long 0x04 "PEXL17LDR,PEX LUT Entry 17 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 17 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 17 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 17 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 17 within the PEX lookup table" group.long 0x890++0x07 line.long 0x00 "PEXL18UDR,PEX LUT Entry 18 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 18 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 18 of the PEX lookup table" line.long 0x04 "PEXL18LDR,PEX LUT Entry 18 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 18 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 18 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 18 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 18 within the PEX lookup table" group.long 0x898++0x07 line.long 0x00 "PEXL19UDR,PEX LUT Entry 19 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 19 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 19 of the PEX lookup table" line.long 0x04 "PEXL19LDR,PEX LUT Entry 19 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 19 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 19 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 19 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 19 within the PEX lookup table" group.long 0x8A0++0x07 line.long 0x00 "PEXL20UDR,PEX LUT Entry 20 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 20 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 20 of the PEX lookup table" line.long 0x04 "PEXL20LDR,PEX LUT Entry 20 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 20 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 20 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 20 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 20 within the PEX lookup table" group.long 0x8A8++0x07 line.long 0x00 "PEXL21UDR,PEX LUT Entry 21 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 21 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 21 of the PEX lookup table" line.long 0x04 "PEXL21LDR,PEX LUT Entry 21 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 21 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 21 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 21 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 21 within the PEX lookup table" group.long 0x8B0++0x07 line.long 0x00 "PEXL22UDR,PEX LUT Entry 22 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 22 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 22 of the PEX lookup table" line.long 0x04 "PEXL22LDR,PEX LUT Entry 22 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 22 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 22 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 22 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 22 within the PEX lookup table" group.long 0x8B8++0x07 line.long 0x00 "PEXL23UDR,PEX LUT Entry 23 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 23 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 23 of the PEX lookup table" line.long 0x04 "PEXL23LDR,PEX LUT Entry 23 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 23 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 23 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 23 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 23 within the PEX lookup table" group.long 0x8C0++0x07 line.long 0x00 "PEXL24UDR,PEX LUT Entry 24 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 24 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 24 of the PEX lookup table" line.long 0x04 "PEXL24LDR,PEX LUT Entry 24 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 24 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 24 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 24 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 24 within the PEX lookup table" group.long 0x8C8++0x07 line.long 0x00 "PEXL25UDR,PEX LUT Entry 25 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 25 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 25 of the PEX lookup table" line.long 0x04 "PEXL25LDR,PEX LUT Entry 25 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 25 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 25 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 25 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 25 within the PEX lookup table" group.long 0x8D0++0x07 line.long 0x00 "PEXL26UDR,PEX LUT Entry 26 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 26 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 26 of the PEX lookup table" line.long 0x04 "PEXL26LDR,PEX LUT Entry 26 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 26 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 26 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 26 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 26 within the PEX lookup table" group.long 0x8D8++0x07 line.long 0x00 "PEXL27UDR,PEX LUT Entry 27 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 27 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 27 of the PEX lookup table" line.long 0x04 "PEXL27LDR,PEX LUT Entry 27 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 27 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 27 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 27 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 27 within the PEX lookup table" group.long 0x8E0++0x07 line.long 0x00 "PEXL28UDR,PEX LUT Entry 28 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 28 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 28 of the PEX lookup table" line.long 0x04 "PEXL28LDR,PEX LUT Entry 28 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 28 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 28 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 28 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 28 within the PEX lookup table" group.long 0x8E8++0x07 line.long 0x00 "PEXL29UDR,PEX LUT Entry 29 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 29 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 29 of the PEX lookup table" line.long 0x04 "PEXL29LDR,PEX LUT Entry 29 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 29 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 29 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 29 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 29 within the PEX lookup table" group.long 0x8F0++0x07 line.long 0x00 "PEXL30UDR,PEX LUT Entry 30 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 30 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 30 of the PEX lookup table" line.long 0x04 "PEXL30LDR,PEX LUT Entry 30 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 30 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 30 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 30 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 30 within the PEX lookup table" group.long 0x8F8++0x07 line.long 0x00 "PEXL31UDR,PEX LUT Entry 31 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 31 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 31 of the PEX lookup table" line.long 0x04 "PEXL31LDR,PEX LUT Entry 31 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 31 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 31 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 31 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 31 within the PEX lookup table" group.long 0x40014++0x03 line.long 0x00 "PEX_PF0_CONFIG,PEX PF0 Config" bitfld.long 0x00 9. " SDT ,Sense device type" "EP mode,RC mode" bitfld.long 0x00 0. " CFG_READY ,Config ready" "Not ready,Ready" rgroup.long (0x40014+0x04)++0x03 line.long 0x00 "PEX_PF0_INT_STAT,PEX PF0 Interrupt Status" bitfld.long 0x00 15. " INTM ,Per PF dependent message interrupt is pending" "No pending,Pending" bitfld.long 0x00 14. " INTE ,Per PF dependent error interrupt is pending" "No pending,Pending" group.long (0x40014+0x08)++0x13 line.long 0x00 "PEX_PF0_INT_CTRL,PEX PF0 Interrupt Control" bitfld.long 0x00 31. " PPMEIC ,PAB PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 30. " LPMEIC ,LUT PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 29. " PERRIC ,PAB error interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 28. " PAERIC ,PCIe AER interrupt control" "Routed to SPI,Routed to INTA" line.long 0x04 "PEX_PF0_PME_MES_DR,PEX PF0 PCIE PME And Message Detect Register" eventfld.long 0x04 15. " PTO ,PME turn off detected" "Not detected,Detected" eventfld.long 0x04 13. " ENL23 ,PCIe core entered L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 12. " EXL23 ,PCIe core exited L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 10. " HRD ,Hot reset was detected" "Not detected,Detected" newline eventfld.long 0x04 9. " LDD ,Link down detected" "Not detected,Detected" eventfld.long 0x04 7. " LUD ,Link up detected" "Not detected,Detected" line.long 0x08 "PEX_PF0_PME_MES_DISR,PEX PF0 PCIE PME And Message Disable Register" bitfld.long 0x08 15. " PTOD ,PME turn off detect disable" "No,Yes" bitfld.long 0x08 13. " ENL23D ,Entered L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 12. " EXL23D ,Exited L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 10. " HRDD ,Hot reset detect disable" "No,Yes" newline bitfld.long 0x08 9. " LDDD ,Link down detect disable" "No,Yes" bitfld.long 0x08 7. " LUDD ,Link up detect disable" "No,Yes" line.long 0x0C "PEX_PF0_PME_MES_IER,PEX PF0 PCIE PME And Message Interrupt Enable Register" bitfld.long 0x0C 15. " PTOIE ,PME turn off detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 13. " ENL23IE ,Entered L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 12. " EXL23IE ,Exited L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 10. " HRDIE ,Hot reset detect interrupt enable" "Disabled,Enabled" newline bitfld.long 0x0C 9. " LDDIE ,Link down detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 7. " LUDIE ,Link up detect interrupt enable" "Disabled,Enabled" line.long 0x10 "PEX_PF0_MCR,PEX PF0 PCIE Message Command Register" bitfld.long 0x10 4. " INTX ,INTx command assert" "Not asserted,Asserted" bitfld.long 0x10 2. " SPMES ,PM_PME command send" "Not sent,Sent" bitfld.long 0x10 1. " EXL2S ,Exit L2 state command" "Not generated,Generated" bitfld.long 0x10 0. " PTOMR ,PME turn off message generate" "Not generated,Generated" group.long (0x40014+0x12C)++0x03 line.long 0x00 "PEX_PF0_RBP_ADDR_U,PEX PF0 Route By Port Address Upper Register" hexmask.long.word 0x00 16.--31. 0x01 " ADDR_U ,Upper 16 bit of the PCIE slave device used for the outbound transactions" group.long (0x40014+0x1EC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " ME ,Multiple errors of same type" "Not set,Set" eventfld.long 0x00 23. " PCT ,Completion timeout" "Not detected,Detected" eventfld.long 0x00 21. " PCAC ,Completer abort detected" "Not detected,Detected" eventfld.long 0x00 19. " CDNSC ,Completion with data not successful detected" "Not detected,Detected" newline eventfld.long 0x00 12. " UREP ,Unsupported request completion detected" "Not detected,Detected" group.long (0x40014+0x1F4)++0x03 line.long 0x00 "PEX_PF0_ERR_EN,PEX PF0 Error Interrupt Enable Register" bitfld.long 0x00 23. " PCTIE ,Completion timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x00 21. " PCACIE ,Completer abort interrupt enable" "Disabled,Enabled" bitfld.long 0x00 19. " CDNSCIE ,Completion with data not successful interrupt enable" "Disabled,Enabled" bitfld.long 0x00 12. " UREPIE ,Unsupported request completion interrupt enable" "Disabled,Enabled" group.long (0x40014+0x1FC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " MED ,Multiple errors of same type detection disable" "No,Yes" bitfld.long 0x00 23. " PCTD ,Completion timeout detection disable" "No,Yes" bitfld.long 0x00 21. " PCACD ,Completer abort detection disable" "No,Yes" bitfld.long 0x00 19. " CDNSCD ,Completion with data not successful detection disable" "No,Yes" newline bitfld.long 0x00 12. " UREPD ,Unsupported request completion detection disable" "No,Yes" if (((per.l(ad:0x03680000+0x40014+0x7E8))&0x80000000)==0x80000000) group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" bitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" else group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" rbitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" endif group.long 0x50014++0x03 line.long 0x00 "PEX_PF1_CONFIG,PEX PF1 Config" bitfld.long 0x00 9. " SDT ,Sense device type" "EP mode,RC mode" bitfld.long 0x00 0. " CFG_READY ,Config ready" "Not ready,Ready" rgroup.long (0x50014+0x04)++0x03 line.long 0x00 "PEX_PF1_INT_STAT,PEX PF1 Interrupt Status" bitfld.long 0x00 15. " INTM ,Per PF dependent message interrupt is pending" "No pending,Pending" bitfld.long 0x00 14. " INTE ,Per PF dependent error interrupt is pending" "No pending,Pending" group.long (0x50014+0x08)++0x13 line.long 0x00 "PEX_PF1_INT_CTRL,PEX PF1 Interrupt Control" bitfld.long 0x00 31. " PPMEIC ,PAB PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 30. " LPMEIC ,LUT PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 29. " PERRIC ,PAB error interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 28. " PAERIC ,PCIe AER interrupt control" "Routed to SPI,Routed to INTA" line.long 0x04 "PEX_PF1_PME_MES_DR,PEX PF1 PCIE PME And Message Detect Register" eventfld.long 0x04 15. " PTO ,PME turn off detected" "Not detected,Detected" eventfld.long 0x04 13. " ENL23 ,PCIe core entered L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 12. " EXL23 ,PCIe core exited L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 10. " HRD ,Hot reset was detected" "Not detected,Detected" newline eventfld.long 0x04 9. " LDD ,Link down detected" "Not detected,Detected" eventfld.long 0x04 7. " LUD ,Link up detected" "Not detected,Detected" line.long 0x08 "PEX_PF1_PME_MES_DISR,PEX PF1 PCIE PME And Message Disable Register" bitfld.long 0x08 15. " PTOD ,PME turn off detect disable" "No,Yes" bitfld.long 0x08 13. " ENL23D ,Entered L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 12. " EXL23D ,Exited L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 10. " HRDD ,Hot reset detect disable" "No,Yes" newline bitfld.long 0x08 9. " LDDD ,Link down detect disable" "No,Yes" bitfld.long 0x08 7. " LUDD ,Link up detect disable" "No,Yes" line.long 0x0C "PEX_PF1_PME_MES_IER,PEX PF1 PCIE PME And Message Interrupt Enable Register" bitfld.long 0x0C 15. " PTOIE ,PME turn off detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 13. " ENL23IE ,Entered L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 12. " EXL23IE ,Exited L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 10. " HRDIE ,Hot reset detect interrupt enable" "Disabled,Enabled" newline bitfld.long 0x0C 9. " LDDIE ,Link down detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 7. " LUDIE ,Link up detect interrupt enable" "Disabled,Enabled" line.long 0x10 "PEX_PF1_MCR,PEX PF1 PCIE Message Command Register" bitfld.long 0x10 4. " INTX ,INTx command assert" "Not asserted,Asserted" bitfld.long 0x10 2. " SPMES ,PM_PME command send" "Not sent,Sent" bitfld.long 0x10 1. " EXL2S ,Exit L2 state command" "Not generated,Generated" bitfld.long 0x10 0. " PTOMR ,PME turn off message generate" "Not generated,Generated" group.long (0x50014+0x12C)++0x03 line.long 0x00 "PEX_PF1_RBP_ADDR_U,PEX PF1 Route By Port Address Upper Register" hexmask.long.word 0x00 16.--31. 0x01 " ADDR_U ,Upper 16 bit of the PCIE slave device used for the outbound transactions" group.long (0x50014+0x1EC)++0x03 line.long 0x00 "PEX_PF1_ERR_DR,PEX PF1 Error Detect Register" eventfld.long 0x00 31. " ME ,Multiple errors of same type" "Not set,Set" eventfld.long 0x00 23. " PCT ,Completion timeout" "Not detected,Detected" eventfld.long 0x00 21. " PCAC ,Completer abort detected" "Not detected,Detected" eventfld.long 0x00 19. " CDNSC ,Completion with data not successful detected" "Not detected,Detected" newline eventfld.long 0x00 12. " UREP ,Unsupported request completion detected" "Not detected,Detected" group.long (0x50014+0x1F4)++0x03 line.long 0x00 "PEX_PF1_ERR_EN,PEX PF1 Error Interrupt Enable Register" bitfld.long 0x00 23. " PCTIE ,Completion timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x00 21. " PCACIE ,Completer abort interrupt enable" "Disabled,Enabled" bitfld.long 0x00 19. " CDNSCIE ,Completion with data not successful interrupt enable" "Disabled,Enabled" bitfld.long 0x00 12. " UREPIE ,Unsupported request completion interrupt enable" "Disabled,Enabled" group.long (0x50014+0x1FC)++0x03 line.long 0x00 "PEX_PF1_ERR_DR,PEX PF1 Error Detect Register" eventfld.long 0x00 31. " MED ,Multiple errors of same type detection disable" "No,Yes" bitfld.long 0x00 23. " PCTD ,Completion timeout detection disable" "No,Yes" bitfld.long 0x00 21. " PCACD ,Completer abort detection disable" "No,Yes" bitfld.long 0x00 19. " CDNSCD ,Completion with data not successful detection disable" "No,Yes" newline bitfld.long 0x00 12. " UREPD ,Unsupported request completion detection disable" "No,Yes" width 0x0B else textline "-----------------------------------" textline "PCIe 3 disabled by DC.DEVDISR3.PEX3" textline "-----------------------------------" endif tree.end tree "PCIe 4" if ((per.l(ad:0x01E00000+0x78)&0x08)==(0x00)) base ad:0x03700000 width 39. rgroup.word 0x00++0x03 "Config Registers" line.word 0x00 "VENDOR_ID,Vendor ID Register" line.word 0x02 "DEVICE_ID,Device ID Register" group.word 0x04++0x03 line.word 0x00 "COMMAND,Command Register" bitfld.word 0x00 10. " INTERRUPT_DIS ,Interrupt disable" "No,Yes" bitfld.word 0x00 8. " SERR ,Non fatal/Fatal error reporting enable" "Disabled,Enabled" newline bitfld.word 0x00 6. " PARITY_ERROR_RESP ,PCI parity error response" "Ignored,Reported" bitfld.word 0x00 2. " BUS_MASTER ,Bus master enable" "Disabled,Enabled" newline bitfld.word 0x00 1. " MEMORY_SPACE ,Memory space enable" "Disabled,Enabled" bitfld.word 0x00 0. " IO_SPACE ,I/O space enable" "Disabled,Enabled" line.word 0x02 "STATUS,Status Register" eventfld.word 0x02 15. " PAR_ERR ,Parity error" "No error,Error" eventfld.word 0x02 14. " SYS_ERR ,System error" "No error,Error" newline eventfld.word 0x02 13. " RCVD_MAS_ABORT ,Received unsupported request completion status" "Not received,Received" eventfld.word 0x02 12. " RCVD_TAR_ABORT ,Received completer abort completion status" "Not received,Received" newline eventfld.word 0x02 11. " TAR_ABORT ,Completed request with completer abort completion status" "No,Yes" eventfld.word 0x02 8. " MAS_DPR ,Master data parity error" "No error,Error" newline eventfld.word 0x02 4. " EXT_CAP_LIST ,Extended capability list item present" "Not present,Present" eventfld.word 0x02 3. " INTR_STS ,Interrupt status" "No interrupt,Interrupt" rgroup.byte 0x08++0x03 line.byte 0x00 "REVISION_ID,Revision ID Register" line.byte 0x01 "CLASS_CODE_A,Class Code Register A" line.byte 0x02 "CLASS_CODE_B,Class Code Register B" line.byte 0x03 "CLASS_CODE_C,Class Code Register C" group.byte 0x0C++0x00 line.byte 0x00 "CACHE_LINE_SIZE,Cache Line Size Register" rgroup.byte 0x0D++0x01 line.byte 0x00 "LATENCY_TIMER,Latency Timer Register" line.byte 0x01 "HEADER_TYPE,Header Type Register" bitfld.byte 0x01 7. " MULTI_F ,Multifunction" "Single,Multiple" hexmask.byte 0x01 0.--6. 1. " HEADER_L ,Header layout" group.long 0x10++0x03 line.long 0x00 "BAR0,Base Address Register 0" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) group.long 0x14++0x03 line.long 0x00 "BAR1,Base Address Register 1" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x18++0x03 line.long 0x00 "BAR2,Base Address Register 2" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,64-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x1C++0x0B line.long 0x00 "BAR3,Base Address Register 3" line.long 0x04 "BAR4,Base Address Register 4" hexmask.long.tbyte 0x04 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x04 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x04 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x04 0. " MEMSP ,Memory space indicator" "Memory,IO" line.long 0x08 "BAR5,Base Address Register 5" rgroup.word 0x2C++0x03 line.word 0x00 "SUB_SYS_VEN_ID,Subsystem Vendor ID Register" line.word 0x02 "SUB_SYS_ID,Subsystem ID Register" elif ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x01)) group.byte 0x18++0x02 line.byte 0x00 "PRIM_BUS_NUM,Primary Bus Number Register" line.byte 0x01 "SEC_BUS_NUM,Secondary Bus Number Register" line.byte 0x02 "SUBOR_BUS_NUM,Subordinate Bus Number Register" group.byte 0x1C++0x01 line.byte 0x00 "IO_BASE_ADDR,I/O Base Register" bitfld.byte 0x00 4.--7. " IO_START_ADDR ,I/O start address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x00 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.byte 0x01 "IO_LIMIT,I/O Limit Register" bitfld.byte 0x01 4.--7. " IO_LIMIT_ADDR ,I/O limit address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x01 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.word 0x1E++0x09 line.word 0x00 "IOBLSSRT,I/O Base Limit And Secondary Status Register" eventfld.word 0x00 15. " DPE ,Parity error" "No error,Error" eventfld.word 0x00 14. " SSE ,System error" "No error,Error" newline eventfld.word 0x00 13. " RMA ,Received master abort" "Not received,Received" eventfld.word 0x00 12. " RTA ,Received target abort" "Not received,Received" newline eventfld.word 0x00 11. " STA ,Signaled target abort" "Not set,Set" eventfld.word 0x00 8. " MDPE ,Master data parity error" "No error,Error" line.word 0x02 "MEM_BASE_ADDR,Memory Base Address Register" hexmask.word 0x02 4.--15. 0x10 " MEM_BASE ,Memory base address" line.word 0x04 "MEM_LIMIT,Memory Limit Register" hexmask.word 0x04 4.--15. 0x10 " MEM_LIMIT ,Memory limit address" line.word 0x06 "PMBR,Prefetchable Memory Base Register" hexmask.word 0x06 4.--15. 0x10 " PF_MEM_BASE ,Prefetchable memory base address" rbitfld.word 0x06 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.word 0x08 "PF_MEM_LIMIT,Prefetchable Memory Limit Register" hexmask.word 0x08 4.--15. 0x10 " PF_MEM_LIMIT ,I/O limit address" rbitfld.word 0x08 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.long 0x28++0x0B line.long 0x00 "PBUDWRT1,Prefetchable Base Upper DWord Register" line.long 0x04 "PLUDWRT1,Prefetchable Limit Upper DWord Register" line.long 0x08 "ERBAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x08 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x08 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" rgroup.word 0x30++0x03 line.word 0x00 "PBUDWRT1,I/O Base Upper Word Register" line.word 0x02 "PLUDWRT1,I/O Limit Upper Word Register" endif rgroup.byte 0x34++0x00 line.byte 0x00 "CPR,Capabilities Pointer Register" if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) group.long 0x30++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" elif ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x01)) group.long 0x38++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" endif group.byte 0x3C++0x00 line.byte 0x00 "ILR,Interrupt Line Register" rgroup.byte 0x3D++0x00 line.byte 0x00 "IPR,Interrupt Pin Register" if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x3E++0x01 line.byte 0x00 "MIN_GNT,Minimum Grant Register" line.byte 0x01 "MAX_LAT,Maximum Latency Register" elif ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x01)) group.word 0x3E++0x01 line.word 0x00 "BCR,Bridge Control Register" bitfld.word 0x00 6. " SCND_RST ,Secondary bus reset" "No reset,Reset" bitfld.word 0x00 3. " VGA_EN ,VGA enable" "Disabled,Enabled" newline bitfld.word 0x00 2. " ISA_EN ,ISA enable" "Disabled,Enabled" bitfld.word 0x00 1. " SERR_EN ,SERR enable" "Disabled,Enabled" newline bitfld.word 0x00 0. " PER ,Parity error response" "No error,Error" endif rgroup.byte 0x40++0x00 line.byte 0x00 "PWR_MGMT_CAP_ID,Power Management Capability ID Register" rgroup.word 0x42++0x01 line.word 0x00 "PMCR,PM Capability Register" bitfld.word 0x00 15. " PME_SUPPORT[4] ,Power state 4 PME set support" "Not supported,Supported" bitfld.word 0x00 14. " [3] ,Power state 3 PME set support" "Not supported,Supported" newline bitfld.word 0x00 13. " [2] ,Power state 2 PME set support" "Not supported,Supported" bitfld.word 0x00 12. " [1] ,Power state 1 PME set support" "Not supported,Supported" newline bitfld.word 0x00 11. " [0] ,Power state 0 PME set support" "Not supported,Supported" bitfld.word 0x00 10. " D2_SUPPORT ,D2 power management state support" "Not supported,Supported" newline bitfld.word 0x00 9. " D1_SUPPORT ,D1 power management state support" "Not supported,Supported" bitfld.word 0x00 6.--8. " AUX_CURRENT ,Auxiliary current support" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 5. " DSI ,Device specific initialization sequence required" "Not required,Required" bitfld.word 0x00 3. " PME_CLOCK ,PCI clock required for PME operation" "Not required,Required" newline bitfld.word 0x00 0.--2. " PCIPM_VERSION ,PCI power management interface specification version" "0,1,2,3,4,5,6,7" group.word 0x44++0x01 line.word 0x00 "PMCSR,PM Control And Status Register" eventfld.word 0x00 15. " PME_STAT ,PME status" "0,1" rbitfld.word 0x00 13.--14. " DATA_SCALE ,Data scale factor" "0,1,2,3" newline bitfld.word 0x00 9.--12. " DATA_SELECT ,Data select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 8. " PME_EN ,PME enable" "Disabled,Enabled" newline bitfld.word 0x00 0.--1. " POWER_STATE ,Power state" "D0,D1,D2,D3" rgroup.byte 0x47++0x00 line.byte 0x00 "PMDR,PM Data Register" if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x50++0x00 line.byte 0x00 "MSI_MC_ID,MSI Message Capability ID Register" group.word 0x52++0x01 line.word 0x00 "MSI_MC,MSI Message Control Register" rbitfld.word 0x00 7. " AC_64 ,64-bit address capable" "Not capable,Capable" bitfld.word 0x00 4.--6. " MME ,Multiple message enable" "0,1,2,3,4,5,6,7" newline rbitfld.word 0x00 1.--3. " MMC ,Multiple message capable" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0. " MSIE ,MSI enable" "Disabled,Enabled" group.long 0x54++0x07 line.long 0x00 "MSI_MAR,MSI Message Address Register" hexmask.long 0x00 2.--31. 0x04 " MSG_ADDR ,Message address" line.long 0x04 "MSI_MSG_UP_ADDR,MSI Message Upper Address Register" group.word 0x5C++0x01 line.word 0x00 "MSI_MSG_DAT,MSI Message Data Register" endif rgroup.byte 0x70++0x00 line.byte 0x00 "CAP_ID,Capability ID Register" if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." newline bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" elif ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x01)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 8. " SLOT ,Slot implemented" "Not implemented,Implemented" newline bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif rgroup.long 0x74++0x03 line.long 0x00 "DEVICE_CAP,Device Capabilities Register" bitfld.long 0x00 28. " FLRC ,Function level reset capability" "Not capable,Capable" bitfld.long 0x00 26.--27. " CSPLS ,Captured slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 18.--25. 1. " CSPLV ,Captured slot power limit value" bitfld.long 0x00 15. " RBER ,Role based error reporting" "Not reported,Reported" newline bitfld.long 0x00 9.--11. " EP_L1_LAT ,Endpoint L1 acceptable latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--8. " EP_L0S_LAT ,Endpoint L0s acceptable latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. " ET ,Extended tag field supported" "Not supported,Supported" bitfld.long 0x00 3.--4. " PHAN_FCT ,Phantom functions supported" "0,1,2,3" newline bitfld.long 0x00 0.--2. " MAX_PL_SIZE_SUP ,Max payload size supported" "0,1,2,3,4,5,6,7" group.long 0x78++0x03 line.long 0x00 "DEVICE_CTRL,Device Control Register" bitfld.long 0x00 15. " IFLR ,Initiate function level reset" "No reset,Reset" bitfld.long 0x00 12.--14. " MAX_READ_SIZE ,Maximum read request size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." newline bitfld.long 0x00 11. " ENS ,No snoop enable" "Disabled,Enabled" bitfld.long 0x00 10. " APE ,AUX power PM enable" "Disabled,Enabled" newline bitfld.long 0x00 9. " PFE ,Phantom functions enable" "Disabled,Enabled" bitfld.long 0x00 8. " ETE ,Extended tag field enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--7. " MAX_PL_SZ ,Maximum payload size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." bitfld.long 0x00 4. " RO_EN ,Relaxed ordering enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " URR ,Unsupported request reporting enable" "Disabled,Enabled" bitfld.long 0x00 2. " FER ,Fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " NFERR ,Non fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 0. " CER ,Correctable error reporting enable" "Disabled,Enabled" group.word 0x7A++0x01 line.word 0x00 "DEV_STS,Device Status Register" rbitfld.word 0x00 5. " TP ,Transactions pending" "Not pending,Pending" rbitfld.word 0x00 4. " APD ,AUX power detected" "Not detected,Detected" newline eventfld.word 0x00 3. " URD ,Unsupported request detected" "Not detected,Detected" eventfld.word 0x00 2. " FED ,Fatal error detected" "Not detected,Detected" newline eventfld.word 0x00 1. " NFED ,Non fatal error detected" "Not detected,Detected" eventfld.word 0x00 0. " CED ,Correctable error detected" "Not detected,Detected" rgroup.long 0x7C++0x03 line.long 0x00 "LINK_CAP,Link Capabilities Register" hexmask.long.byte 0x00 24.--31. 1. " PORT_NR ,Port number" bitfld.long 0x00 22. " AOC ,ASPM optionality compliance" "0,1" newline bitfld.long 0x00 21. " LBWN ,Link bandwidth notification capability" "Not capable,Capable" bitfld.long 0x00 20. " DLLARC ,Data link layer active reporting capable" "Not capable,Capable" newline bitfld.long 0x00 19. " SD_ERR_RPT_CAP ,Surprise down error reporting capable" "Not capable,Capable" bitfld.long 0x00 18. " CPM ,Clock power management" "0,1" newline bitfld.long 0x00 15.--17. " L1_EX_LAT ,L1 exit latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--14. " L0S_EX_LAT ,L0s exit latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--11. " ASPM ,Active state power management (ASPM) support" "0,1,2,3" bitfld.long 0x00 4.--9. " MAX_LINK_W ,Maximum link width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--3. " MAX_LINK_SP ,Maximum link speed" "0,2.5GT/s,5.0GT/s,8.0GT/s,?..." if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) group.word 0x80++0x03 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" newline bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" line.word 0x02 "LINK_STS,Link Status Register" eventfld.word 0x02 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" eventfld.word 0x02 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline rbitfld.word 0x02 12. " SCC ,Slot clock configuration" "0,1" rbitfld.word 0x02 11. " LT ,Link training" "0,1" newline rbitfld.word 0x02 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." rbitfld.word 0x02 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." elif ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x01)) group.word 0x80++0x01 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 5. " RL ,Retrain link initiate" "Not initiated,Initiated" newline bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" newline bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" rgroup.word 0x82++0x01 line.word 0x00 "LINK_STS,Link Status Register" bitfld.word 0x00 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" bitfld.word 0x00 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline bitfld.word 0x00 12. " SCC ,Slot clock configuration" "0,1" bitfld.word 0x00 11. " LT ,Link training" "0,1" newline bitfld.word 0x00 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." bitfld.word 0x00 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." rgroup.long 0x84++0x03 line.long 0x00 "SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x00 19.--31. 1. " PHY_SLOT_NR ,Physical slot number" bitfld.long 0x00 18. " NOCMDCPLSUP ,No command completed support" "Not supported,Supported" newline bitfld.long 0x00 17. " EMIP ,Electromechanical interlock present" "Not present,Present" bitfld.long 0x00 15.--16. " SPLS ,Slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 7.--14. 1. " SPLV ,Slot power limit value" bitfld.long 0x00 6. " HPD ,Hot-plug capable" "Not capable,Capable" newline bitfld.long 0x00 5. " HPS ,Hot-plug surprise" "0,1" bitfld.long 0x00 4. " PIP ,Power indicator present" "Not present,Present" newline bitfld.long 0x00 3. " AIP ,Attention indicator present" "Not present,Present" bitfld.long 0x00 2. " MRLSP ,MRL sensor present" "Not present,Present" newline bitfld.long 0x00 1. " PCP ,Power controller present" "Not present,Present" bitfld.long 0x00 0. " ABP ,Attention button present" "Not present,Present" group.long 0x88++0x03 line.long 0x00 "SLOT_CTRL,Slot Control Register" bitfld.long 0x00 12. " DLLSTCHGEN ,Data link layer state changed enable" "Disabled,Enabled" bitfld.long 0x00 11. " EMICTL ,Electromechanical interlock control" "0,1" newline bitfld.long 0x00 10. " PCC ,Power controller control" "0,1" bitfld.long 0x00 8.--9. " PIC ,Power indicator control" ",On,Blink,Off" newline bitfld.long 0x00 6.--7. " AIC ,Attention indicator control" ",On,Blink,Off" bitfld.long 0x00 5. " HPIE ,Hot plug interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " CCIE ,Command completed interrupt enable" "Disabled,Enabled" bitfld.long 0x00 3. " PDCE ,Presence detect changed enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " MRLSCE ,MRL sensor changed enable" "Disabled,Enabled" bitfld.long 0x00 1. " PFDE ,Power fault detected enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " ABPE ,Attention button pressed enable" "Disabled,Enabled" group.word 0x8A++0x05 line.word 0x00 "SLOT_STAT,Slot Status Register" eventfld.word 0x00 8. " DLLSTCHG ,Data link layer state changed" "Not changed,Changed" rbitfld.word 0x00 7. " EM_IL_ST ,Electromechanical interlock status" "Not set,Set" newline rbitfld.word 0x00 6. " PDS ,Presence detect state" "Empty,Card present" rbitfld.word 0x00 5. " MRLSS ,MRL sensor state" "Closed,Open" newline eventfld.word 0x00 4. " CC ,Command completed" "Not completed,Completed" eventfld.word 0x00 3. " PDC ,Presence detect changed" "Not changed,Changed" newline eventfld.word 0x00 2. " MRLSC ,MRL sensor changed" "Not changed,Changed" eventfld.word 0x00 1. " PFD ,Power fault detected" "Not detected,Detected" newline eventfld.word 0x00 0. " ABP ,Attention button pressed" "Not pressed,Pressed" line.word 0x02 "ROOT_CTRL,Root Control Register" bitfld.word 0x02 4. " CRSSWVE ,CRS software visibility enable" "Disabled,Enabled" bitfld.word 0x02 3. " PMEIE ,PME interrupt enable" "Disabled,Enabled" newline bitfld.word 0x02 2. " SEFEE ,System error on fatal error enable" "Disabled,Enabled" bitfld.word 0x02 1. " SENFEE ,System error on non fatal error enable" "Disabled,Enabled" newline bitfld.word 0x02 0. " SECEE ,System error on correctable error enable" "Disabled,Enabled" line.word 0x04 "ROOT_CAP,Root Capabilities Register" bitfld.word 0x04 0. " CRSSWV ,CRS software visibility" "Not visible,Visible" group.long 0x90++0x03 line.long 0x00 "ROOT_STS,Root Status Register" bitfld.long 0x00 17. " PMEP ,PME pending" "Not pending,Pending" bitfld.long 0x00 16. " PMES ,PME status" "0,1" newline hexmask.long.word 0x00 0.--15. 1. " PME_REQ_ID ,PME requester ID" endif rgroup.long 0x94++0x03 line.long 0x00 "DEV_CAP_2,Device Capabilities 2 Register" bitfld.long 0x00 5. " ARI_FWD ,ARI forwarding supported" "Not supported,Supported" bitfld.long 0x00 4. " CPL_TO_DS ,Completion timeout disable supported" "Not supported,Supported" newline bitfld.long 0x00 0.--3. " CPL_TO_RS ,Completion timeout ranges supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.word 0x98++0x01 line.word 0x00 "DEV_CTRL_2,Device Control 2 Register" bitfld.word 0x00 9. " IDO_CPL_EN ,IDO completion enable" "Disabled,Enabled" bitfld.word 0x00 8. " IDO_REQ_EN ,IDO request enable" "Disabled,Enabled" newline bitfld.word 0x00 5. " ARIFE ,ARI forwarding enable" "Disabled,Enabled" bitfld.word 0x00 4. " CPL_TOD ,Completion timeout disable" "No,Yes" newline bitfld.word 0x00 0.--3. " CPL_TO_VAL ,Completion timeout value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x9C++0x03 line.long 0x00 "LINK_CAP_2,Link Capabilities 2 Register" bitfld.long 0x00 8. " CROSSLINK_SUPP ,Crosslink supported" "Not supported,Supported" hexmask.long.byte 0x00 1.--7. 1. " SUPP_LINK_SPEED_VEC ,Supported link speeds vector" group.word 0xA0++0x01 line.word 0x00 "LINK_CTRL_2,Link Control 2 Register" bitfld.word 0x00 12.--15. " CDE ,Compliance preset/De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 11. " CSOS ,Compliance SOS" "0,1" newline bitfld.word 0x00 10. " EMC ,Enter modified compliance" "0,1" bitfld.word 0x00 7.--9. " TXM ,Transmit margin" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 6. " SDE ,Selectable de-emphasis" "0,1" bitfld.word 0x00 5. " HWASD ,Hardware autonomous speed disable" "No,Yes" newline bitfld.word 0x00 4. " EC ,Enter compliance" "0,1" bitfld.word 0x00 0.--3. " T_LS ,Target link speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0xA2++0x01 line.word 0x00 "LINK_STS_2,Link Status 2 Register" bitfld.word 0x00 5. " LER ,Link equalization request" "Not requested,Requested" bitfld.word 0x00 4. " EP3S ,Equalization phase 3 successful" "Not successful,Successful" newline bitfld.word 0x00 3. " EP2S ,Equalization phase 2 successful" "Not successful,Successful" bitfld.word 0x00 2. " EP1S ,Equalization phase 1 successful" "Not successful,Successful" newline bitfld.word 0x00 1. " EC ,Equalization complete" "Not completed,Completed" bitfld.word 0x00 0. " DE_LVL ,Current de-emphasis level" "0,1" rgroup.word 0x100++0x01 line.word 0x00 "ADV_ERR_REP_CAP_ID,Advanced Error Reporting Capability ID Register" group.long 0x104++0x17 line.long 0x00 "UNCORR_ERR_STS,Uncorrectable Error Status Register" bitfld.long 0x00 20. " URE ,Unsupported request error status" "Not set,Set" bitfld.long 0x00 19. " ECRCE ,ECRC error status" "Not set,Set" newline bitfld.long 0x00 18. " MTLP ,Malformed TLP status" "Not set,Set" bitfld.long 0x00 17. " RXO ,Receiver overflow status" "Not set,Set" newline bitfld.long 0x00 16. " UC ,Unexpected completion status" "Not set,Set" bitfld.long 0x00 15. " CA ,Completer abort status" "Not set,Set" newline bitfld.long 0x00 14. " CTO ,Completion timeout status" "Not set,Set" bitfld.long 0x00 13. " FCPE ,Flow control protocol error status" "Not set,Set" newline bitfld.long 0x00 12. " PTLP ,Poisoned TLP status" "Not set,Set" bitfld.long 0x00 4. " DLPE ,Data link protocol error status" "Not set,Set" line.long 0x04 "UNCORR_ERR_MSK,Uncorrectable Error Mask Register" bitfld.long 0x04 20. " UREM ,Unsupported request error mask" "Not masked,Masked" bitfld.long 0x04 19. " ECRCEM ,ECRC error mask" "Not masked,Masked" newline bitfld.long 0x04 18. " MTLPM ,Malformed TLP mask" "Not masked,Masked" bitfld.long 0x04 17. " RXOM ,Receiver overflow mask" "Not masked,Masked" newline bitfld.long 0x04 16. " UCM ,Unexpected completion mask" "Not masked,Masked" bitfld.long 0x04 15. " CAM ,Completer abort mask" "Not masked,Masked" newline bitfld.long 0x04 14. " CTOM ,Completion timeout mask" "Not masked,Masked" bitfld.long 0x04 13. " FCPEM ,Flow control protocol error mask" "Not masked,Masked" newline bitfld.long 0x04 12. " PTLPM ,Poisoned TLP mask" "Not masked,Masked" bitfld.long 0x04 4. " DLPEM ,Data link protocol error mask" "Not masked,Masked" line.long 0x08 "UNCORR_ERR_SEV,Uncorrectable Error Severity Register" bitfld.long 0x08 20. " URES ,Unsupported request error severity" "Not set,Set" bitfld.long 0x08 19. " ECRCES ,ECRC error severity" "Not set,Set" newline bitfld.long 0x08 18. " MTLPS ,Malformed TLP severity" "Not set,Set" bitfld.long 0x08 17. " RXOS ,Receiver overflow severity" "Not set,Set" newline bitfld.long 0x08 16. " UCS ,Unexpected completion severity" "Not set,Set" bitfld.long 0x08 15. " CAS ,Completer abort severity" "Not set,Set" newline bitfld.long 0x08 14. " CTOS ,Completion timeout severity" "Not set,Set" bitfld.long 0x08 13. " FCPES ,Flow control protocol error severity" "Not set,Set" newline bitfld.long 0x08 12. " PTLPS ,Poisoned TLP severity" "Not set,Set" bitfld.long 0x08 4. " DLPES ,Data link protocol error severity" "Not set,Set" line.long 0x0C "CORR_ERR_STS,Correctable Error Status Register" bitfld.long 0x0C 13. " ADVNFE ,Advisory non fatal error status" "Not set,Set" bitfld.long 0x0C 12. " RTTO ,Replay timer timeout status" "Not set,Set" newline bitfld.long 0x0C 8. " RNR ,REPLAY_NUM rollover status" "Not set,Set" bitfld.long 0x0C 7. " BDLLP ,Bad DLLP status" "Not set,Set" newline bitfld.long 0x0C 6. " BTLP ,Bad TLP status" "Not set,Set" bitfld.long 0x0C 0. " RXE ,Receiver error status" "Not set,Set" line.long 0x10 "CORR_ERR_MSK,Correctable Error Mask Register" bitfld.long 0x10 13. " ADVNFEM ,Advisory non fatal error mask" "Not masked,Masked" bitfld.long 0x10 12. " RTTOM ,Replay timer timeout mask" "Not masked,Masked" newline bitfld.long 0x10 8. " RNRM ,REPLAY_NUM rollover mask" "Not masked,Masked" bitfld.long 0x10 7. " BDLLPM ,Bad DLLP mask" "Not masked,Masked" newline bitfld.long 0x10 6. " BTLPM ,Bad TLP mask" "Not masked,Masked" bitfld.long 0x10 0. " RXEM ,Receiver error mask" "Not masked,Masked" line.long 0x14 "ADV_ERR_CAP_CTL,Advanced Error Capabilities And Control Register" bitfld.long 0x14 8. " ECRCCE ,ECRC checking enable" "Disabled,Enabled" rbitfld.long 0x14 7. " ECRCCC ,ECRC checking capable" "Not capable,Capable" newline bitfld.long 0x14 6. " ECRCGE ,ECRC generation enable" "Disabled,Enabled" rbitfld.long 0x14 5. " ECRCGC ,ECRC generation capable" "Not capable,Capable" newline rbitfld.long 0x14 0.--4. " FIRST_ERR_PTR ,First error pointer" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F,0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,0x18,0x19,0x1A,0x1B,0x1C,0x1D,0x1E,0x1F" rgroup.long 0x11C++0x0F line.long 0x00 "HDR_LOG1,Header Log Register 1" hexmask.long.byte 0x00 24.--31. 1. " BYTE_0 ,Byte 0" hexmask.long.byte 0x00 16.--23. 1. " BYTE_1 ,Byte 1" newline hexmask.long.byte 0x00 8.--15. 1. " BYTE_2 ,Byte 2" hexmask.long.byte 0x00 0.--7. 1. " BYTE_3 ,Byte 3" line.long 0x04 "HDR_LOG2,Header Log Register 2" hexmask.long.byte 0x04 24.--31. 1. " BYTE_4 ,Byte 4" hexmask.long.byte 0x04 16.--23. 1. " BYTE_5 ,Byte 5" newline hexmask.long.byte 0x04 8.--15. 1. " BYTE_6 ,Byte 6" hexmask.long.byte 0x04 0.--7. 1. " BYTE_7 ,Byte 7" line.long 0x08 "HDR_LOG3,Header Log Register 3" hexmask.long.byte 0x08 24.--31. 1. " BYTE_8 ,Byte 8" hexmask.long.byte 0x08 16.--23. 1. " BYTE_9 ,Byte 9" newline hexmask.long.byte 0x08 8.--15. 1. " BYTE_A ,Byte A" hexmask.long.byte 0x08 0.--7. 1. " BYTE_B ,Byte B" line.long 0x0C "HDR_LOG4,Header Log Register 4" hexmask.long.byte 0x0C 24.--31. 1. " BYTE_C ,Byte C" hexmask.long.byte 0x0C 16.--23. 1. " BYTE_D ,Byte D" newline hexmask.long.byte 0x0C 8.--15. 1. " BYTE_E ,Byte E" hexmask.long.byte 0x0C 0.--7. 1. " BYTE_F ,Byte F" if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x01)) group.long 0x12C++0x07 line.long 0x00 "ROOT_ERR_CMD,Root Error Command Register" bitfld.long 0x00 2. " FERE ,Fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 1. " NFERE ,Non fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " CERE ,Correctable error reporting enable" "Disabled,Enabled" line.long 0x04 "ROOT_ERR_STS,Root Error Status Register" rbitfld.long 0x04 27.--31. " AEIMN ,Advanced error interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" eventfld.long 0x04 6. " FEMR ,Fatal error messages received" "Not received,Received" newline eventfld.long 0x04 5. " NFEMR ,First uncorrectable fatal" "Not received,Received" eventfld.long 0x04 4. " FUF ,First uncorrectable fatal" "Not received,Received" newline eventfld.long 0x04 3. " MEFNFR ,Multiple ERR_FATAL/NONFATAL received" "Not received,Received" eventfld.long 0x04 2. " EFNFR ,ERR_FATAL/NONFATAL received" "Not received,Received" newline eventfld.long 0x04 1. " MECR ,Multiple ERR_COR received" "Not received,Received" eventfld.long 0x04 0. " ECR ,ERR_COR received" "Not received,Received" endif rgroup.word 0x134++0x03 line.word 0x00 "CORR_ERR_SRC_ID,Correctable Error Source ID Register" line.word 0x02 "ERR_SRC_ID,Error Source ID Register" rgroup.long 0x148++0x03 line.long 0x00 "SPCIE_CAP_HDR,Secondary Extended Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" group.long 0x14C++0x07 line.long 0x00 "LINK_CTRL3,Link Control 3 Register" bitfld.long 0x00 1. " EQ_REQ_INT_EN ,Link equalization request interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " PERFORM_EQ ,Perform equalization" "Not performed,Performed" line.long 0x04 "LANE_ERR_STS,Lane Error Status Register" eventfld.long 0x04 3. " LANE_ERR_STS[3] ,Lane error status bit 3" "Not detected,Detected" eventfld.long 0x04 2. " [2] ,Lane error status bit 2" "Not detected,Detected" newline eventfld.long 0x04 1. " [1] ,Lane error status bit 1" "Not detected,Detected" eventfld.long 0x04 0. " [0] ,Lane error status bit 0" "Not detected,Detected" rgroup.word 0x154++0x01 line.word 0x00 "G4LECR0,GEN4 Lane Equalization Control Register 0" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x156++0x01 line.word 0x00 "G4LECR1,GEN4 Lane Equalization Control Register 1" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x158++0x01 line.word 0x00 "G4LECR2,GEN4 Lane Equalization Control Register 2" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x15A++0x01 line.word 0x00 "G4LECR3,GEN4 Lane Equalization Control Register 3" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x71C++0x03 line.long 0x00 "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register And Filter Mask 1 Register" hexmask.long.word 0x00 16.--31. 1. " MASK_RADM_1 ,Filter mask 1" bitfld.long 0x00 15. " DISABLE_FC_WD_TIMER ,Disable FC watchdog timer" "No,Yes" newline hexmask.long.word 0x00 0.--10. 1. " SKP_INT_VAL ,SKP interval value" group.long 0x890++0x03 line.long 0x00 "GEN3_RELATED_OFF,Gen 3 Control Register" bitfld.long 0x00 16. " GEN3_EQUALIZATION_DISABLE ,Equalization disable" "No,Yes" bitfld.long 0x00 12. " RXEQ_PH01_EN ,Rx equalization phase 0/1 hold enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " EQ_EIEOS_CNT ,Equalization EIEOS count reset disable" "No,Yes" group.long 0x8BC++0x03 line.long 0x00 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register" bitfld.long 0x00 0. " RO_WR_EN ,Read-only write enable" "Disabled,Enabled" group.long 0x8E0++0x07 line.long 0x00 "COHERENCY_CTRL_1_OFF,Coherency Control Register 1" hexmask.long 0x00 2.--31. 0x04 " CFG_MEMTYPE_BOUNDARY_LOW_ADDR ,Boundary lower address for memory type" bitfld.long 0x00 0. " CFG_MEMTYPE_VALUE ,Memory type" "CCSR/Memory,?..." line.long 0x04 "COHERENCY_CTRL_2_OFF,Coherency Control Register 2" group.long 0x900++0x03 line.long 0x00 "IATU_VIEWPORT_OFF,iATU Index Register" bitfld.long 0x00 31. " REGION_DIR ,Region direction" "Outbound,Inbound" hexmask.long.byte 0x00 0.--7. 1. " REGION_INDEX ,Region index" if ((per.w(ad:0x03700000+0x900)&0x80000000)==(0x00)) group.long 0x904++0x1B line.long 0x00 "IATU_REGION_CTRL_1_OFF_OUTBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,AT" "0,1,2,3" bitfld.long 0x00 9.--10. " ATTR ,Attribute" "0,1,2,3" newline bitfld.long 0x00 8. " TD ,TLP digest" "0,1" bitfld.long 0x00 5.--7. " TC ,Traffic class" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "IATU_REGION_CTRL_2_OFF_OUTBOUND_0,iATU Region Control 2 Register" bitfld.long 0x04 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x04 28. " CFG_SHIFT_MODE ,CFG shift mode" "Off,On" newline hexmask.long.byte 0x04 0.--7. 1. " MSG_CODE ,Message code" line.long 0x08 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x08 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x08 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x0C "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_0,iATU Upper Base Address Register" line.long 0x10 "IATU_LIMIT_ADDR_OFF_OUTBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x14 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_0,iATU Outbound Region#N Lower Offset Address Register" hexmask.long.tbyte 0x14 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x14 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x18 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_0,iATU Upper Target Address Register" else if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 20.--24. " CTRL_1_FUNC_NUM ,Function number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" elif ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x01)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" endif group.long 0x908++0x17 line.long 0x00 "IATU_REGION_CTRL_2_OFF_INBOUND_0,iATU Region Control 2 Register" bitfld.long 0x00 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x00 30. " MATCH_MODE ,Match mode" "Address/Routing ID,BAR/Accept/Vendor" newline bitfld.long 0x00 19. " FUNC_NUM_MATCH_EN ,Function number match enable" "Disabled,Enabled" bitfld.long 0x00 18. " AT_MATCH_EN ,AT match enable" "Disabled,Enabled" newline bitfld.long 0x00 8.--10. " BAR_NUM ,BAR number" "0,1,2,3,4,5,ROM,?..." line.long 0x04 "IATU_LWR_BASE_ADDR_OFF_INBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x04 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x04 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x08 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_0,iATU Upper Base Address Register" line.long 0x0C "IATU_LIMIT_ADDR_OFF_INBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x0C 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x0C 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x10 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_0,iATU Region#N Lower Offset Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x14 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_0,iATU Upper Target Address Register" endif wgroup.long 0x1010++0x03 line.long 0x00 "BAR0_MASK,Base Address Register 0" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" wgroup.long 0x1014++0x03 line.long 0x00 "BAR1_MASK,Base Address Register 1" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1018++0x03 line.long 0x00 "BAR2_MASK,Base Address Register 2" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) wgroup.long 0x101C++0x03 line.long 0x00 "BAR3_MASK,Base Address Register 3" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1020++0x03 line.long 0x00 "BAR4_MASK,Base Address Register 4" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1024++0x03 line.long 0x00 "BAR5_MASK,Base Address Register 5" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1030++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" elif ((per.w(ad:0x03700000+0x0D)&0x7F)==(0x01)) wgroup.long 0x1038++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif width 0x0B base ad:0x03780000 width 23. group.long 0x20++0x07 "PEX LUT Registers" line.long 0x00 "PEXLSR,PEX LUT Status Register" eventfld.long 0x00 31. " LUTM ,Lookup table miss" "Not missed,Missed" eventfld.long 0x00 30. " MLUTM ,Multiple lookup table miss" "Not missed,Missed" hexmask.long.word 0x00 0.--15. 1. " CREQID ,Captured REQID" line.long 0x04 "PEXLCR,PEX LUT Control Register" bitfld.long 0x04 31. " DPL ,Default privilege level" "0,1" bitfld.long 0x04 30. " DBMT ,Default bypass memory translation" "0,1" hexmask.long.word 0x04 0.--14. 1. " DICID ,Default isolation context ID" group.long 0x800++0x07 line.long 0x00 "PEXL0UDR,PEX LUT Entry 0 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 0 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 0 of the PEX lookup table" line.long 0x04 "PEXL0LDR,PEX LUT Entry 0 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 0 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 0 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 0 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 0 within the PEX lookup table" group.long 0x808++0x07 line.long 0x00 "PEXL1UDR,PEX LUT Entry 1 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 1 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 1 of the PEX lookup table" line.long 0x04 "PEXL1LDR,PEX LUT Entry 1 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 1 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 1 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 1 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 1 within the PEX lookup table" group.long 0x810++0x07 line.long 0x00 "PEXL2UDR,PEX LUT Entry 2 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 2 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 2 of the PEX lookup table" line.long 0x04 "PEXL2LDR,PEX LUT Entry 2 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 2 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 2 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 2 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 2 within the PEX lookup table" group.long 0x818++0x07 line.long 0x00 "PEXL3UDR,PEX LUT Entry 3 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 3 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 3 of the PEX lookup table" line.long 0x04 "PEXL3LDR,PEX LUT Entry 3 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 3 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 3 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 3 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 3 within the PEX lookup table" group.long 0x820++0x07 line.long 0x00 "PEXL4UDR,PEX LUT Entry 4 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 4 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 4 of the PEX lookup table" line.long 0x04 "PEXL4LDR,PEX LUT Entry 4 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 4 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 4 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 4 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 4 within the PEX lookup table" group.long 0x828++0x07 line.long 0x00 "PEXL5UDR,PEX LUT Entry 5 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 5 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 5 of the PEX lookup table" line.long 0x04 "PEXL5LDR,PEX LUT Entry 5 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 5 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 5 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 5 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 5 within the PEX lookup table" group.long 0x830++0x07 line.long 0x00 "PEXL6UDR,PEX LUT Entry 6 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 6 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 6 of the PEX lookup table" line.long 0x04 "PEXL6LDR,PEX LUT Entry 6 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 6 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 6 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 6 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 6 within the PEX lookup table" group.long 0x838++0x07 line.long 0x00 "PEXL7UDR,PEX LUT Entry 7 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 7 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 7 of the PEX lookup table" line.long 0x04 "PEXL7LDR,PEX LUT Entry 7 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 7 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 7 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 7 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 7 within the PEX lookup table" group.long 0x840++0x07 line.long 0x00 "PEXL8UDR,PEX LUT Entry 8 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 8 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 8 of the PEX lookup table" line.long 0x04 "PEXL8LDR,PEX LUT Entry 8 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 8 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 8 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 8 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 8 within the PEX lookup table" group.long 0x848++0x07 line.long 0x00 "PEXL9UDR,PEX LUT Entry 9 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 9 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 9 of the PEX lookup table" line.long 0x04 "PEXL9LDR,PEX LUT Entry 9 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 9 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 9 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 9 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 9 within the PEX lookup table" group.long 0x850++0x07 line.long 0x00 "PEXL10UDR,PEX LUT Entry 10 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 10 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 10 of the PEX lookup table" line.long 0x04 "PEXL10LDR,PEX LUT Entry 10 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 10 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 10 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 10 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 10 within the PEX lookup table" group.long 0x858++0x07 line.long 0x00 "PEXL11UDR,PEX LUT Entry 11 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 11 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 11 of the PEX lookup table" line.long 0x04 "PEXL11LDR,PEX LUT Entry 11 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 11 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 11 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 11 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 11 within the PEX lookup table" group.long 0x860++0x07 line.long 0x00 "PEXL12UDR,PEX LUT Entry 12 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 12 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 12 of the PEX lookup table" line.long 0x04 "PEXL12LDR,PEX LUT Entry 12 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 12 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 12 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 12 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 12 within the PEX lookup table" group.long 0x868++0x07 line.long 0x00 "PEXL13UDR,PEX LUT Entry 13 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 13 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 13 of the PEX lookup table" line.long 0x04 "PEXL13LDR,PEX LUT Entry 13 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 13 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 13 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 13 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 13 within the PEX lookup table" group.long 0x870++0x07 line.long 0x00 "PEXL14UDR,PEX LUT Entry 14 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 14 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 14 of the PEX lookup table" line.long 0x04 "PEXL14LDR,PEX LUT Entry 14 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 14 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 14 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 14 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 14 within the PEX lookup table" group.long 0x878++0x07 line.long 0x00 "PEXL15UDR,PEX LUT Entry 15 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 15 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 15 of the PEX lookup table" line.long 0x04 "PEXL15LDR,PEX LUT Entry 15 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 15 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 15 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 15 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 15 within the PEX lookup table" group.long 0x880++0x07 line.long 0x00 "PEXL16UDR,PEX LUT Entry 16 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 16 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 16 of the PEX lookup table" line.long 0x04 "PEXL16LDR,PEX LUT Entry 16 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 16 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 16 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 16 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 16 within the PEX lookup table" group.long 0x888++0x07 line.long 0x00 "PEXL17UDR,PEX LUT Entry 17 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 17 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 17 of the PEX lookup table" line.long 0x04 "PEXL17LDR,PEX LUT Entry 17 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 17 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 17 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 17 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 17 within the PEX lookup table" group.long 0x890++0x07 line.long 0x00 "PEXL18UDR,PEX LUT Entry 18 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 18 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 18 of the PEX lookup table" line.long 0x04 "PEXL18LDR,PEX LUT Entry 18 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 18 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 18 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 18 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 18 within the PEX lookup table" group.long 0x898++0x07 line.long 0x00 "PEXL19UDR,PEX LUT Entry 19 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 19 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 19 of the PEX lookup table" line.long 0x04 "PEXL19LDR,PEX LUT Entry 19 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 19 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 19 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 19 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 19 within the PEX lookup table" group.long 0x8A0++0x07 line.long 0x00 "PEXL20UDR,PEX LUT Entry 20 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 20 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 20 of the PEX lookup table" line.long 0x04 "PEXL20LDR,PEX LUT Entry 20 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 20 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 20 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 20 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 20 within the PEX lookup table" group.long 0x8A8++0x07 line.long 0x00 "PEXL21UDR,PEX LUT Entry 21 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 21 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 21 of the PEX lookup table" line.long 0x04 "PEXL21LDR,PEX LUT Entry 21 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 21 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 21 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 21 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 21 within the PEX lookup table" group.long 0x8B0++0x07 line.long 0x00 "PEXL22UDR,PEX LUT Entry 22 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 22 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 22 of the PEX lookup table" line.long 0x04 "PEXL22LDR,PEX LUT Entry 22 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 22 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 22 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 22 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 22 within the PEX lookup table" group.long 0x8B8++0x07 line.long 0x00 "PEXL23UDR,PEX LUT Entry 23 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 23 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 23 of the PEX lookup table" line.long 0x04 "PEXL23LDR,PEX LUT Entry 23 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 23 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 23 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 23 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 23 within the PEX lookup table" group.long 0x8C0++0x07 line.long 0x00 "PEXL24UDR,PEX LUT Entry 24 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 24 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 24 of the PEX lookup table" line.long 0x04 "PEXL24LDR,PEX LUT Entry 24 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 24 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 24 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 24 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 24 within the PEX lookup table" group.long 0x8C8++0x07 line.long 0x00 "PEXL25UDR,PEX LUT Entry 25 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 25 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 25 of the PEX lookup table" line.long 0x04 "PEXL25LDR,PEX LUT Entry 25 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 25 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 25 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 25 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 25 within the PEX lookup table" group.long 0x8D0++0x07 line.long 0x00 "PEXL26UDR,PEX LUT Entry 26 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 26 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 26 of the PEX lookup table" line.long 0x04 "PEXL26LDR,PEX LUT Entry 26 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 26 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 26 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 26 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 26 within the PEX lookup table" group.long 0x8D8++0x07 line.long 0x00 "PEXL27UDR,PEX LUT Entry 27 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 27 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 27 of the PEX lookup table" line.long 0x04 "PEXL27LDR,PEX LUT Entry 27 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 27 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 27 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 27 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 27 within the PEX lookup table" group.long 0x8E0++0x07 line.long 0x00 "PEXL28UDR,PEX LUT Entry 28 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 28 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 28 of the PEX lookup table" line.long 0x04 "PEXL28LDR,PEX LUT Entry 28 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 28 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 28 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 28 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 28 within the PEX lookup table" group.long 0x8E8++0x07 line.long 0x00 "PEXL29UDR,PEX LUT Entry 29 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 29 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 29 of the PEX lookup table" line.long 0x04 "PEXL29LDR,PEX LUT Entry 29 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 29 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 29 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 29 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 29 within the PEX lookup table" group.long 0x8F0++0x07 line.long 0x00 "PEXL30UDR,PEX LUT Entry 30 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 30 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 30 of the PEX lookup table" line.long 0x04 "PEXL30LDR,PEX LUT Entry 30 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 30 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 30 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 30 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 30 within the PEX lookup table" group.long 0x8F8++0x07 line.long 0x00 "PEXL31UDR,PEX LUT Entry 31 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 31 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 31 of the PEX lookup table" line.long 0x04 "PEXL31LDR,PEX LUT Entry 31 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 31 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 31 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 31 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 31 within the PEX lookup table" group.long 0x40014++0x03 line.long 0x00 "PEX_PF0_CONFIG,PEX PF0 Config" bitfld.long 0x00 9. " SDT ,Sense device type" "EP mode,RC mode" bitfld.long 0x00 0. " CFG_READY ,Config ready" "Not ready,Ready" rgroup.long (0x40014+0x04)++0x03 line.long 0x00 "PEX_PF0_INT_STAT,PEX PF0 Interrupt Status" bitfld.long 0x00 15. " INTM ,Per PF dependent message interrupt is pending" "No pending,Pending" bitfld.long 0x00 14. " INTE ,Per PF dependent error interrupt is pending" "No pending,Pending" group.long (0x40014+0x08)++0x13 line.long 0x00 "PEX_PF0_INT_CTRL,PEX PF0 Interrupt Control" bitfld.long 0x00 31. " PPMEIC ,PAB PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 30. " LPMEIC ,LUT PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 29. " PERRIC ,PAB error interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 28. " PAERIC ,PCIe AER interrupt control" "Routed to SPI,Routed to INTA" line.long 0x04 "PEX_PF0_PME_MES_DR,PEX PF0 PCIE PME And Message Detect Register" eventfld.long 0x04 15. " PTO ,PME turn off detected" "Not detected,Detected" eventfld.long 0x04 13. " ENL23 ,PCIe core entered L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 12. " EXL23 ,PCIe core exited L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 10. " HRD ,Hot reset was detected" "Not detected,Detected" newline eventfld.long 0x04 9. " LDD ,Link down detected" "Not detected,Detected" eventfld.long 0x04 7. " LUD ,Link up detected" "Not detected,Detected" line.long 0x08 "PEX_PF0_PME_MES_DISR,PEX PF0 PCIE PME And Message Disable Register" bitfld.long 0x08 15. " PTOD ,PME turn off detect disable" "No,Yes" bitfld.long 0x08 13. " ENL23D ,Entered L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 12. " EXL23D ,Exited L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 10. " HRDD ,Hot reset detect disable" "No,Yes" newline bitfld.long 0x08 9. " LDDD ,Link down detect disable" "No,Yes" bitfld.long 0x08 7. " LUDD ,Link up detect disable" "No,Yes" line.long 0x0C "PEX_PF0_PME_MES_IER,PEX PF0 PCIE PME And Message Interrupt Enable Register" bitfld.long 0x0C 15. " PTOIE ,PME turn off detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 13. " ENL23IE ,Entered L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 12. " EXL23IE ,Exited L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 10. " HRDIE ,Hot reset detect interrupt enable" "Disabled,Enabled" newline bitfld.long 0x0C 9. " LDDIE ,Link down detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 7. " LUDIE ,Link up detect interrupt enable" "Disabled,Enabled" line.long 0x10 "PEX_PF0_MCR,PEX PF0 PCIE Message Command Register" bitfld.long 0x10 4. " INTX ,INTx command assert" "Not asserted,Asserted" bitfld.long 0x10 2. " SPMES ,PM_PME command send" "Not sent,Sent" bitfld.long 0x10 1. " EXL2S ,Exit L2 state command" "Not generated,Generated" bitfld.long 0x10 0. " PTOMR ,PME turn off message generate" "Not generated,Generated" group.long (0x40014+0x12C)++0x03 line.long 0x00 "PEX_PF0_RBP_ADDR_U,PEX PF0 Route By Port Address Upper Register" hexmask.long.word 0x00 16.--31. 0x01 " ADDR_U ,Upper 16 bit of the PCIE slave device used for the outbound transactions" group.long (0x40014+0x1EC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " ME ,Multiple errors of same type" "Not set,Set" eventfld.long 0x00 23. " PCT ,Completion timeout" "Not detected,Detected" eventfld.long 0x00 21. " PCAC ,Completer abort detected" "Not detected,Detected" eventfld.long 0x00 19. " CDNSC ,Completion with data not successful detected" "Not detected,Detected" newline eventfld.long 0x00 12. " UREP ,Unsupported request completion detected" "Not detected,Detected" group.long (0x40014+0x1F4)++0x03 line.long 0x00 "PEX_PF0_ERR_EN,PEX PF0 Error Interrupt Enable Register" bitfld.long 0x00 23. " PCTIE ,Completion timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x00 21. " PCACIE ,Completer abort interrupt enable" "Disabled,Enabled" bitfld.long 0x00 19. " CDNSCIE ,Completion with data not successful interrupt enable" "Disabled,Enabled" bitfld.long 0x00 12. " UREPIE ,Unsupported request completion interrupt enable" "Disabled,Enabled" group.long (0x40014+0x1FC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " MED ,Multiple errors of same type detection disable" "No,Yes" bitfld.long 0x00 23. " PCTD ,Completion timeout detection disable" "No,Yes" bitfld.long 0x00 21. " PCACD ,Completer abort detection disable" "No,Yes" bitfld.long 0x00 19. " CDNSCD ,Completion with data not successful detection disable" "No,Yes" newline bitfld.long 0x00 12. " UREPD ,Unsupported request completion detection disable" "No,Yes" if (((per.l(ad:0x03780000+0x40014+0x7E8))&0x80000000)==0x80000000) group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" bitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" else group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" rbitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" endif width 0x0B else textline "-----------------------------------" textline "PCIe 4 disabled by DC.DEVDISR3.PEX4" textline "-----------------------------------" endif tree.end sif !cpuis("LX2??2A") tree "PCIe 5" if ((per.l(ad:0x01E00000+0x78)&0x10)==(0x00)) base ad:0x03800000 width 39. rgroup.word 0x00++0x03 "Config Registers" line.word 0x00 "VENDOR_ID,Vendor ID Register" line.word 0x02 "DEVICE_ID,Device ID Register" group.word 0x04++0x03 line.word 0x00 "COMMAND,Command Register" bitfld.word 0x00 10. " INTERRUPT_DIS ,Interrupt disable" "No,Yes" bitfld.word 0x00 8. " SERR ,Non fatal/Fatal error reporting enable" "Disabled,Enabled" newline bitfld.word 0x00 6. " PARITY_ERROR_RESP ,PCI parity error response" "Ignored,Reported" bitfld.word 0x00 2. " BUS_MASTER ,Bus master enable" "Disabled,Enabled" newline bitfld.word 0x00 1. " MEMORY_SPACE ,Memory space enable" "Disabled,Enabled" bitfld.word 0x00 0. " IO_SPACE ,I/O space enable" "Disabled,Enabled" line.word 0x02 "STATUS,Status Register" eventfld.word 0x02 15. " PAR_ERR ,Parity error" "No error,Error" eventfld.word 0x02 14. " SYS_ERR ,System error" "No error,Error" newline eventfld.word 0x02 13. " RCVD_MAS_ABORT ,Received unsupported request completion status" "Not received,Received" eventfld.word 0x02 12. " RCVD_TAR_ABORT ,Received completer abort completion status" "Not received,Received" newline eventfld.word 0x02 11. " TAR_ABORT ,Completed request with completer abort completion status" "No,Yes" eventfld.word 0x02 8. " MAS_DPR ,Master data parity error" "No error,Error" newline eventfld.word 0x02 4. " EXT_CAP_LIST ,Extended capability list item present" "Not present,Present" eventfld.word 0x02 3. " INTR_STS ,Interrupt status" "No interrupt,Interrupt" rgroup.byte 0x08++0x03 line.byte 0x00 "REVISION_ID,Revision ID Register" line.byte 0x01 "CLASS_CODE_A,Class Code Register A" line.byte 0x02 "CLASS_CODE_B,Class Code Register B" line.byte 0x03 "CLASS_CODE_C,Class Code Register C" group.byte 0x0C++0x00 line.byte 0x00 "CACHE_LINE_SIZE,Cache Line Size Register" rgroup.byte 0x0D++0x01 line.byte 0x00 "LATENCY_TIMER,Latency Timer Register" line.byte 0x01 "HEADER_TYPE,Header Type Register" bitfld.byte 0x01 7. " MULTI_F ,Multifunction" "Single,Multiple" hexmask.byte 0x01 0.--6. 1. " HEADER_L ,Header layout" group.long 0x10++0x03 line.long 0x00 "BAR0,Base Address Register 0" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) group.long 0x14++0x03 line.long 0x00 "BAR1,Base Address Register 1" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x18++0x03 line.long 0x00 "BAR2,Base Address Register 2" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,64-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x1C++0x0B line.long 0x00 "BAR3,Base Address Register 3" line.long 0x04 "BAR4,Base Address Register 4" hexmask.long.tbyte 0x04 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x04 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x04 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x04 0. " MEMSP ,Memory space indicator" "Memory,IO" line.long 0x08 "BAR5,Base Address Register 5" rgroup.word 0x2C++0x03 line.word 0x00 "SUB_SYS_VEN_ID,Subsystem Vendor ID Register" line.word 0x02 "SUB_SYS_ID,Subsystem ID Register" elif ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x01)) group.byte 0x18++0x02 line.byte 0x00 "PRIM_BUS_NUM,Primary Bus Number Register" line.byte 0x01 "SEC_BUS_NUM,Secondary Bus Number Register" line.byte 0x02 "SUBOR_BUS_NUM,Subordinate Bus Number Register" group.byte 0x1C++0x01 line.byte 0x00 "IO_BASE_ADDR,I/O Base Register" bitfld.byte 0x00 4.--7. " IO_START_ADDR ,I/O start address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x00 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.byte 0x01 "IO_LIMIT,I/O Limit Register" bitfld.byte 0x01 4.--7. " IO_LIMIT_ADDR ,I/O limit address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x01 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.word 0x1E++0x09 line.word 0x00 "IOBLSSRT,I/O Base Limit And Secondary Status Register" eventfld.word 0x00 15. " DPE ,Parity error" "No error,Error" eventfld.word 0x00 14. " SSE ,System error" "No error,Error" newline eventfld.word 0x00 13. " RMA ,Received master abort" "Not received,Received" eventfld.word 0x00 12. " RTA ,Received target abort" "Not received,Received" newline eventfld.word 0x00 11. " STA ,Signaled target abort" "Not set,Set" eventfld.word 0x00 8. " MDPE ,Master data parity error" "No error,Error" line.word 0x02 "MEM_BASE_ADDR,Memory Base Address Register" hexmask.word 0x02 4.--15. 0x10 " MEM_BASE ,Memory base address" line.word 0x04 "MEM_LIMIT,Memory Limit Register" hexmask.word 0x04 4.--15. 0x10 " MEM_LIMIT ,Memory limit address" line.word 0x06 "PMBR,Prefetchable Memory Base Register" hexmask.word 0x06 4.--15. 0x10 " PF_MEM_BASE ,Prefetchable memory base address" rbitfld.word 0x06 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.word 0x08 "PF_MEM_LIMIT,Prefetchable Memory Limit Register" hexmask.word 0x08 4.--15. 0x10 " PF_MEM_LIMIT ,I/O limit address" rbitfld.word 0x08 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.long 0x28++0x0B line.long 0x00 "PBUDWRT1,Prefetchable Base Upper DWord Register" line.long 0x04 "PLUDWRT1,Prefetchable Limit Upper DWord Register" line.long 0x08 "ERBAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x08 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x08 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" rgroup.word 0x30++0x03 line.word 0x00 "PBUDWRT1,I/O Base Upper Word Register" line.word 0x02 "PLUDWRT1,I/O Limit Upper Word Register" endif rgroup.byte 0x34++0x00 line.byte 0x00 "CPR,Capabilities Pointer Register" if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) group.long 0x30++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" elif ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x01)) group.long 0x38++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" endif group.byte 0x3C++0x00 line.byte 0x00 "ILR,Interrupt Line Register" rgroup.byte 0x3D++0x00 line.byte 0x00 "IPR,Interrupt Pin Register" if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x3E++0x01 line.byte 0x00 "MIN_GNT,Minimum Grant Register" line.byte 0x01 "MAX_LAT,Maximum Latency Register" elif ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x01)) group.word 0x3E++0x01 line.word 0x00 "BCR,Bridge Control Register" bitfld.word 0x00 6. " SCND_RST ,Secondary bus reset" "No reset,Reset" bitfld.word 0x00 3. " VGA_EN ,VGA enable" "Disabled,Enabled" newline bitfld.word 0x00 2. " ISA_EN ,ISA enable" "Disabled,Enabled" bitfld.word 0x00 1. " SERR_EN ,SERR enable" "Disabled,Enabled" newline bitfld.word 0x00 0. " PER ,Parity error response" "No error,Error" endif rgroup.byte 0x40++0x00 line.byte 0x00 "PWR_MGMT_CAP_ID,Power Management Capability ID Register" rgroup.word 0x42++0x01 line.word 0x00 "PMCR,PM Capability Register" bitfld.word 0x00 15. " PME_SUPPORT[4] ,Power state 4 PME set support" "Not supported,Supported" bitfld.word 0x00 14. " [3] ,Power state 3 PME set support" "Not supported,Supported" newline bitfld.word 0x00 13. " [2] ,Power state 2 PME set support" "Not supported,Supported" bitfld.word 0x00 12. " [1] ,Power state 1 PME set support" "Not supported,Supported" newline bitfld.word 0x00 11. " [0] ,Power state 0 PME set support" "Not supported,Supported" bitfld.word 0x00 10. " D2_SUPPORT ,D2 power management state support" "Not supported,Supported" newline bitfld.word 0x00 9. " D1_SUPPORT ,D1 power management state support" "Not supported,Supported" bitfld.word 0x00 6.--8. " AUX_CURRENT ,Auxiliary current support" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 5. " DSI ,Device specific initialization sequence required" "Not required,Required" bitfld.word 0x00 3. " PME_CLOCK ,PCI clock required for PME operation" "Not required,Required" newline bitfld.word 0x00 0.--2. " PCIPM_VERSION ,PCI power management interface specification version" "0,1,2,3,4,5,6,7" group.word 0x44++0x01 line.word 0x00 "PMCSR,PM Control And Status Register" eventfld.word 0x00 15. " PME_STAT ,PME status" "0,1" rbitfld.word 0x00 13.--14. " DATA_SCALE ,Data scale factor" "0,1,2,3" newline bitfld.word 0x00 9.--12. " DATA_SELECT ,Data select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 8. " PME_EN ,PME enable" "Disabled,Enabled" newline bitfld.word 0x00 0.--1. " POWER_STATE ,Power state" "D0,D1,D2,D3" rgroup.byte 0x47++0x00 line.byte 0x00 "PMDR,PM Data Register" if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x50++0x00 line.byte 0x00 "MSI_MC_ID,MSI Message Capability ID Register" group.word 0x52++0x01 line.word 0x00 "MSI_MC,MSI Message Control Register" rbitfld.word 0x00 7. " AC_64 ,64-bit address capable" "Not capable,Capable" bitfld.word 0x00 4.--6. " MME ,Multiple message enable" "0,1,2,3,4,5,6,7" newline rbitfld.word 0x00 1.--3. " MMC ,Multiple message capable" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0. " MSIE ,MSI enable" "Disabled,Enabled" group.long 0x54++0x07 line.long 0x00 "MSI_MAR,MSI Message Address Register" hexmask.long 0x00 2.--31. 0x04 " MSG_ADDR ,Message address" line.long 0x04 "MSI_MSG_UP_ADDR,MSI Message Upper Address Register" group.word 0x5C++0x01 line.word 0x00 "MSI_MSG_DAT,MSI Message Data Register" endif rgroup.byte 0x70++0x00 line.byte 0x00 "CAP_ID,Capability ID Register" if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." newline bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" elif ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x01)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 8. " SLOT ,Slot implemented" "Not implemented,Implemented" newline bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif rgroup.long 0x74++0x03 line.long 0x00 "DEVICE_CAP,Device Capabilities Register" bitfld.long 0x00 28. " FLRC ,Function level reset capability" "Not capable,Capable" bitfld.long 0x00 26.--27. " CSPLS ,Captured slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 18.--25. 1. " CSPLV ,Captured slot power limit value" bitfld.long 0x00 15. " RBER ,Role based error reporting" "Not reported,Reported" newline bitfld.long 0x00 9.--11. " EP_L1_LAT ,Endpoint L1 acceptable latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--8. " EP_L0S_LAT ,Endpoint L0s acceptable latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. " ET ,Extended tag field supported" "Not supported,Supported" bitfld.long 0x00 3.--4. " PHAN_FCT ,Phantom functions supported" "0,1,2,3" newline bitfld.long 0x00 0.--2. " MAX_PL_SIZE_SUP ,Max payload size supported" "0,1,2,3,4,5,6,7" group.long 0x78++0x03 line.long 0x00 "DEVICE_CTRL,Device Control Register" bitfld.long 0x00 15. " IFLR ,Initiate function level reset" "No reset,Reset" bitfld.long 0x00 12.--14. " MAX_READ_SIZE ,Maximum read request size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." newline bitfld.long 0x00 11. " ENS ,No snoop enable" "Disabled,Enabled" bitfld.long 0x00 10. " APE ,AUX power PM enable" "Disabled,Enabled" newline bitfld.long 0x00 9. " PFE ,Phantom functions enable" "Disabled,Enabled" bitfld.long 0x00 8. " ETE ,Extended tag field enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--7. " MAX_PL_SZ ,Maximum payload size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." bitfld.long 0x00 4. " RO_EN ,Relaxed ordering enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " URR ,Unsupported request reporting enable" "Disabled,Enabled" bitfld.long 0x00 2. " FER ,Fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " NFERR ,Non fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 0. " CER ,Correctable error reporting enable" "Disabled,Enabled" group.word 0x7A++0x01 line.word 0x00 "DEV_STS,Device Status Register" rbitfld.word 0x00 5. " TP ,Transactions pending" "Not pending,Pending" rbitfld.word 0x00 4. " APD ,AUX power detected" "Not detected,Detected" newline eventfld.word 0x00 3. " URD ,Unsupported request detected" "Not detected,Detected" eventfld.word 0x00 2. " FED ,Fatal error detected" "Not detected,Detected" newline eventfld.word 0x00 1. " NFED ,Non fatal error detected" "Not detected,Detected" eventfld.word 0x00 0. " CED ,Correctable error detected" "Not detected,Detected" rgroup.long 0x7C++0x03 line.long 0x00 "LINK_CAP,Link Capabilities Register" hexmask.long.byte 0x00 24.--31. 1. " PORT_NR ,Port number" bitfld.long 0x00 22. " AOC ,ASPM optionality compliance" "0,1" newline bitfld.long 0x00 21. " LBWN ,Link bandwidth notification capability" "Not capable,Capable" bitfld.long 0x00 20. " DLLARC ,Data link layer active reporting capable" "Not capable,Capable" newline bitfld.long 0x00 19. " SD_ERR_RPT_CAP ,Surprise down error reporting capable" "Not capable,Capable" bitfld.long 0x00 18. " CPM ,Clock power management" "0,1" newline bitfld.long 0x00 15.--17. " L1_EX_LAT ,L1 exit latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--14. " L0S_EX_LAT ,L0s exit latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--11. " ASPM ,Active state power management (ASPM) support" "0,1,2,3" bitfld.long 0x00 4.--9. " MAX_LINK_W ,Maximum link width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--3. " MAX_LINK_SP ,Maximum link speed" "0,2.5GT/s,5.0GT/s,8.0GT/s,?..." if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) group.word 0x80++0x03 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" newline bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" line.word 0x02 "LINK_STS,Link Status Register" eventfld.word 0x02 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" eventfld.word 0x02 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline rbitfld.word 0x02 12. " SCC ,Slot clock configuration" "0,1" rbitfld.word 0x02 11. " LT ,Link training" "0,1" newline rbitfld.word 0x02 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." rbitfld.word 0x02 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." elif ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x01)) group.word 0x80++0x01 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 5. " RL ,Retrain link initiate" "Not initiated,Initiated" newline bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" newline bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" rgroup.word 0x82++0x01 line.word 0x00 "LINK_STS,Link Status Register" bitfld.word 0x00 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" bitfld.word 0x00 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline bitfld.word 0x00 12. " SCC ,Slot clock configuration" "0,1" bitfld.word 0x00 11. " LT ,Link training" "0,1" newline bitfld.word 0x00 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." bitfld.word 0x00 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." rgroup.long 0x84++0x03 line.long 0x00 "SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x00 19.--31. 1. " PHY_SLOT_NR ,Physical slot number" bitfld.long 0x00 18. " NOCMDCPLSUP ,No command completed support" "Not supported,Supported" newline bitfld.long 0x00 17. " EMIP ,Electromechanical interlock present" "Not present,Present" bitfld.long 0x00 15.--16. " SPLS ,Slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 7.--14. 1. " SPLV ,Slot power limit value" bitfld.long 0x00 6. " HPD ,Hot-plug capable" "Not capable,Capable" newline bitfld.long 0x00 5. " HPS ,Hot-plug surprise" "0,1" bitfld.long 0x00 4. " PIP ,Power indicator present" "Not present,Present" newline bitfld.long 0x00 3. " AIP ,Attention indicator present" "Not present,Present" bitfld.long 0x00 2. " MRLSP ,MRL sensor present" "Not present,Present" newline bitfld.long 0x00 1. " PCP ,Power controller present" "Not present,Present" bitfld.long 0x00 0. " ABP ,Attention button present" "Not present,Present" group.long 0x88++0x03 line.long 0x00 "SLOT_CTRL,Slot Control Register" bitfld.long 0x00 12. " DLLSTCHGEN ,Data link layer state changed enable" "Disabled,Enabled" bitfld.long 0x00 11. " EMICTL ,Electromechanical interlock control" "0,1" newline bitfld.long 0x00 10. " PCC ,Power controller control" "0,1" bitfld.long 0x00 8.--9. " PIC ,Power indicator control" ",On,Blink,Off" newline bitfld.long 0x00 6.--7. " AIC ,Attention indicator control" ",On,Blink,Off" bitfld.long 0x00 5. " HPIE ,Hot plug interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " CCIE ,Command completed interrupt enable" "Disabled,Enabled" bitfld.long 0x00 3. " PDCE ,Presence detect changed enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " MRLSCE ,MRL sensor changed enable" "Disabled,Enabled" bitfld.long 0x00 1. " PFDE ,Power fault detected enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " ABPE ,Attention button pressed enable" "Disabled,Enabled" group.word 0x8A++0x05 line.word 0x00 "SLOT_STAT,Slot Status Register" eventfld.word 0x00 8. " DLLSTCHG ,Data link layer state changed" "Not changed,Changed" rbitfld.word 0x00 7. " EM_IL_ST ,Electromechanical interlock status" "Not set,Set" newline rbitfld.word 0x00 6. " PDS ,Presence detect state" "Empty,Card present" rbitfld.word 0x00 5. " MRLSS ,MRL sensor state" "Closed,Open" newline eventfld.word 0x00 4. " CC ,Command completed" "Not completed,Completed" eventfld.word 0x00 3. " PDC ,Presence detect changed" "Not changed,Changed" newline eventfld.word 0x00 2. " MRLSC ,MRL sensor changed" "Not changed,Changed" eventfld.word 0x00 1. " PFD ,Power fault detected" "Not detected,Detected" newline eventfld.word 0x00 0. " ABP ,Attention button pressed" "Not pressed,Pressed" line.word 0x02 "ROOT_CTRL,Root Control Register" bitfld.word 0x02 4. " CRSSWVE ,CRS software visibility enable" "Disabled,Enabled" bitfld.word 0x02 3. " PMEIE ,PME interrupt enable" "Disabled,Enabled" newline bitfld.word 0x02 2. " SEFEE ,System error on fatal error enable" "Disabled,Enabled" bitfld.word 0x02 1. " SENFEE ,System error on non fatal error enable" "Disabled,Enabled" newline bitfld.word 0x02 0. " SECEE ,System error on correctable error enable" "Disabled,Enabled" line.word 0x04 "ROOT_CAP,Root Capabilities Register" bitfld.word 0x04 0. " CRSSWV ,CRS software visibility" "Not visible,Visible" group.long 0x90++0x03 line.long 0x00 "ROOT_STS,Root Status Register" bitfld.long 0x00 17. " PMEP ,PME pending" "Not pending,Pending" bitfld.long 0x00 16. " PMES ,PME status" "0,1" newline hexmask.long.word 0x00 0.--15. 1. " PME_REQ_ID ,PME requester ID" endif rgroup.long 0x94++0x03 line.long 0x00 "DEV_CAP_2,Device Capabilities 2 Register" bitfld.long 0x00 5. " ARI_FWD ,ARI forwarding supported" "Not supported,Supported" bitfld.long 0x00 4. " CPL_TO_DS ,Completion timeout disable supported" "Not supported,Supported" newline bitfld.long 0x00 0.--3. " CPL_TO_RS ,Completion timeout ranges supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.word 0x98++0x01 line.word 0x00 "DEV_CTRL_2,Device Control 2 Register" bitfld.word 0x00 9. " IDO_CPL_EN ,IDO completion enable" "Disabled,Enabled" bitfld.word 0x00 8. " IDO_REQ_EN ,IDO request enable" "Disabled,Enabled" newline bitfld.word 0x00 5. " ARIFE ,ARI forwarding enable" "Disabled,Enabled" bitfld.word 0x00 4. " CPL_TOD ,Completion timeout disable" "No,Yes" newline bitfld.word 0x00 0.--3. " CPL_TO_VAL ,Completion timeout value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x9C++0x03 line.long 0x00 "LINK_CAP_2,Link Capabilities 2 Register" bitfld.long 0x00 8. " CROSSLINK_SUPP ,Crosslink supported" "Not supported,Supported" hexmask.long.byte 0x00 1.--7. 1. " SUPP_LINK_SPEED_VEC ,Supported link speeds vector" group.word 0xA0++0x01 line.word 0x00 "LINK_CTRL_2,Link Control 2 Register" bitfld.word 0x00 12.--15. " CDE ,Compliance preset/De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 11. " CSOS ,Compliance SOS" "0,1" newline bitfld.word 0x00 10. " EMC ,Enter modified compliance" "0,1" bitfld.word 0x00 7.--9. " TXM ,Transmit margin" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 6. " SDE ,Selectable de-emphasis" "0,1" bitfld.word 0x00 5. " HWASD ,Hardware autonomous speed disable" "No,Yes" newline bitfld.word 0x00 4. " EC ,Enter compliance" "0,1" bitfld.word 0x00 0.--3. " T_LS ,Target link speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0xA2++0x01 line.word 0x00 "LINK_STS_2,Link Status 2 Register" bitfld.word 0x00 5. " LER ,Link equalization request" "Not requested,Requested" bitfld.word 0x00 4. " EP3S ,Equalization phase 3 successful" "Not successful,Successful" newline bitfld.word 0x00 3. " EP2S ,Equalization phase 2 successful" "Not successful,Successful" bitfld.word 0x00 2. " EP1S ,Equalization phase 1 successful" "Not successful,Successful" newline bitfld.word 0x00 1. " EC ,Equalization complete" "Not completed,Completed" bitfld.word 0x00 0. " DE_LVL ,Current de-emphasis level" "0,1" if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) rgroup.byte 0xB0++0x00 line.byte 0x00 "MSI_X_MSG_CAP_ID,MSI-X Message Capability ID Register" group.word 0xB2++0x01 line.word 0x00 "MSI_X_MSG_CTRL,MSI-X Message Control Register" bitfld.word 0x00 15. " MSIXE ,MSI-X enable" "Disabled,Enabled" bitfld.word 0x00 14. " FM ,Function mask" "Unmasked,Masked" newline hexmask.word 0x00 0.--10. 1. " TS ,Table size" group.long 0xB4++0x07 line.long 0x00 "MSI_X_TABLE_OFFSET_BIR,MSI-X Table Offset/BIR Register" hexmask.long 0x00 3.--31. 0x08 " TABLE_OFFSET ,Table offset" bitfld.long 0x00 0.--2. " TBIR ,Table BIR" "0x10,0x14,0x18,0x1C,0x20,0x24,?..." line.long 0x04 "MSI_X_PBA_OFFSET_BIR,MSI-X PBA Offset/BIR Register" hexmask.long 0x04 3.--31. 0x08 " PBA_OFFSET ,PBA offset" bitfld.long 0x04 0.--2. " PBIR ,PBA BIR" "0x10,0x14,0x18,0x1C,0x20,0x24,?..." endif rgroup.word 0x100++0x01 line.word 0x00 "ADV_ERR_REP_CAP_ID,Advanced Error Reporting Capability ID Register" group.long 0x104++0x17 line.long 0x00 "UNCORR_ERR_STS,Uncorrectable Error Status Register" bitfld.long 0x00 20. " URE ,Unsupported request error status" "Not set,Set" bitfld.long 0x00 19. " ECRCE ,ECRC error status" "Not set,Set" newline bitfld.long 0x00 18. " MTLP ,Malformed TLP status" "Not set,Set" bitfld.long 0x00 17. " RXO ,Receiver overflow status" "Not set,Set" newline bitfld.long 0x00 16. " UC ,Unexpected completion status" "Not set,Set" bitfld.long 0x00 15. " CA ,Completer abort status" "Not set,Set" newline bitfld.long 0x00 14. " CTO ,Completion timeout status" "Not set,Set" bitfld.long 0x00 13. " FCPE ,Flow control protocol error status" "Not set,Set" newline bitfld.long 0x00 12. " PTLP ,Poisoned TLP status" "Not set,Set" bitfld.long 0x00 4. " DLPE ,Data link protocol error status" "Not set,Set" line.long 0x04 "UNCORR_ERR_MSK,Uncorrectable Error Mask Register" bitfld.long 0x04 20. " UREM ,Unsupported request error mask" "Not masked,Masked" bitfld.long 0x04 19. " ECRCEM ,ECRC error mask" "Not masked,Masked" newline bitfld.long 0x04 18. " MTLPM ,Malformed TLP mask" "Not masked,Masked" bitfld.long 0x04 17. " RXOM ,Receiver overflow mask" "Not masked,Masked" newline bitfld.long 0x04 16. " UCM ,Unexpected completion mask" "Not masked,Masked" bitfld.long 0x04 15. " CAM ,Completer abort mask" "Not masked,Masked" newline bitfld.long 0x04 14. " CTOM ,Completion timeout mask" "Not masked,Masked" bitfld.long 0x04 13. " FCPEM ,Flow control protocol error mask" "Not masked,Masked" newline bitfld.long 0x04 12. " PTLPM ,Poisoned TLP mask" "Not masked,Masked" bitfld.long 0x04 4. " DLPEM ,Data link protocol error mask" "Not masked,Masked" line.long 0x08 "UNCORR_ERR_SEV,Uncorrectable Error Severity Register" bitfld.long 0x08 20. " URES ,Unsupported request error severity" "Not set,Set" bitfld.long 0x08 19. " ECRCES ,ECRC error severity" "Not set,Set" newline bitfld.long 0x08 18. " MTLPS ,Malformed TLP severity" "Not set,Set" bitfld.long 0x08 17. " RXOS ,Receiver overflow severity" "Not set,Set" newline bitfld.long 0x08 16. " UCS ,Unexpected completion severity" "Not set,Set" bitfld.long 0x08 15. " CAS ,Completer abort severity" "Not set,Set" newline bitfld.long 0x08 14. " CTOS ,Completion timeout severity" "Not set,Set" bitfld.long 0x08 13. " FCPES ,Flow control protocol error severity" "Not set,Set" newline bitfld.long 0x08 12. " PTLPS ,Poisoned TLP severity" "Not set,Set" bitfld.long 0x08 4. " DLPES ,Data link protocol error severity" "Not set,Set" line.long 0x0C "CORR_ERR_STS,Correctable Error Status Register" bitfld.long 0x0C 13. " ADVNFE ,Advisory non fatal error status" "Not set,Set" bitfld.long 0x0C 12. " RTTO ,Replay timer timeout status" "Not set,Set" newline bitfld.long 0x0C 8. " RNR ,REPLAY_NUM rollover status" "Not set,Set" bitfld.long 0x0C 7. " BDLLP ,Bad DLLP status" "Not set,Set" newline bitfld.long 0x0C 6. " BTLP ,Bad TLP status" "Not set,Set" bitfld.long 0x0C 0. " RXE ,Receiver error status" "Not set,Set" line.long 0x10 "CORR_ERR_MSK,Correctable Error Mask Register" bitfld.long 0x10 13. " ADVNFEM ,Advisory non fatal error mask" "Not masked,Masked" bitfld.long 0x10 12. " RTTOM ,Replay timer timeout mask" "Not masked,Masked" newline bitfld.long 0x10 8. " RNRM ,REPLAY_NUM rollover mask" "Not masked,Masked" bitfld.long 0x10 7. " BDLLPM ,Bad DLLP mask" "Not masked,Masked" newline bitfld.long 0x10 6. " BTLPM ,Bad TLP mask" "Not masked,Masked" bitfld.long 0x10 0. " RXEM ,Receiver error mask" "Not masked,Masked" line.long 0x14 "ADV_ERR_CAP_CTL,Advanced Error Capabilities And Control Register" bitfld.long 0x14 8. " ECRCCE ,ECRC checking enable" "Disabled,Enabled" rbitfld.long 0x14 7. " ECRCCC ,ECRC checking capable" "Not capable,Capable" newline bitfld.long 0x14 6. " ECRCGE ,ECRC generation enable" "Disabled,Enabled" rbitfld.long 0x14 5. " ECRCGC ,ECRC generation capable" "Not capable,Capable" newline rbitfld.long 0x14 0.--4. " FIRST_ERR_PTR ,First error pointer" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F,0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,0x18,0x19,0x1A,0x1B,0x1C,0x1D,0x1E,0x1F" rgroup.long 0x11C++0x0F line.long 0x00 "HDR_LOG1,Header Log Register 1" hexmask.long.byte 0x00 24.--31. 1. " BYTE_0 ,Byte 0" hexmask.long.byte 0x00 16.--23. 1. " BYTE_1 ,Byte 1" newline hexmask.long.byte 0x00 8.--15. 1. " BYTE_2 ,Byte 2" hexmask.long.byte 0x00 0.--7. 1. " BYTE_3 ,Byte 3" line.long 0x04 "HDR_LOG2,Header Log Register 2" hexmask.long.byte 0x04 24.--31. 1. " BYTE_4 ,Byte 4" hexmask.long.byte 0x04 16.--23. 1. " BYTE_5 ,Byte 5" newline hexmask.long.byte 0x04 8.--15. 1. " BYTE_6 ,Byte 6" hexmask.long.byte 0x04 0.--7. 1. " BYTE_7 ,Byte 7" line.long 0x08 "HDR_LOG3,Header Log Register 3" hexmask.long.byte 0x08 24.--31. 1. " BYTE_8 ,Byte 8" hexmask.long.byte 0x08 16.--23. 1. " BYTE_9 ,Byte 9" newline hexmask.long.byte 0x08 8.--15. 1. " BYTE_A ,Byte A" hexmask.long.byte 0x08 0.--7. 1. " BYTE_B ,Byte B" line.long 0x0C "HDR_LOG4,Header Log Register 4" hexmask.long.byte 0x0C 24.--31. 1. " BYTE_C ,Byte C" hexmask.long.byte 0x0C 16.--23. 1. " BYTE_D ,Byte D" newline hexmask.long.byte 0x0C 8.--15. 1. " BYTE_E ,Byte E" hexmask.long.byte 0x0C 0.--7. 1. " BYTE_F ,Byte F" if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x01)) group.long 0x12C++0x07 line.long 0x00 "ROOT_ERR_CMD,Root Error Command Register" bitfld.long 0x00 2. " FERE ,Fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 1. " NFERE ,Non fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " CERE ,Correctable error reporting enable" "Disabled,Enabled" line.long 0x04 "ROOT_ERR_STS,Root Error Status Register" rbitfld.long 0x04 27.--31. " AEIMN ,Advanced error interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" eventfld.long 0x04 6. " FEMR ,Fatal error messages received" "Not received,Received" newline eventfld.long 0x04 5. " NFEMR ,First uncorrectable fatal" "Not received,Received" eventfld.long 0x04 4. " FUF ,First uncorrectable fatal" "Not received,Received" newline eventfld.long 0x04 3. " MEFNFR ,Multiple ERR_FATAL/NONFATAL received" "Not received,Received" eventfld.long 0x04 2. " EFNFR ,ERR_FATAL/NONFATAL received" "Not received,Received" newline eventfld.long 0x04 1. " MECR ,Multiple ERR_COR received" "Not received,Received" eventfld.long 0x04 0. " ECR ,ERR_COR received" "Not received,Received" endif rgroup.word 0x134++0x03 line.word 0x00 "CORR_ERR_SRC_ID,Correctable Error Source ID Register" line.word 0x02 "ERR_SRC_ID,Error Source ID Register" rgroup.long 0x148++0x03 line.long 0x00 "ARI_CAP_HDR,ARI Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" rgroup.word 0x14C++0x03 line.word 0x00 "ARI_CAP,ARI Capability Register" hexmask.word.byte 0x00 8.--15. 1. " NFN ,Next function number" bitfld.word 0x00 1. " AFGC ,ACS function groups capability" "Not capable,Capable" newline bitfld.word 0x00 0. " MFGC ,MFVC function groups capability" "Not capable,Capable" line.word 0x02 "ARI_CTRL,ARI Control Register" bitfld.word 0x02 4.--6. " FG ,Function group" "0,1,2,3,4,5,6,7" bitfld.word 0x02 1. " AFGE ,ACS function groups enable" "Disabled,Enabled" newline bitfld.word 0x02 0. " MFGE ,MFVC function groups enable" "Disabled,Enabled" rgroup.long 0x148++0x03 line.long 0x00 "SPCIE_CAP_HDR,Secondary Extended Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" group.long 0x14C++0x07 line.long 0x00 "LINK_CTRL3,Link Control 3 Register" bitfld.long 0x00 1. " EQ_REQ_INT_EN ,Link equalization request interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " PERFORM_EQ ,Perform equalization" "Not performed,Performed" line.long 0x04 "LANE_ERR_STS,Lane Error Status Register" eventfld.long 0x04 3. " LANE_ERR_STS[3] ,Lane error status bit 3" "Not detected,Detected" eventfld.long 0x04 2. " [2] ,Lane error status bit 2" "Not detected,Detected" newline eventfld.long 0x04 1. " [1] ,Lane error status bit 1" "Not detected,Detected" eventfld.long 0x04 0. " [0] ,Lane error status bit 0" "Not detected,Detected" rgroup.word 0x164++0x01 line.word 0x00 "G4LECR0,GEN4 Lane Equalization Control Register 0" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x166++0x01 line.word 0x00 "G4LECR1,GEN4 Lane Equalization Control Register 1" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x168++0x01 line.word 0x00 "G4LECR2,GEN4 Lane Equalization Control Register 2" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x16A++0x01 line.word 0x00 "G4LECR3,GEN4 Lane Equalization Control Register 3" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x16C++0x01 line.word 0x00 "G4LECR4,GEN4 Lane Equalization Control Register 4" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x16E++0x01 line.word 0x00 "G4LECR5,GEN4 Lane Equalization Control Register 5" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x170++0x01 line.word 0x00 "G4LECR6,GEN4 Lane Equalization Control Register 6" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x172++0x01 line.word 0x00 "G4LECR7,GEN4 Lane Equalization Control Register 7" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x178++0x07 line.long 0x00 "SR_IOV_EXT_CAP_ID,SR-IOV Extended Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" line.long 0x04 "SR_IOV_CAP,SR-IOV Capabilities Register" hexmask.long.word 0x04 21.--31. 1. " VFMIMN ,VF migration interrupt message number" bitfld.long 0x04 1. " ARICHR ,ARI capable hierarchy preserved" "Not preserved,Preserved" newline bitfld.long 0x04 0. " VFMC ,VF migration capable" "Not capable,Capable" rgroup.word 0x180++0x07 line.word 0x00 "SR_IOV_CTRL,SR-IOV Control Register" bitfld.word 0x00 4. " ARICH ,ARI capable hierarchy" "0,1" bitfld.word 0x00 3. " VFMIE ,VF MSE" "Disabled,Enabled" newline bitfld.word 0x00 2. " VFMIE ,VF migration interrupt enable" "Disabled,Enabled" bitfld.word 0x00 1. " VFME ,VF migration enable" "Disabled,Enabled" newline bitfld.word 0x00 0. " VFE ,VF enable" "Disabled,Enabled" line.word 0x02 "SR_IOV_STS,SR-IOV Status Register" bitfld.word 0x02 0. " VFMS ,VF migration status" "0,1" line.word 0x04 "SR_IOV_INIT_VF,SR-IOV Initial VF Register" line.word 0x06 "SR_IOV_TOTAL_VF,SR-IOV Total VF Register" group.word 0x188++0x01 line.word 0x00 "SR_IOV_NR_VF,SR-IOV Number VF Register" group.byte 0x18A++0x00 line.byte 0x00 "SR_IOV_FUNC_DPNDC_LNK,SR-IOV Function Dependency Link Register" rgroup.word 0x18C++0x03 line.word 0x00 "SR_IOV_FRST_VF_OFFST,SR-IOV First VF Offset Register" line.word 0x02 "SR_IOV_VF_STRD,SR-IOV VF Stride Register" rgroup.word 0x192++0x01 line.word 0x00 "SR_IOV_DEV_ID,SR-IOV Device ID Register" rgroup.long 0x194++0x03 line.long 0x00 "SR_IOV_SUPP_PG_SZ,SR-IOV Supported Page Size Register" group.long 0x198++0x03 line.long 0x00 "SR_IOV_SYS_PG_SZ,SR-IOV System Page Size Register" group.long 0x19C++0x03 line.long 0x00 "VFBAR0,SR-IOV VF Base Address Register 0" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1A0++0x03 line.long 0x00 "VFBAR1,SR-IOV VF Base Address Register 1" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1A4++0x03 line.long 0x00 "VFBAR2,SR-IOV VF Base Address Register 2" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1A8++0x03 line.long 0x00 "VFBAR3,SR-IOV VF Base Address Register 3" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1AC++0x03 line.long 0x00 "VFBAR4,SR-IOV VF Base Address Register 4" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" group.long 0x1B0++0x03 line.long 0x00 "VFBAR5,SR-IOV VF Base Address Register 5" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDRESS ,Base address" rbitfld.long 0x00 3. " PREF ,Prefetchable" "Not prefetchable,Prefetchable" newline rbitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" rgroup.long 0x1B4++0x03 line.long 0x00 "SR_IOV_MIG_STATE_ARR_OFF,SR-IOV Migration State Array Offset Register" hexmask.long 0x00 3.--31. 0x08 " MSAO ,VF migration state offset" bitfld.long 0x00 0.--2. " MSBIR ,VF migration state BIR" "0,1,2,3,4,5,6,7" group.long 0x71C++0x03 line.long 0x00 "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register And Filter Mask 1 Register" hexmask.long.word 0x00 16.--31. 1. " MASK_RADM_1 ,Filter mask 1" bitfld.long 0x00 15. " DISABLE_FC_WD_TIMER ,Disable FC watchdog timer" "No,Yes" newline hexmask.long.word 0x00 0.--10. 1. " SKP_INT_VAL ,SKP interval value" group.long 0x890++0x03 line.long 0x00 "GEN3_RELATED_OFF,Gen 3 Control Register" bitfld.long 0x00 16. " GEN3_EQUALIZATION_DISABLE ,Equalization disable" "No,Yes" bitfld.long 0x00 12. " RXEQ_PH01_EN ,Rx equalization phase 0/1 hold enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " EQ_EIEOS_CNT ,Equalization EIEOS count reset disable" "No,Yes" group.long 0x8BC++0x03 line.long 0x00 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register" bitfld.long 0x00 0. " RO_WR_EN ,Read-only write enable" "Disabled,Enabled" group.long 0x8E0++0x07 line.long 0x00 "COHERENCY_CTRL_1_OFF,Coherency Control Register 1" hexmask.long 0x00 2.--31. 0x04 " CFG_MEMTYPE_BOUNDARY_LOW_ADDR ,Boundary lower address for memory type" bitfld.long 0x00 0. " CFG_MEMTYPE_VALUE ,Memory type" "CCSR/Memory,?..." line.long 0x04 "COHERENCY_CTRL_2_OFF,Coherency Control Register 2" group.long 0x900++0x03 line.long 0x00 "IATU_VIEWPORT_OFF,iATU Index Register" bitfld.long 0x00 31. " REGION_DIR ,Region direction" "Outbound,Inbound" hexmask.long.byte 0x00 0.--7. 1. " REGION_INDEX ,Region index" if ((per.w(ad:0x03800000+0x900)&0x80000000)==(0x00)) group.long 0x904++0x1B line.long 0x00 "IATU_REGION_CTRL_1_OFF_OUTBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,AT" "0,1,2,3" bitfld.long 0x00 9.--10. " ATTR ,Attribute" "0,1,2,3" newline bitfld.long 0x00 8. " TD ,TLP digest" "0,1" bitfld.long 0x00 5.--7. " TC ,Traffic class" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "IATU_REGION_CTRL_2_OFF_OUTBOUND_0,iATU Region Control 2 Register" bitfld.long 0x04 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x04 28. " CFG_SHIFT_MODE ,CFG shift mode" "Off,On" newline hexmask.long.byte 0x04 0.--7. 1. " MSG_CODE ,Message code" line.long 0x08 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x08 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x08 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x0C "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_0,iATU Upper Base Address Register" line.long 0x10 "IATU_LIMIT_ADDR_OFF_OUTBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x14 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_0,iATU Outbound Region#N Lower Offset Address Register" hexmask.long.tbyte 0x14 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x14 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x18 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_0,iATU Upper Target Address Register" else if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 20.--24. " CTRL_1_FUNC_NUM ,Function number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" elif ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x01)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" endif group.long 0x908++0x17 line.long 0x00 "IATU_REGION_CTRL_2_OFF_INBOUND_0,iATU Region Control 2 Register" bitfld.long 0x00 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x00 30. " MATCH_MODE ,Match mode" "Address/Routing ID,BAR/Accept/Vendor" newline bitfld.long 0x00 19. " FUNC_NUM_MATCH_EN ,Function number match enable" "Disabled,Enabled" bitfld.long 0x00 18. " AT_MATCH_EN ,AT match enable" "Disabled,Enabled" newline bitfld.long 0x00 8.--10. " BAR_NUM ,BAR number" "0,1,2,3,4,5,ROM,?..." line.long 0x04 "IATU_LWR_BASE_ADDR_OFF_INBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x04 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x04 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x08 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_0,iATU Upper Base Address Register" line.long 0x0C "IATU_LIMIT_ADDR_OFF_INBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x0C 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x0C 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x10 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_0,iATU Region#N Lower Offset Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x14 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_0,iATU Upper Target Address Register" endif wgroup.long 0x1010++0x03 line.long 0x00 "BAR0_MASK,Base Address Register 0" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" wgroup.long 0x1014++0x03 line.long 0x00 "BAR1_MASK,Base Address Register 1" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1018++0x03 line.long 0x00 "BAR2_MASK,Base Address Register 2" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) wgroup.long 0x101C++0x03 line.long 0x00 "BAR3_MASK,Base Address Register 3" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1020++0x03 line.long 0x00 "BAR4_MASK,Base Address Register 4" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1024++0x03 line.long 0x00 "BAR5_MASK,Base Address Register 5" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1030++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" elif ((per.w(ad:0x03800000+0x0D)&0x7F)==(0x01)) wgroup.long 0x1038++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif width 0x0B base ad:0x03880000 width 23. group.long 0x20++0x07 "PEX LUT Registers" line.long 0x00 "PEXLSR,PEX LUT Status Register" eventfld.long 0x00 31. " LUTM ,Lookup table miss" "Not missed,Missed" eventfld.long 0x00 30. " MLUTM ,Multiple lookup table miss" "Not missed,Missed" hexmask.long.word 0x00 0.--15. 1. " CREQID ,Captured REQID" line.long 0x04 "PEXLCR,PEX LUT Control Register" bitfld.long 0x04 31. " DPL ,Default privilege level" "0,1" bitfld.long 0x04 30. " DBMT ,Default bypass memory translation" "0,1" hexmask.long.word 0x04 0.--14. 1. " DICID ,Default isolation context ID" group.long 0x800++0x07 line.long 0x00 "PEXL0UDR,PEX LUT Entry 0 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 0 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 0 of the PEX lookup table" line.long 0x04 "PEXL0LDR,PEX LUT Entry 0 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 0 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 0 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 0 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 0 within the PEX lookup table" group.long 0x808++0x07 line.long 0x00 "PEXL1UDR,PEX LUT Entry 1 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 1 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 1 of the PEX lookup table" line.long 0x04 "PEXL1LDR,PEX LUT Entry 1 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 1 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 1 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 1 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 1 within the PEX lookup table" group.long 0x810++0x07 line.long 0x00 "PEXL2UDR,PEX LUT Entry 2 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 2 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 2 of the PEX lookup table" line.long 0x04 "PEXL2LDR,PEX LUT Entry 2 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 2 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 2 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 2 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 2 within the PEX lookup table" group.long 0x818++0x07 line.long 0x00 "PEXL3UDR,PEX LUT Entry 3 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 3 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 3 of the PEX lookup table" line.long 0x04 "PEXL3LDR,PEX LUT Entry 3 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 3 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 3 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 3 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 3 within the PEX lookup table" group.long 0x820++0x07 line.long 0x00 "PEXL4UDR,PEX LUT Entry 4 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 4 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 4 of the PEX lookup table" line.long 0x04 "PEXL4LDR,PEX LUT Entry 4 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 4 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 4 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 4 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 4 within the PEX lookup table" group.long 0x828++0x07 line.long 0x00 "PEXL5UDR,PEX LUT Entry 5 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 5 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 5 of the PEX lookup table" line.long 0x04 "PEXL5LDR,PEX LUT Entry 5 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 5 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 5 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 5 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 5 within the PEX lookup table" group.long 0x830++0x07 line.long 0x00 "PEXL6UDR,PEX LUT Entry 6 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 6 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 6 of the PEX lookup table" line.long 0x04 "PEXL6LDR,PEX LUT Entry 6 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 6 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 6 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 6 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 6 within the PEX lookup table" group.long 0x838++0x07 line.long 0x00 "PEXL7UDR,PEX LUT Entry 7 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 7 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 7 of the PEX lookup table" line.long 0x04 "PEXL7LDR,PEX LUT Entry 7 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 7 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 7 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 7 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 7 within the PEX lookup table" group.long 0x840++0x07 line.long 0x00 "PEXL8UDR,PEX LUT Entry 8 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 8 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 8 of the PEX lookup table" line.long 0x04 "PEXL8LDR,PEX LUT Entry 8 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 8 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 8 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 8 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 8 within the PEX lookup table" group.long 0x848++0x07 line.long 0x00 "PEXL9UDR,PEX LUT Entry 9 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 9 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 9 of the PEX lookup table" line.long 0x04 "PEXL9LDR,PEX LUT Entry 9 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 9 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 9 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 9 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 9 within the PEX lookup table" group.long 0x850++0x07 line.long 0x00 "PEXL10UDR,PEX LUT Entry 10 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 10 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 10 of the PEX lookup table" line.long 0x04 "PEXL10LDR,PEX LUT Entry 10 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 10 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 10 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 10 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 10 within the PEX lookup table" group.long 0x858++0x07 line.long 0x00 "PEXL11UDR,PEX LUT Entry 11 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 11 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 11 of the PEX lookup table" line.long 0x04 "PEXL11LDR,PEX LUT Entry 11 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 11 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 11 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 11 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 11 within the PEX lookup table" group.long 0x860++0x07 line.long 0x00 "PEXL12UDR,PEX LUT Entry 12 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 12 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 12 of the PEX lookup table" line.long 0x04 "PEXL12LDR,PEX LUT Entry 12 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 12 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 12 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 12 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 12 within the PEX lookup table" group.long 0x868++0x07 line.long 0x00 "PEXL13UDR,PEX LUT Entry 13 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 13 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 13 of the PEX lookup table" line.long 0x04 "PEXL13LDR,PEX LUT Entry 13 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 13 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 13 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 13 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 13 within the PEX lookup table" group.long 0x870++0x07 line.long 0x00 "PEXL14UDR,PEX LUT Entry 14 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 14 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 14 of the PEX lookup table" line.long 0x04 "PEXL14LDR,PEX LUT Entry 14 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 14 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 14 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 14 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 14 within the PEX lookup table" group.long 0x878++0x07 line.long 0x00 "PEXL15UDR,PEX LUT Entry 15 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 15 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 15 of the PEX lookup table" line.long 0x04 "PEXL15LDR,PEX LUT Entry 15 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 15 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 15 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 15 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 15 within the PEX lookup table" group.long 0x880++0x07 line.long 0x00 "PEXL16UDR,PEX LUT Entry 16 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 16 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 16 of the PEX lookup table" line.long 0x04 "PEXL16LDR,PEX LUT Entry 16 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 16 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 16 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 16 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 16 within the PEX lookup table" group.long 0x888++0x07 line.long 0x00 "PEXL17UDR,PEX LUT Entry 17 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 17 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 17 of the PEX lookup table" line.long 0x04 "PEXL17LDR,PEX LUT Entry 17 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 17 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 17 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 17 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 17 within the PEX lookup table" group.long 0x890++0x07 line.long 0x00 "PEXL18UDR,PEX LUT Entry 18 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 18 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 18 of the PEX lookup table" line.long 0x04 "PEXL18LDR,PEX LUT Entry 18 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 18 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 18 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 18 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 18 within the PEX lookup table" group.long 0x898++0x07 line.long 0x00 "PEXL19UDR,PEX LUT Entry 19 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 19 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 19 of the PEX lookup table" line.long 0x04 "PEXL19LDR,PEX LUT Entry 19 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 19 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 19 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 19 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 19 within the PEX lookup table" group.long 0x8A0++0x07 line.long 0x00 "PEXL20UDR,PEX LUT Entry 20 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 20 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 20 of the PEX lookup table" line.long 0x04 "PEXL20LDR,PEX LUT Entry 20 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 20 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 20 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 20 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 20 within the PEX lookup table" group.long 0x8A8++0x07 line.long 0x00 "PEXL21UDR,PEX LUT Entry 21 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 21 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 21 of the PEX lookup table" line.long 0x04 "PEXL21LDR,PEX LUT Entry 21 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 21 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 21 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 21 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 21 within the PEX lookup table" group.long 0x8B0++0x07 line.long 0x00 "PEXL22UDR,PEX LUT Entry 22 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 22 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 22 of the PEX lookup table" line.long 0x04 "PEXL22LDR,PEX LUT Entry 22 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 22 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 22 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 22 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 22 within the PEX lookup table" group.long 0x8B8++0x07 line.long 0x00 "PEXL23UDR,PEX LUT Entry 23 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 23 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 23 of the PEX lookup table" line.long 0x04 "PEXL23LDR,PEX LUT Entry 23 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 23 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 23 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 23 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 23 within the PEX lookup table" group.long 0x8C0++0x07 line.long 0x00 "PEXL24UDR,PEX LUT Entry 24 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 24 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 24 of the PEX lookup table" line.long 0x04 "PEXL24LDR,PEX LUT Entry 24 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 24 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 24 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 24 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 24 within the PEX lookup table" group.long 0x8C8++0x07 line.long 0x00 "PEXL25UDR,PEX LUT Entry 25 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 25 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 25 of the PEX lookup table" line.long 0x04 "PEXL25LDR,PEX LUT Entry 25 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 25 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 25 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 25 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 25 within the PEX lookup table" group.long 0x8D0++0x07 line.long 0x00 "PEXL26UDR,PEX LUT Entry 26 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 26 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 26 of the PEX lookup table" line.long 0x04 "PEXL26LDR,PEX LUT Entry 26 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 26 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 26 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 26 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 26 within the PEX lookup table" group.long 0x8D8++0x07 line.long 0x00 "PEXL27UDR,PEX LUT Entry 27 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 27 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 27 of the PEX lookup table" line.long 0x04 "PEXL27LDR,PEX LUT Entry 27 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 27 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 27 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 27 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 27 within the PEX lookup table" group.long 0x8E0++0x07 line.long 0x00 "PEXL28UDR,PEX LUT Entry 28 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 28 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 28 of the PEX lookup table" line.long 0x04 "PEXL28LDR,PEX LUT Entry 28 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 28 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 28 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 28 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 28 within the PEX lookup table" group.long 0x8E8++0x07 line.long 0x00 "PEXL29UDR,PEX LUT Entry 29 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 29 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 29 of the PEX lookup table" line.long 0x04 "PEXL29LDR,PEX LUT Entry 29 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 29 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 29 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 29 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 29 within the PEX lookup table" group.long 0x8F0++0x07 line.long 0x00 "PEXL30UDR,PEX LUT Entry 30 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 30 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 30 of the PEX lookup table" line.long 0x04 "PEXL30LDR,PEX LUT Entry 30 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 30 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 30 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 30 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 30 within the PEX lookup table" group.long 0x8F8++0x07 line.long 0x00 "PEXL31UDR,PEX LUT Entry 31 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 31 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 31 of the PEX lookup table" line.long 0x04 "PEXL31LDR,PEX LUT Entry 31 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 31 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 31 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 31 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 31 within the PEX lookup table" group.long 0x40014++0x03 line.long 0x00 "PEX_PF0_CONFIG,PEX PF0 Config" bitfld.long 0x00 9. " SDT ,Sense device type" "EP mode,RC mode" bitfld.long 0x00 0. " CFG_READY ,Config ready" "Not ready,Ready" rgroup.long (0x40014+0x04)++0x03 line.long 0x00 "PEX_PF0_INT_STAT,PEX PF0 Interrupt Status" bitfld.long 0x00 15. " INTM ,Per PF dependent message interrupt is pending" "No pending,Pending" bitfld.long 0x00 14. " INTE ,Per PF dependent error interrupt is pending" "No pending,Pending" group.long (0x40014+0x08)++0x13 line.long 0x00 "PEX_PF0_INT_CTRL,PEX PF0 Interrupt Control" bitfld.long 0x00 31. " PPMEIC ,PAB PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 30. " LPMEIC ,LUT PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 29. " PERRIC ,PAB error interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 28. " PAERIC ,PCIe AER interrupt control" "Routed to SPI,Routed to INTA" line.long 0x04 "PEX_PF0_PME_MES_DR,PEX PF0 PCIE PME And Message Detect Register" eventfld.long 0x04 15. " PTO ,PME turn off detected" "Not detected,Detected" eventfld.long 0x04 13. " ENL23 ,PCIe core entered L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 12. " EXL23 ,PCIe core exited L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 10. " HRD ,Hot reset was detected" "Not detected,Detected" newline eventfld.long 0x04 9. " LDD ,Link down detected" "Not detected,Detected" eventfld.long 0x04 7. " LUD ,Link up detected" "Not detected,Detected" line.long 0x08 "PEX_PF0_PME_MES_DISR,PEX PF0 PCIE PME And Message Disable Register" bitfld.long 0x08 15. " PTOD ,PME turn off detect disable" "No,Yes" bitfld.long 0x08 13. " ENL23D ,Entered L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 12. " EXL23D ,Exited L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 10. " HRDD ,Hot reset detect disable" "No,Yes" newline bitfld.long 0x08 9. " LDDD ,Link down detect disable" "No,Yes" bitfld.long 0x08 7. " LUDD ,Link up detect disable" "No,Yes" line.long 0x0C "PEX_PF0_PME_MES_IER,PEX PF0 PCIE PME And Message Interrupt Enable Register" bitfld.long 0x0C 15. " PTOIE ,PME turn off detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 13. " ENL23IE ,Entered L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 12. " EXL23IE ,Exited L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 10. " HRDIE ,Hot reset detect interrupt enable" "Disabled,Enabled" newline bitfld.long 0x0C 9. " LDDIE ,Link down detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 7. " LUDIE ,Link up detect interrupt enable" "Disabled,Enabled" line.long 0x10 "PEX_PF0_MCR,PEX PF0 PCIE Message Command Register" bitfld.long 0x10 4. " INTX ,INTx command assert" "Not asserted,Asserted" bitfld.long 0x10 2. " SPMES ,PM_PME command send" "Not sent,Sent" bitfld.long 0x10 1. " EXL2S ,Exit L2 state command" "Not generated,Generated" bitfld.long 0x10 0. " PTOMR ,PME turn off message generate" "Not generated,Generated" group.long (0x40014+0x12C)++0x03 line.long 0x00 "PEX_PF0_RBP_ADDR_U,PEX PF0 Route By Port Address Upper Register" hexmask.long.word 0x00 16.--31. 0x01 " ADDR_U ,Upper 16 bit of the PCIE slave device used for the outbound transactions" group.long (0x40014+0x1EC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " ME ,Multiple errors of same type" "Not set,Set" eventfld.long 0x00 23. " PCT ,Completion timeout" "Not detected,Detected" eventfld.long 0x00 21. " PCAC ,Completer abort detected" "Not detected,Detected" eventfld.long 0x00 19. " CDNSC ,Completion with data not successful detected" "Not detected,Detected" newline eventfld.long 0x00 12. " UREP ,Unsupported request completion detected" "Not detected,Detected" group.long (0x40014+0x1F4)++0x03 line.long 0x00 "PEX_PF0_ERR_EN,PEX PF0 Error Interrupt Enable Register" bitfld.long 0x00 23. " PCTIE ,Completion timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x00 21. " PCACIE ,Completer abort interrupt enable" "Disabled,Enabled" bitfld.long 0x00 19. " CDNSCIE ,Completion with data not successful interrupt enable" "Disabled,Enabled" bitfld.long 0x00 12. " UREPIE ,Unsupported request completion interrupt enable" "Disabled,Enabled" group.long (0x40014+0x1FC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " MED ,Multiple errors of same type detection disable" "No,Yes" bitfld.long 0x00 23. " PCTD ,Completion timeout detection disable" "No,Yes" bitfld.long 0x00 21. " PCACD ,Completer abort detection disable" "No,Yes" bitfld.long 0x00 19. " CDNSCD ,Completion with data not successful detection disable" "No,Yes" newline bitfld.long 0x00 12. " UREPD ,Unsupported request completion detection disable" "No,Yes" if (((per.l(ad:0x03880000+0x40014+0x7E8))&0x80000000)==0x80000000) group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" bitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" else group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" rbitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" endif group.long 0x50014++0x03 line.long 0x00 "PEX_PF1_CONFIG,PEX PF1 Config" bitfld.long 0x00 9. " SDT ,Sense device type" "EP mode,RC mode" bitfld.long 0x00 0. " CFG_READY ,Config ready" "Not ready,Ready" rgroup.long (0x50014+0x04)++0x03 line.long 0x00 "PEX_PF1_INT_STAT,PEX PF1 Interrupt Status" bitfld.long 0x00 15. " INTM ,Per PF dependent message interrupt is pending" "No pending,Pending" bitfld.long 0x00 14. " INTE ,Per PF dependent error interrupt is pending" "No pending,Pending" group.long (0x50014+0x08)++0x13 line.long 0x00 "PEX_PF1_INT_CTRL,PEX PF1 Interrupt Control" bitfld.long 0x00 31. " PPMEIC ,PAB PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 30. " LPMEIC ,LUT PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 29. " PERRIC ,PAB error interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 28. " PAERIC ,PCIe AER interrupt control" "Routed to SPI,Routed to INTA" line.long 0x04 "PEX_PF1_PME_MES_DR,PEX PF1 PCIE PME And Message Detect Register" eventfld.long 0x04 15. " PTO ,PME turn off detected" "Not detected,Detected" eventfld.long 0x04 13. " ENL23 ,PCIe core entered L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 12. " EXL23 ,PCIe core exited L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 10. " HRD ,Hot reset was detected" "Not detected,Detected" newline eventfld.long 0x04 9. " LDD ,Link down detected" "Not detected,Detected" eventfld.long 0x04 7. " LUD ,Link up detected" "Not detected,Detected" line.long 0x08 "PEX_PF1_PME_MES_DISR,PEX PF1 PCIE PME And Message Disable Register" bitfld.long 0x08 15. " PTOD ,PME turn off detect disable" "No,Yes" bitfld.long 0x08 13. " ENL23D ,Entered L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 12. " EXL23D ,Exited L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 10. " HRDD ,Hot reset detect disable" "No,Yes" newline bitfld.long 0x08 9. " LDDD ,Link down detect disable" "No,Yes" bitfld.long 0x08 7. " LUDD ,Link up detect disable" "No,Yes" line.long 0x0C "PEX_PF1_PME_MES_IER,PEX PF1 PCIE PME And Message Interrupt Enable Register" bitfld.long 0x0C 15. " PTOIE ,PME turn off detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 13. " ENL23IE ,Entered L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 12. " EXL23IE ,Exited L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 10. " HRDIE ,Hot reset detect interrupt enable" "Disabled,Enabled" newline bitfld.long 0x0C 9. " LDDIE ,Link down detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 7. " LUDIE ,Link up detect interrupt enable" "Disabled,Enabled" line.long 0x10 "PEX_PF1_MCR,PEX PF1 PCIE Message Command Register" bitfld.long 0x10 4. " INTX ,INTx command assert" "Not asserted,Asserted" bitfld.long 0x10 2. " SPMES ,PM_PME command send" "Not sent,Sent" bitfld.long 0x10 1. " EXL2S ,Exit L2 state command" "Not generated,Generated" bitfld.long 0x10 0. " PTOMR ,PME turn off message generate" "Not generated,Generated" group.long (0x50014+0x12C)++0x03 line.long 0x00 "PEX_PF1_RBP_ADDR_U,PEX PF1 Route By Port Address Upper Register" hexmask.long.word 0x00 16.--31. 0x01 " ADDR_U ,Upper 16 bit of the PCIE slave device used for the outbound transactions" group.long (0x50014+0x1EC)++0x03 line.long 0x00 "PEX_PF1_ERR_DR,PEX PF1 Error Detect Register" eventfld.long 0x00 31. " ME ,Multiple errors of same type" "Not set,Set" eventfld.long 0x00 23. " PCT ,Completion timeout" "Not detected,Detected" eventfld.long 0x00 21. " PCAC ,Completer abort detected" "Not detected,Detected" eventfld.long 0x00 19. " CDNSC ,Completion with data not successful detected" "Not detected,Detected" newline eventfld.long 0x00 12. " UREP ,Unsupported request completion detected" "Not detected,Detected" group.long (0x50014+0x1F4)++0x03 line.long 0x00 "PEX_PF1_ERR_EN,PEX PF1 Error Interrupt Enable Register" bitfld.long 0x00 23. " PCTIE ,Completion timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x00 21. " PCACIE ,Completer abort interrupt enable" "Disabled,Enabled" bitfld.long 0x00 19. " CDNSCIE ,Completion with data not successful interrupt enable" "Disabled,Enabled" bitfld.long 0x00 12. " UREPIE ,Unsupported request completion interrupt enable" "Disabled,Enabled" group.long (0x50014+0x1FC)++0x03 line.long 0x00 "PEX_PF1_ERR_DR,PEX PF1 Error Detect Register" eventfld.long 0x00 31. " MED ,Multiple errors of same type detection disable" "No,Yes" bitfld.long 0x00 23. " PCTD ,Completion timeout detection disable" "No,Yes" bitfld.long 0x00 21. " PCACD ,Completer abort detection disable" "No,Yes" bitfld.long 0x00 19. " CDNSCD ,Completion with data not successful detection disable" "No,Yes" newline bitfld.long 0x00 12. " UREPD ,Unsupported request completion detection disable" "No,Yes" width 0x0B else textline "-----------------------------------" textline "PCIe 5 disabled by DC.DEVDISR3.PEX5" textline "-----------------------------------" endif tree.end tree "PCIe 6" if ((per.l(ad:0x01E00000+0x78)&0x20)==(0x00)) base ad:0x03900000 width 39. rgroup.word 0x00++0x03 "Config Registers" line.word 0x00 "VENDOR_ID,Vendor ID Register" line.word 0x02 "DEVICE_ID,Device ID Register" group.word 0x04++0x03 line.word 0x00 "COMMAND,Command Register" bitfld.word 0x00 10. " INTERRUPT_DIS ,Interrupt disable" "No,Yes" bitfld.word 0x00 8. " SERR ,Non fatal/Fatal error reporting enable" "Disabled,Enabled" newline bitfld.word 0x00 6. " PARITY_ERROR_RESP ,PCI parity error response" "Ignored,Reported" bitfld.word 0x00 2. " BUS_MASTER ,Bus master enable" "Disabled,Enabled" newline bitfld.word 0x00 1. " MEMORY_SPACE ,Memory space enable" "Disabled,Enabled" bitfld.word 0x00 0. " IO_SPACE ,I/O space enable" "Disabled,Enabled" line.word 0x02 "STATUS,Status Register" eventfld.word 0x02 15. " PAR_ERR ,Parity error" "No error,Error" eventfld.word 0x02 14. " SYS_ERR ,System error" "No error,Error" newline eventfld.word 0x02 13. " RCVD_MAS_ABORT ,Received unsupported request completion status" "Not received,Received" eventfld.word 0x02 12. " RCVD_TAR_ABORT ,Received completer abort completion status" "Not received,Received" newline eventfld.word 0x02 11. " TAR_ABORT ,Completed request with completer abort completion status" "No,Yes" eventfld.word 0x02 8. " MAS_DPR ,Master data parity error" "No error,Error" newline eventfld.word 0x02 4. " EXT_CAP_LIST ,Extended capability list item present" "Not present,Present" eventfld.word 0x02 3. " INTR_STS ,Interrupt status" "No interrupt,Interrupt" rgroup.byte 0x08++0x03 line.byte 0x00 "REVISION_ID,Revision ID Register" line.byte 0x01 "CLASS_CODE_A,Class Code Register A" line.byte 0x02 "CLASS_CODE_B,Class Code Register B" line.byte 0x03 "CLASS_CODE_C,Class Code Register C" group.byte 0x0C++0x00 line.byte 0x00 "CACHE_LINE_SIZE,Cache Line Size Register" rgroup.byte 0x0D++0x01 line.byte 0x00 "LATENCY_TIMER,Latency Timer Register" line.byte 0x01 "HEADER_TYPE,Header Type Register" bitfld.byte 0x01 7. " MULTI_F ,Multifunction" "Single,Multiple" hexmask.byte 0x01 0.--6. 1. " HEADER_L ,Header layout" group.long 0x10++0x03 line.long 0x00 "BAR0,Base Address Register 0" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) group.long 0x14++0x03 line.long 0x00 "BAR1,Base Address Register 1" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x18++0x03 line.long 0x00 "BAR2,Base Address Register 2" hexmask.long.tbyte 0x00 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x00 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x00 1.--2. " TYPE ,Type" "32-bit,64-bit,?..." bitfld.long 0x00 0. " MEMSP ,Memory space indicator" "Memory,IO" group.long 0x1C++0x0B line.long 0x00 "BAR3,Base Address Register 3" line.long 0x04 "BAR4,Base Address Register 4" hexmask.long.tbyte 0x04 12.--31. 0x10 " ADDR ,Base address" bitfld.long 0x04 3. " PREF ,Prefetchable" "Not supported,Supported" newline bitfld.long 0x04 1.--2. " TYPE ,Type" "0,1,2,3" bitfld.long 0x04 0. " MEMSP ,Memory space indicator" "Memory,IO" line.long 0x08 "BAR5,Base Address Register 5" rgroup.word 0x2C++0x03 line.word 0x00 "SUB_SYS_VEN_ID,Subsystem Vendor ID Register" line.word 0x02 "SUB_SYS_ID,Subsystem ID Register" elif ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x01)) group.byte 0x18++0x02 line.byte 0x00 "PRIM_BUS_NUM,Primary Bus Number Register" line.byte 0x01 "SEC_BUS_NUM,Secondary Bus Number Register" line.byte 0x02 "SUBOR_BUS_NUM,Subordinate Bus Number Register" group.byte 0x1C++0x01 line.byte 0x00 "IO_BASE_ADDR,I/O Base Register" bitfld.byte 0x00 4.--7. " IO_START_ADDR ,I/O start address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x00 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.byte 0x01 "IO_LIMIT,I/O Limit Register" bitfld.byte 0x01 4.--7. " IO_LIMIT_ADDR ,I/O limit address" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F" rbitfld.byte 0x01 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.word 0x1E++0x09 line.word 0x00 "IOBLSSRT,I/O Base Limit And Secondary Status Register" eventfld.word 0x00 15. " DPE ,Parity error" "No error,Error" eventfld.word 0x00 14. " SSE ,System error" "No error,Error" newline eventfld.word 0x00 13. " RMA ,Received master abort" "Not received,Received" eventfld.word 0x00 12. " RTA ,Received target abort" "Not received,Received" newline eventfld.word 0x00 11. " STA ,Signaled target abort" "Not set,Set" eventfld.word 0x00 8. " MDPE ,Master data parity error" "No error,Error" line.word 0x02 "MEM_BASE_ADDR,Memory Base Address Register" hexmask.word 0x02 4.--15. 0x10 " MEM_BASE ,Memory base address" line.word 0x04 "MEM_LIMIT,Memory Limit Register" hexmask.word 0x04 4.--15. 0x10 " MEM_LIMIT ,Memory limit address" line.word 0x06 "PMBR,Prefetchable Memory Base Register" hexmask.word 0x06 4.--15. 0x10 " PF_MEM_BASE ,Prefetchable memory base address" rbitfld.word 0x06 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." line.word 0x08 "PF_MEM_LIMIT,Prefetchable Memory Limit Register" hexmask.word 0x08 4.--15. 0x10 " PF_MEM_LIMIT ,I/O limit address" rbitfld.word 0x08 0.--3. " ADDR_DECODE ,Address decode type" "16-bit,32-bit,?..." group.long 0x28++0x0B line.long 0x00 "PBUDWRT1,Prefetchable Base Upper DWord Register" line.long 0x04 "PLUDWRT1,Prefetchable Limit Upper DWord Register" line.long 0x08 "ERBAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x08 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x08 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" rgroup.word 0x30++0x03 line.word 0x00 "PBUDWRT1,I/O Base Upper Word Register" line.word 0x02 "PLUDWRT1,I/O Limit Upper Word Register" endif rgroup.byte 0x34++0x00 line.byte 0x00 "CPR,Capabilities Pointer Register" if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) group.long 0x30++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" elif ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x01)) group.long 0x38++0x03 line.long 0x00 "EXP_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.tbyte 0x00 11.--31. 0x08 " ROM_BASE_ADDR ,Expansion ROM base address" bitfld.long 0x00 0. " ROMBAR_EN ,Expansion ROM enable" "Disabled,Enabled" endif group.byte 0x3C++0x00 line.byte 0x00 "ILR,Interrupt Line Register" rgroup.byte 0x3D++0x00 line.byte 0x00 "IPR,Interrupt Pin Register" if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x3E++0x01 line.byte 0x00 "MIN_GNT,Minimum Grant Register" line.byte 0x01 "MAX_LAT,Maximum Latency Register" elif ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x01)) group.word 0x3E++0x01 line.word 0x00 "BCR,Bridge Control Register" bitfld.word 0x00 6. " SCND_RST ,Secondary bus reset" "No reset,Reset" bitfld.word 0x00 3. " VGA_EN ,VGA enable" "Disabled,Enabled" newline bitfld.word 0x00 2. " ISA_EN ,ISA enable" "Disabled,Enabled" bitfld.word 0x00 1. " SERR_EN ,SERR enable" "Disabled,Enabled" newline bitfld.word 0x00 0. " PER ,Parity error response" "No error,Error" endif rgroup.byte 0x40++0x00 line.byte 0x00 "PWR_MGMT_CAP_ID,Power Management Capability ID Register" rgroup.word 0x42++0x01 line.word 0x00 "PMCR,PM Capability Register" bitfld.word 0x00 15. " PME_SUPPORT[4] ,Power state 4 PME set support" "Not supported,Supported" bitfld.word 0x00 14. " [3] ,Power state 3 PME set support" "Not supported,Supported" newline bitfld.word 0x00 13. " [2] ,Power state 2 PME set support" "Not supported,Supported" bitfld.word 0x00 12. " [1] ,Power state 1 PME set support" "Not supported,Supported" newline bitfld.word 0x00 11. " [0] ,Power state 0 PME set support" "Not supported,Supported" bitfld.word 0x00 10. " D2_SUPPORT ,D2 power management state support" "Not supported,Supported" newline bitfld.word 0x00 9. " D1_SUPPORT ,D1 power management state support" "Not supported,Supported" bitfld.word 0x00 6.--8. " AUX_CURRENT ,Auxiliary current support" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 5. " DSI ,Device specific initialization sequence required" "Not required,Required" bitfld.word 0x00 3. " PME_CLOCK ,PCI clock required for PME operation" "Not required,Required" newline bitfld.word 0x00 0.--2. " PCIPM_VERSION ,PCI power management interface specification version" "0,1,2,3,4,5,6,7" group.word 0x44++0x01 line.word 0x00 "PMCSR,PM Control And Status Register" eventfld.word 0x00 15. " PME_STAT ,PME status" "0,1" rbitfld.word 0x00 13.--14. " DATA_SCALE ,Data scale factor" "0,1,2,3" newline bitfld.word 0x00 9.--12. " DATA_SELECT ,Data select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 8. " PME_EN ,PME enable" "Disabled,Enabled" newline bitfld.word 0x00 0.--1. " POWER_STATE ,Power state" "D0,D1,D2,D3" rgroup.byte 0x47++0x00 line.byte 0x00 "PMDR,PM Data Register" if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) rgroup.byte 0x50++0x00 line.byte 0x00 "MSI_MC_ID,MSI Message Capability ID Register" group.word 0x52++0x01 line.word 0x00 "MSI_MC,MSI Message Control Register" rbitfld.word 0x00 7. " AC_64 ,64-bit address capable" "Not capable,Capable" bitfld.word 0x00 4.--6. " MME ,Multiple message enable" "0,1,2,3,4,5,6,7" newline rbitfld.word 0x00 1.--3. " MMC ,Multiple message capable" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0. " MSIE ,MSI enable" "Disabled,Enabled" group.long 0x54++0x07 line.long 0x00 "MSI_MAR,MSI Message Address Register" hexmask.long 0x00 2.--31. 0x04 " MSG_ADDR ,Message address" line.long 0x04 "MSI_MSG_UP_ADDR,MSI Message Upper Address Register" group.word 0x5C++0x01 line.word 0x00 "MSI_MSG_DAT,MSI Message Data Register" endif rgroup.byte 0x70++0x00 line.byte 0x00 "CAP_ID,Capability ID Register" if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." newline bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" elif ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x01)) rgroup.word 0x72++0x01 line.word 0x00 "CAP,Capabilities Register" bitfld.word 0x00 9.--13. " INT_MSG_NR ,Interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x00 8. " SLOT ,Slot implemented" "Not implemented,Implemented" newline bitfld.word 0x00 4.--7. " DEV_PORT_TYP ,Device/Port type" "EP mode,,,,RC mode,?..." bitfld.word 0x00 0.--3. " CAP_VER ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" endif rgroup.long 0x74++0x03 line.long 0x00 "DEVICE_CAP,Device Capabilities Register" bitfld.long 0x00 28. " FLRC ,Function level reset capability" "Not capable,Capable" bitfld.long 0x00 26.--27. " CSPLS ,Captured slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 18.--25. 1. " CSPLV ,Captured slot power limit value" bitfld.long 0x00 15. " RBER ,Role based error reporting" "Not reported,Reported" newline bitfld.long 0x00 9.--11. " EP_L1_LAT ,Endpoint L1 acceptable latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--8. " EP_L0S_LAT ,Endpoint L0s acceptable latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 5. " ET ,Extended tag field supported" "Not supported,Supported" bitfld.long 0x00 3.--4. " PHAN_FCT ,Phantom functions supported" "0,1,2,3" newline bitfld.long 0x00 0.--2. " MAX_PL_SIZE_SUP ,Max payload size supported" "0,1,2,3,4,5,6,7" group.long 0x78++0x03 line.long 0x00 "DEVICE_CTRL,Device Control Register" bitfld.long 0x00 15. " IFLR ,Initiate function level reset" "No reset,Reset" bitfld.long 0x00 12.--14. " MAX_READ_SIZE ,Maximum read request size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." newline bitfld.long 0x00 11. " ENS ,No snoop enable" "Disabled,Enabled" bitfld.long 0x00 10. " APE ,AUX power PM enable" "Disabled,Enabled" newline bitfld.long 0x00 9. " PFE ,Phantom functions enable" "Disabled,Enabled" bitfld.long 0x00 8. " ETE ,Extended tag field enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--7. " MAX_PL_SZ ,Maximum payload size" "128 bytes,256 bytes,512 bytes,1024 bytes,2048 bytes,4096 bytes,?..." bitfld.long 0x00 4. " RO_EN ,Relaxed ordering enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " URR ,Unsupported request reporting enable" "Disabled,Enabled" bitfld.long 0x00 2. " FER ,Fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " NFERR ,Non fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 0. " CER ,Correctable error reporting enable" "Disabled,Enabled" group.word 0x7A++0x01 line.word 0x00 "DEV_STS,Device Status Register" rbitfld.word 0x00 5. " TP ,Transactions pending" "Not pending,Pending" rbitfld.word 0x00 4. " APD ,AUX power detected" "Not detected,Detected" newline eventfld.word 0x00 3. " URD ,Unsupported request detected" "Not detected,Detected" eventfld.word 0x00 2. " FED ,Fatal error detected" "Not detected,Detected" newline eventfld.word 0x00 1. " NFED ,Non fatal error detected" "Not detected,Detected" eventfld.word 0x00 0. " CED ,Correctable error detected" "Not detected,Detected" rgroup.long 0x7C++0x03 line.long 0x00 "LINK_CAP,Link Capabilities Register" hexmask.long.byte 0x00 24.--31. 1. " PORT_NR ,Port number" bitfld.long 0x00 22. " AOC ,ASPM optionality compliance" "0,1" newline bitfld.long 0x00 21. " LBWN ,Link bandwidth notification capability" "Not capable,Capable" bitfld.long 0x00 20. " DLLARC ,Data link layer active reporting capable" "Not capable,Capable" newline bitfld.long 0x00 19. " SD_ERR_RPT_CAP ,Surprise down error reporting capable" "Not capable,Capable" bitfld.long 0x00 18. " CPM ,Clock power management" "0,1" newline bitfld.long 0x00 15.--17. " L1_EX_LAT ,L1 exit latency" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--14. " L0S_EX_LAT ,L0s exit latency" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10.--11. " ASPM ,Active state power management (ASPM) support" "0,1,2,3" bitfld.long 0x00 4.--9. " MAX_LINK_W ,Maximum link width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--3. " MAX_LINK_SP ,Maximum link speed" "0,2.5GT/s,5.0GT/s,8.0GT/s,?..." if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) group.word 0x80++0x03 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" newline bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" line.word 0x02 "LINK_STS,Link Status Register" eventfld.word 0x02 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" eventfld.word 0x02 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline rbitfld.word 0x02 12. " SCC ,Slot clock configuration" "0,1" rbitfld.word 0x02 11. " LT ,Link training" "0,1" newline rbitfld.word 0x02 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." rbitfld.word 0x02 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." elif ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x01)) group.word 0x80++0x01 line.word 0x00 "LINK_CTRL,Link Control Register" bitfld.word 0x00 11. " LABIE ,Link autonomous bandwidth interrupt enable" "Disabled,Enabled" bitfld.word 0x00 10. " LBMIE ,Link bandwidth management interrupt enable" "Disabled,Enabled" newline bitfld.word 0x00 9. " HW_AUTO_WIDTH_DIS ,Hardware autonomous width disable" "No,Yes" bitfld.word 0x00 7. " EXT_SYNC ,Extended synch" "Not forced,Forced" newline bitfld.word 0x00 6. " CCC ,Common clock configuration" "No,Yes" bitfld.word 0x00 5. " RL ,Retrain link initiate" "Not initiated,Initiated" newline bitfld.word 0x00 4. " LD ,Link disable" "No,Yes" bitfld.word 0x00 3. " RCB ,Read completion boundary" "64 bytes,128 bytes" newline bitfld.word 0x00 0.--1. " ASPM_CTL ,Active state power management control" "Disabled,L0s,L1,L0s/L1" rgroup.word 0x82++0x01 line.word 0x00 "LINK_STS,Link Status Register" bitfld.word 0x00 15. " LABS ,Link autonomous bandwidth status" "Not set,Set" bitfld.word 0x00 14. " LBMS ,Link bandwidth management status" "Not set,Set" newline bitfld.word 0x00 12. " SCC ,Slot clock configuration" "0,1" bitfld.word 0x00 11. " LT ,Link training" "0,1" newline bitfld.word 0x00 4.--9. " NEG_LINK_W ,Negotiated link width" ",x1,x2,,x4,?..." bitfld.word 0x00 0.--3. " LINK_SP ,Current link speed" ",2.5GT/s,5.0GT/s,8.0GT/s,?..." rgroup.long 0x84++0x03 line.long 0x00 "SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x00 19.--31. 1. " PHY_SLOT_NR ,Physical slot number" bitfld.long 0x00 18. " NOCMDCPLSUP ,No command completed support" "Not supported,Supported" newline bitfld.long 0x00 17. " EMIP ,Electromechanical interlock present" "Not present,Present" bitfld.long 0x00 15.--16. " SPLS ,Slot power limit scale" "0,1,2,3" newline hexmask.long.word 0x00 7.--14. 1. " SPLV ,Slot power limit value" bitfld.long 0x00 6. " HPD ,Hot-plug capable" "Not capable,Capable" newline bitfld.long 0x00 5. " HPS ,Hot-plug surprise" "0,1" bitfld.long 0x00 4. " PIP ,Power indicator present" "Not present,Present" newline bitfld.long 0x00 3. " AIP ,Attention indicator present" "Not present,Present" bitfld.long 0x00 2. " MRLSP ,MRL sensor present" "Not present,Present" newline bitfld.long 0x00 1. " PCP ,Power controller present" "Not present,Present" bitfld.long 0x00 0. " ABP ,Attention button present" "Not present,Present" group.long 0x88++0x03 line.long 0x00 "SLOT_CTRL,Slot Control Register" bitfld.long 0x00 12. " DLLSTCHGEN ,Data link layer state changed enable" "Disabled,Enabled" bitfld.long 0x00 11. " EMICTL ,Electromechanical interlock control" "0,1" newline bitfld.long 0x00 10. " PCC ,Power controller control" "0,1" bitfld.long 0x00 8.--9. " PIC ,Power indicator control" ",On,Blink,Off" newline bitfld.long 0x00 6.--7. " AIC ,Attention indicator control" ",On,Blink,Off" bitfld.long 0x00 5. " HPIE ,Hot plug interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " CCIE ,Command completed interrupt enable" "Disabled,Enabled" bitfld.long 0x00 3. " PDCE ,Presence detect changed enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " MRLSCE ,MRL sensor changed enable" "Disabled,Enabled" bitfld.long 0x00 1. " PFDE ,Power fault detected enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " ABPE ,Attention button pressed enable" "Disabled,Enabled" group.word 0x8A++0x05 line.word 0x00 "SLOT_STAT,Slot Status Register" eventfld.word 0x00 8. " DLLSTCHG ,Data link layer state changed" "Not changed,Changed" rbitfld.word 0x00 7. " EM_IL_ST ,Electromechanical interlock status" "Not set,Set" newline rbitfld.word 0x00 6. " PDS ,Presence detect state" "Empty,Card present" rbitfld.word 0x00 5. " MRLSS ,MRL sensor state" "Closed,Open" newline eventfld.word 0x00 4. " CC ,Command completed" "Not completed,Completed" eventfld.word 0x00 3. " PDC ,Presence detect changed" "Not changed,Changed" newline eventfld.word 0x00 2. " MRLSC ,MRL sensor changed" "Not changed,Changed" eventfld.word 0x00 1. " PFD ,Power fault detected" "Not detected,Detected" newline eventfld.word 0x00 0. " ABP ,Attention button pressed" "Not pressed,Pressed" line.word 0x02 "ROOT_CTRL,Root Control Register" bitfld.word 0x02 4. " CRSSWVE ,CRS software visibility enable" "Disabled,Enabled" bitfld.word 0x02 3. " PMEIE ,PME interrupt enable" "Disabled,Enabled" newline bitfld.word 0x02 2. " SEFEE ,System error on fatal error enable" "Disabled,Enabled" bitfld.word 0x02 1. " SENFEE ,System error on non fatal error enable" "Disabled,Enabled" newline bitfld.word 0x02 0. " SECEE ,System error on correctable error enable" "Disabled,Enabled" line.word 0x04 "ROOT_CAP,Root Capabilities Register" bitfld.word 0x04 0. " CRSSWV ,CRS software visibility" "Not visible,Visible" group.long 0x90++0x03 line.long 0x00 "ROOT_STS,Root Status Register" bitfld.long 0x00 17. " PMEP ,PME pending" "Not pending,Pending" bitfld.long 0x00 16. " PMES ,PME status" "0,1" newline hexmask.long.word 0x00 0.--15. 1. " PME_REQ_ID ,PME requester ID" endif rgroup.long 0x94++0x03 line.long 0x00 "DEV_CAP_2,Device Capabilities 2 Register" bitfld.long 0x00 5. " ARI_FWD ,ARI forwarding supported" "Not supported,Supported" bitfld.long 0x00 4. " CPL_TO_DS ,Completion timeout disable supported" "Not supported,Supported" newline bitfld.long 0x00 0.--3. " CPL_TO_RS ,Completion timeout ranges supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.word 0x98++0x01 line.word 0x00 "DEV_CTRL_2,Device Control 2 Register" bitfld.word 0x00 9. " IDO_CPL_EN ,IDO completion enable" "Disabled,Enabled" bitfld.word 0x00 8. " IDO_REQ_EN ,IDO request enable" "Disabled,Enabled" newline bitfld.word 0x00 5. " ARIFE ,ARI forwarding enable" "Disabled,Enabled" bitfld.word 0x00 4. " CPL_TOD ,Completion timeout disable" "No,Yes" newline bitfld.word 0x00 0.--3. " CPL_TO_VAL ,Completion timeout value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x9C++0x03 line.long 0x00 "LINK_CAP_2,Link Capabilities 2 Register" bitfld.long 0x00 8. " CROSSLINK_SUPP ,Crosslink supported" "Not supported,Supported" hexmask.long.byte 0x00 1.--7. 1. " SUPP_LINK_SPEED_VEC ,Supported link speeds vector" group.word 0xA0++0x01 line.word 0x00 "LINK_CTRL_2,Link Control 2 Register" bitfld.word 0x00 12.--15. " CDE ,Compliance preset/De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x00 11. " CSOS ,Compliance SOS" "0,1" newline bitfld.word 0x00 10. " EMC ,Enter modified compliance" "0,1" bitfld.word 0x00 7.--9. " TXM ,Transmit margin" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 6. " SDE ,Selectable de-emphasis" "0,1" bitfld.word 0x00 5. " HWASD ,Hardware autonomous speed disable" "No,Yes" newline bitfld.word 0x00 4. " EC ,Enter compliance" "0,1" bitfld.word 0x00 0.--3. " T_LS ,Target link speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0xA2++0x01 line.word 0x00 "LINK_STS_2,Link Status 2 Register" bitfld.word 0x00 5. " LER ,Link equalization request" "Not requested,Requested" bitfld.word 0x00 4. " EP3S ,Equalization phase 3 successful" "Not successful,Successful" newline bitfld.word 0x00 3. " EP2S ,Equalization phase 2 successful" "Not successful,Successful" bitfld.word 0x00 2. " EP1S ,Equalization phase 1 successful" "Not successful,Successful" newline bitfld.word 0x00 1. " EC ,Equalization complete" "Not completed,Completed" bitfld.word 0x00 0. " DE_LVL ,Current de-emphasis level" "0,1" rgroup.word 0x100++0x01 line.word 0x00 "ADV_ERR_REP_CAP_ID,Advanced Error Reporting Capability ID Register" group.long 0x104++0x17 line.long 0x00 "UNCORR_ERR_STS,Uncorrectable Error Status Register" bitfld.long 0x00 20. " URE ,Unsupported request error status" "Not set,Set" bitfld.long 0x00 19. " ECRCE ,ECRC error status" "Not set,Set" newline bitfld.long 0x00 18. " MTLP ,Malformed TLP status" "Not set,Set" bitfld.long 0x00 17. " RXO ,Receiver overflow status" "Not set,Set" newline bitfld.long 0x00 16. " UC ,Unexpected completion status" "Not set,Set" bitfld.long 0x00 15. " CA ,Completer abort status" "Not set,Set" newline bitfld.long 0x00 14. " CTO ,Completion timeout status" "Not set,Set" bitfld.long 0x00 13. " FCPE ,Flow control protocol error status" "Not set,Set" newline bitfld.long 0x00 12. " PTLP ,Poisoned TLP status" "Not set,Set" bitfld.long 0x00 4. " DLPE ,Data link protocol error status" "Not set,Set" line.long 0x04 "UNCORR_ERR_MSK,Uncorrectable Error Mask Register" bitfld.long 0x04 20. " UREM ,Unsupported request error mask" "Not masked,Masked" bitfld.long 0x04 19. " ECRCEM ,ECRC error mask" "Not masked,Masked" newline bitfld.long 0x04 18. " MTLPM ,Malformed TLP mask" "Not masked,Masked" bitfld.long 0x04 17. " RXOM ,Receiver overflow mask" "Not masked,Masked" newline bitfld.long 0x04 16. " UCM ,Unexpected completion mask" "Not masked,Masked" bitfld.long 0x04 15. " CAM ,Completer abort mask" "Not masked,Masked" newline bitfld.long 0x04 14. " CTOM ,Completion timeout mask" "Not masked,Masked" bitfld.long 0x04 13. " FCPEM ,Flow control protocol error mask" "Not masked,Masked" newline bitfld.long 0x04 12. " PTLPM ,Poisoned TLP mask" "Not masked,Masked" bitfld.long 0x04 4. " DLPEM ,Data link protocol error mask" "Not masked,Masked" line.long 0x08 "UNCORR_ERR_SEV,Uncorrectable Error Severity Register" bitfld.long 0x08 20. " URES ,Unsupported request error severity" "Not set,Set" bitfld.long 0x08 19. " ECRCES ,ECRC error severity" "Not set,Set" newline bitfld.long 0x08 18. " MTLPS ,Malformed TLP severity" "Not set,Set" bitfld.long 0x08 17. " RXOS ,Receiver overflow severity" "Not set,Set" newline bitfld.long 0x08 16. " UCS ,Unexpected completion severity" "Not set,Set" bitfld.long 0x08 15. " CAS ,Completer abort severity" "Not set,Set" newline bitfld.long 0x08 14. " CTOS ,Completion timeout severity" "Not set,Set" bitfld.long 0x08 13. " FCPES ,Flow control protocol error severity" "Not set,Set" newline bitfld.long 0x08 12. " PTLPS ,Poisoned TLP severity" "Not set,Set" bitfld.long 0x08 4. " DLPES ,Data link protocol error severity" "Not set,Set" line.long 0x0C "CORR_ERR_STS,Correctable Error Status Register" bitfld.long 0x0C 13. " ADVNFE ,Advisory non fatal error status" "Not set,Set" bitfld.long 0x0C 12. " RTTO ,Replay timer timeout status" "Not set,Set" newline bitfld.long 0x0C 8. " RNR ,REPLAY_NUM rollover status" "Not set,Set" bitfld.long 0x0C 7. " BDLLP ,Bad DLLP status" "Not set,Set" newline bitfld.long 0x0C 6. " BTLP ,Bad TLP status" "Not set,Set" bitfld.long 0x0C 0. " RXE ,Receiver error status" "Not set,Set" line.long 0x10 "CORR_ERR_MSK,Correctable Error Mask Register" bitfld.long 0x10 13. " ADVNFEM ,Advisory non fatal error mask" "Not masked,Masked" bitfld.long 0x10 12. " RTTOM ,Replay timer timeout mask" "Not masked,Masked" newline bitfld.long 0x10 8. " RNRM ,REPLAY_NUM rollover mask" "Not masked,Masked" bitfld.long 0x10 7. " BDLLPM ,Bad DLLP mask" "Not masked,Masked" newline bitfld.long 0x10 6. " BTLPM ,Bad TLP mask" "Not masked,Masked" bitfld.long 0x10 0. " RXEM ,Receiver error mask" "Not masked,Masked" line.long 0x14 "ADV_ERR_CAP_CTL,Advanced Error Capabilities And Control Register" bitfld.long 0x14 8. " ECRCCE ,ECRC checking enable" "Disabled,Enabled" rbitfld.long 0x14 7. " ECRCCC ,ECRC checking capable" "Not capable,Capable" newline bitfld.long 0x14 6. " ECRCGE ,ECRC generation enable" "Disabled,Enabled" rbitfld.long 0x14 5. " ECRCGC ,ECRC generation capable" "Not capable,Capable" newline rbitfld.long 0x14 0.--4. " FIRST_ERR_PTR ,First error pointer" "0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0A,0x0B,0x0C,0x0D,0x0E,0x0F,0x10,0x11,0x12,0x13,0x14,0x15,0x16,0x17,0x18,0x19,0x1A,0x1B,0x1C,0x1D,0x1E,0x1F" rgroup.long 0x11C++0x0F line.long 0x00 "HDR_LOG1,Header Log Register 1" hexmask.long.byte 0x00 24.--31. 1. " BYTE_0 ,Byte 0" hexmask.long.byte 0x00 16.--23. 1. " BYTE_1 ,Byte 1" newline hexmask.long.byte 0x00 8.--15. 1. " BYTE_2 ,Byte 2" hexmask.long.byte 0x00 0.--7. 1. " BYTE_3 ,Byte 3" line.long 0x04 "HDR_LOG2,Header Log Register 2" hexmask.long.byte 0x04 24.--31. 1. " BYTE_4 ,Byte 4" hexmask.long.byte 0x04 16.--23. 1. " BYTE_5 ,Byte 5" newline hexmask.long.byte 0x04 8.--15. 1. " BYTE_6 ,Byte 6" hexmask.long.byte 0x04 0.--7. 1. " BYTE_7 ,Byte 7" line.long 0x08 "HDR_LOG3,Header Log Register 3" hexmask.long.byte 0x08 24.--31. 1. " BYTE_8 ,Byte 8" hexmask.long.byte 0x08 16.--23. 1. " BYTE_9 ,Byte 9" newline hexmask.long.byte 0x08 8.--15. 1. " BYTE_A ,Byte A" hexmask.long.byte 0x08 0.--7. 1. " BYTE_B ,Byte B" line.long 0x0C "HDR_LOG4,Header Log Register 4" hexmask.long.byte 0x0C 24.--31. 1. " BYTE_C ,Byte C" hexmask.long.byte 0x0C 16.--23. 1. " BYTE_D ,Byte D" newline hexmask.long.byte 0x0C 8.--15. 1. " BYTE_E ,Byte E" hexmask.long.byte 0x0C 0.--7. 1. " BYTE_F ,Byte F" if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x01)) group.long 0x12C++0x07 line.long 0x00 "ROOT_ERR_CMD,Root Error Command Register" bitfld.long 0x00 2. " FERE ,Fatal error reporting enable" "Disabled,Enabled" bitfld.long 0x00 1. " NFERE ,Non fatal error reporting enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " CERE ,Correctable error reporting enable" "Disabled,Enabled" line.long 0x04 "ROOT_ERR_STS,Root Error Status Register" rbitfld.long 0x04 27.--31. " AEIMN ,Advanced error interrupt message number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" eventfld.long 0x04 6. " FEMR ,Fatal error messages received" "Not received,Received" newline eventfld.long 0x04 5. " NFEMR ,First uncorrectable fatal" "Not received,Received" eventfld.long 0x04 4. " FUF ,First uncorrectable fatal" "Not received,Received" newline eventfld.long 0x04 3. " MEFNFR ,Multiple ERR_FATAL/NONFATAL received" "Not received,Received" eventfld.long 0x04 2. " EFNFR ,ERR_FATAL/NONFATAL received" "Not received,Received" newline eventfld.long 0x04 1. " MECR ,Multiple ERR_COR received" "Not received,Received" eventfld.long 0x04 0. " ECR ,ERR_COR received" "Not received,Received" endif rgroup.word 0x134++0x03 line.word 0x00 "CORR_ERR_SRC_ID,Correctable Error Source ID Register" line.word 0x02 "ERR_SRC_ID,Error Source ID Register" rgroup.long 0x148++0x03 line.long 0x00 "SPCIE_CAP_HDR,Secondary Extended Capability Header" hexmask.long.word 0x00 20.--31. 0x10 " NEXT_OFFSET ,Next capability offset" bitfld.long 0x00 16.--19. " CAP_VERSION ,Capability version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--15. 1. " EXT_CAP_ID ,Extended capability ID" group.long 0x14C++0x07 line.long 0x00 "LINK_CTRL3,Link Control 3 Register" bitfld.long 0x00 1. " EQ_REQ_INT_EN ,Link equalization request interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " PERFORM_EQ ,Perform equalization" "Not performed,Performed" line.long 0x04 "LANE_ERR_STS,Lane Error Status Register" eventfld.long 0x04 3. " LANE_ERR_STS[3] ,Lane error status bit 3" "Not detected,Detected" eventfld.long 0x04 2. " [2] ,Lane error status bit 2" "Not detected,Detected" newline eventfld.long 0x04 1. " [1] ,Lane error status bit 1" "Not detected,Detected" eventfld.long 0x04 0. " [0] ,Lane error status bit 0" "Not detected,Detected" rgroup.word 0x154++0x01 line.word 0x00 "G4LECR0,GEN4 Lane Equalization Control Register 0" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x156++0x01 line.word 0x00 "G4LECR1,GEN4 Lane Equalization Control Register 1" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x158++0x01 line.word 0x00 "G4LECR2,GEN4 Lane Equalization Control Register 2" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.word 0x15A++0x01 line.word 0x00 "G4LECR3,GEN4 Lane Equalization Control Register 3" bitfld.word 0x00 12.--14. " USP_RX_PRESET_HINT ,Upstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 8.--11. " USP_TX_PRESET ,Upstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.word 0x00 4.--6. " DSP_RX_PRESET_HINT ,Downstream port receiver preset hint" "0,1,2,3,4,5,6,7" bitfld.word 0x00 0.--3. " DSP_TX_PRESET ,Downstream port transmitter preset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x71C++0x03 line.long 0x00 "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register And Filter Mask 1 Register" hexmask.long.word 0x00 16.--31. 1. " MASK_RADM_1 ,Filter mask 1" bitfld.long 0x00 15. " DISABLE_FC_WD_TIMER ,Disable FC watchdog timer" "No,Yes" newline hexmask.long.word 0x00 0.--10. 1. " SKP_INT_VAL ,SKP interval value" group.long 0x890++0x03 line.long 0x00 "GEN3_RELATED_OFF,Gen 3 Control Register" bitfld.long 0x00 16. " GEN3_EQUALIZATION_DISABLE ,Equalization disable" "No,Yes" bitfld.long 0x00 12. " RXEQ_PH01_EN ,Rx equalization phase 0/1 hold enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " EQ_EIEOS_CNT ,Equalization EIEOS count reset disable" "No,Yes" group.long 0x8BC++0x03 line.long 0x00 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register" bitfld.long 0x00 0. " RO_WR_EN ,Read-only write enable" "Disabled,Enabled" group.long 0x8E0++0x07 line.long 0x00 "COHERENCY_CTRL_1_OFF,Coherency Control Register 1" hexmask.long 0x00 2.--31. 0x04 " CFG_MEMTYPE_BOUNDARY_LOW_ADDR ,Boundary lower address for memory type" bitfld.long 0x00 0. " CFG_MEMTYPE_VALUE ,Memory type" "CCSR/Memory,?..." line.long 0x04 "COHERENCY_CTRL_2_OFF,Coherency Control Register 2" group.long 0x900++0x03 line.long 0x00 "IATU_VIEWPORT_OFF,iATU Index Register" bitfld.long 0x00 31. " REGION_DIR ,Region direction" "Outbound,Inbound" hexmask.long.byte 0x00 0.--7. 1. " REGION_INDEX ,Region index" if ((per.w(ad:0x03900000+0x900)&0x80000000)==(0x00)) group.long 0x904++0x1B line.long 0x00 "IATU_REGION_CTRL_1_OFF_OUTBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,AT" "0,1,2,3" bitfld.long 0x00 9.--10. " ATTR ,Attribute" "0,1,2,3" newline bitfld.long 0x00 8. " TD ,TLP digest" "0,1" bitfld.long 0x00 5.--7. " TC ,Traffic class" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "IATU_REGION_CTRL_2_OFF_OUTBOUND_0,iATU Region Control 2 Register" bitfld.long 0x04 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x04 28. " CFG_SHIFT_MODE ,CFG shift mode" "Off,On" newline hexmask.long.byte 0x04 0.--7. 1. " MSG_CODE ,Message code" line.long 0x08 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x08 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x08 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x0C "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_0,iATU Upper Base Address Register" line.long 0x10 "IATU_LIMIT_ADDR_OFF_OUTBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x14 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_0,iATU Outbound Region#N Lower Offset Address Register" hexmask.long.tbyte 0x14 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x14 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x18 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_0,iATU Upper Target Address Register" else if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 20.--24. " CTRL_1_FUNC_NUM ,Function number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" newline bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" elif ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x01)) group.long 0x904++0x03 line.long 0x00 "IATU_REGION_CTRL_1_OFF_INBOUND_0,iATU Region Control 1 Register" bitfld.long 0x00 16.--17. " AT ,Address translation" "0x00,0x01,0x02,0x03" bitfld.long 0x00 0.--4. " TYPE ,Type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" endif group.long 0x908++0x17 line.long 0x00 "IATU_REGION_CTRL_2_OFF_INBOUND_0,iATU Region Control 2 Register" bitfld.long 0x00 31. " REGION_EN ,Region enable" "Disabled,Enabled" bitfld.long 0x00 30. " MATCH_MODE ,Match mode" "Address/Routing ID,BAR/Accept/Vendor" newline bitfld.long 0x00 19. " FUNC_NUM_MATCH_EN ,Function number match enable" "Disabled,Enabled" bitfld.long 0x00 18. " AT_MATCH_EN ,AT match enable" "Disabled,Enabled" newline bitfld.long 0x00 8.--10. " BAR_NUM ,BAR number" "0,1,2,3,4,5,ROM,?..." line.long 0x04 "IATU_LWR_BASE_ADDR_OFF_INBOUND_0,iATU Lower Base Address Register" hexmask.long.tbyte 0x04 12.--31. 1. " LWR_BASE_RW ,Lower base address bits programmable" rhexmask.long.word 0x04 0.--11. 1. " LWR_BASE_HW ,Lower base address bits hardwired" line.long 0x08 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_0,iATU Upper Base Address Register" line.long 0x0C "IATU_LIMIT_ADDR_OFF_INBOUND_0,iATU Limit Address Register" hexmask.long.tbyte 0x0C 12.--31. 1. " LIMIT_ADDR_RW ,Limit address bits programmable" rhexmask.long.word 0x0C 0.--11. 1. " LIMIT_ADDR_HW ,Limit address bits hardwired" line.long 0x10 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_0,iATU Region#N Lower Offset Address Register" hexmask.long.tbyte 0x10 12.--31. 1. " LWR_TARGET_RW ,Lower target address bits programmable" rhexmask.long.word 0x10 0.--11. 1. " LWR_TARGET_HW ,Lower target address bits hardwired" line.long 0x14 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_0,iATU Upper Target Address Register" endif wgroup.long 0x1010++0x03 line.long 0x00 "BAR0_MASK,Base Address Register 0" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" wgroup.long 0x1014++0x03 line.long 0x00 "BAR1_MASK,Base Address Register 1" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1018++0x03 line.long 0x00 "BAR2_MASK,Base Address Register 2" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) wgroup.long 0x101C++0x03 line.long 0x00 "BAR3_MASK,Base Address Register 3" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1020++0x03 line.long 0x00 "BAR4_MASK,Base Address Register 4" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1024++0x03 line.long 0x00 "BAR5_MASK,Base Address Register 5" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif if ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x00)) wgroup.long 0x1030++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 10. " [10] ,Mask bit 10" "Unmask,Mask" newline bitfld.long 0x00 9. " [9] ,Mask bit 9" "Unmask,Mask" bitfld.long 0x00 8. " [8] ,Mask bit 8" "Unmask,Mask" newline bitfld.long 0x00 7. " [7] ,Mask bit 7" "Unmask,Mask" bitfld.long 0x00 6. " [6] ,Mask bit 6" "Unmask,Mask" newline bitfld.long 0x00 5. " [5] ,Mask bit 5" "Unmask,Mask" bitfld.long 0x00 4. " [4] ,Mask bit 4" "Unmask,Mask" newline bitfld.long 0x00 3. " [3] ,Mask bit 3" "Unmask,Mask" bitfld.long 0x00 2. " [2] ,Mask bit 2" "Unmask,Mask" newline bitfld.long 0x00 1. " [1] ,Mask bit 1" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" elif ((per.w(ad:0x03900000+0x0D)&0x7F)==(0x01)) wgroup.long 0x1038++0x03 line.long 0x00 "EXP_ROM_BAR_MASK_EP,Expansion ROM Base Address Register Mask" bitfld.long 0x00 31. " MASK[31] ,Mask bit 31" "Unmask,Mask" bitfld.long 0x00 30. " [30] ,Mask bit 30" "Unmask,Mask" newline bitfld.long 0x00 29. " [29] ,Mask bit 29" "Unmask,Mask" bitfld.long 0x00 28. " [28] ,Mask bit 28" "Unmask,Mask" newline bitfld.long 0x00 27. " [27] ,Mask bit 27" "Unmask,Mask" bitfld.long 0x00 26. " [26] ,Mask bit 26" "Unmask,Mask" newline bitfld.long 0x00 25. " [25] ,Mask bit 25" "Unmask,Mask" bitfld.long 0x00 24. " [24] ,Mask bit 24" "Unmask,Mask" newline bitfld.long 0x00 23. " [23] ,Mask bit 23" "Unmask,Mask" bitfld.long 0x00 22. " [22] ,Mask bit 22" "Unmask,Mask" newline bitfld.long 0x00 21. " [21] ,Mask bit 21" "Unmask,Mask" bitfld.long 0x00 20. " [20] ,Mask bit 20" "Unmask,Mask" newline bitfld.long 0x00 19. " [19] ,Mask bit 19" "Unmask,Mask" bitfld.long 0x00 18. " [18] ,Mask bit 18" "Unmask,Mask" newline bitfld.long 0x00 17. " [17] ,Mask bit 17" "Unmask,Mask" bitfld.long 0x00 16. " [16] ,Mask bit 16" "Unmask,Mask" newline bitfld.long 0x00 15. " [15] ,Mask bit 15" "Unmask,Mask" bitfld.long 0x00 14. " [14] ,Mask bit 14" "Unmask,Mask" newline bitfld.long 0x00 13. " [13] ,Mask bit 13" "Unmask,Mask" bitfld.long 0x00 12. " [12] ,Mask bit 12" "Unmask,Mask" newline bitfld.long 0x00 11. " [11] ,Mask bit 11" "Unmask,Mask" bitfld.long 0x00 0. " BAR_EN ,BAR enable" "Disable,Enable" endif width 0x0B base ad:0x03980000 width 23. group.long 0x20++0x07 "PEX LUT Registers" line.long 0x00 "PEXLSR,PEX LUT Status Register" eventfld.long 0x00 31. " LUTM ,Lookup table miss" "Not missed,Missed" eventfld.long 0x00 30. " MLUTM ,Multiple lookup table miss" "Not missed,Missed" hexmask.long.word 0x00 0.--15. 1. " CREQID ,Captured REQID" line.long 0x04 "PEXLCR,PEX LUT Control Register" bitfld.long 0x04 31. " DPL ,Default privilege level" "0,1" bitfld.long 0x04 30. " DBMT ,Default bypass memory translation" "0,1" hexmask.long.word 0x04 0.--14. 1. " DICID ,Default isolation context ID" group.long 0x800++0x07 line.long 0x00 "PEXL0UDR,PEX LUT Entry 0 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 0 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 0 of the PEX lookup table" line.long 0x04 "PEXL0LDR,PEX LUT Entry 0 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 0 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 0 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 0 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 0 within the PEX lookup table" group.long 0x808++0x07 line.long 0x00 "PEXL1UDR,PEX LUT Entry 1 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 1 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 1 of the PEX lookup table" line.long 0x04 "PEXL1LDR,PEX LUT Entry 1 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 1 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 1 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 1 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 1 within the PEX lookup table" group.long 0x810++0x07 line.long 0x00 "PEXL2UDR,PEX LUT Entry 2 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 2 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 2 of the PEX lookup table" line.long 0x04 "PEXL2LDR,PEX LUT Entry 2 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 2 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 2 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 2 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 2 within the PEX lookup table" group.long 0x818++0x07 line.long 0x00 "PEXL3UDR,PEX LUT Entry 3 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 3 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 3 of the PEX lookup table" line.long 0x04 "PEXL3LDR,PEX LUT Entry 3 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 3 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 3 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 3 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 3 within the PEX lookup table" group.long 0x820++0x07 line.long 0x00 "PEXL4UDR,PEX LUT Entry 4 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 4 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 4 of the PEX lookup table" line.long 0x04 "PEXL4LDR,PEX LUT Entry 4 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 4 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 4 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 4 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 4 within the PEX lookup table" group.long 0x828++0x07 line.long 0x00 "PEXL5UDR,PEX LUT Entry 5 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 5 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 5 of the PEX lookup table" line.long 0x04 "PEXL5LDR,PEX LUT Entry 5 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 5 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 5 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 5 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 5 within the PEX lookup table" group.long 0x830++0x07 line.long 0x00 "PEXL6UDR,PEX LUT Entry 6 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 6 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 6 of the PEX lookup table" line.long 0x04 "PEXL6LDR,PEX LUT Entry 6 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 6 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 6 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 6 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 6 within the PEX lookup table" group.long 0x838++0x07 line.long 0x00 "PEXL7UDR,PEX LUT Entry 7 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 7 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 7 of the PEX lookup table" line.long 0x04 "PEXL7LDR,PEX LUT Entry 7 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 7 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 7 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 7 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 7 within the PEX lookup table" group.long 0x840++0x07 line.long 0x00 "PEXL8UDR,PEX LUT Entry 8 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 8 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 8 of the PEX lookup table" line.long 0x04 "PEXL8LDR,PEX LUT Entry 8 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 8 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 8 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 8 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 8 within the PEX lookup table" group.long 0x848++0x07 line.long 0x00 "PEXL9UDR,PEX LUT Entry 9 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 9 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 9 of the PEX lookup table" line.long 0x04 "PEXL9LDR,PEX LUT Entry 9 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 9 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 9 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 9 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 9 within the PEX lookup table" group.long 0x850++0x07 line.long 0x00 "PEXL10UDR,PEX LUT Entry 10 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 10 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 10 of the PEX lookup table" line.long 0x04 "PEXL10LDR,PEX LUT Entry 10 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 10 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 10 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 10 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 10 within the PEX lookup table" group.long 0x858++0x07 line.long 0x00 "PEXL11UDR,PEX LUT Entry 11 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 11 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 11 of the PEX lookup table" line.long 0x04 "PEXL11LDR,PEX LUT Entry 11 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 11 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 11 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 11 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 11 within the PEX lookup table" group.long 0x860++0x07 line.long 0x00 "PEXL12UDR,PEX LUT Entry 12 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 12 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 12 of the PEX lookup table" line.long 0x04 "PEXL12LDR,PEX LUT Entry 12 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 12 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 12 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 12 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 12 within the PEX lookup table" group.long 0x868++0x07 line.long 0x00 "PEXL13UDR,PEX LUT Entry 13 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 13 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 13 of the PEX lookup table" line.long 0x04 "PEXL13LDR,PEX LUT Entry 13 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 13 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 13 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 13 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 13 within the PEX lookup table" group.long 0x870++0x07 line.long 0x00 "PEXL14UDR,PEX LUT Entry 14 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 14 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 14 of the PEX lookup table" line.long 0x04 "PEXL14LDR,PEX LUT Entry 14 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 14 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 14 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 14 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 14 within the PEX lookup table" group.long 0x878++0x07 line.long 0x00 "PEXL15UDR,PEX LUT Entry 15 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 15 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 15 of the PEX lookup table" line.long 0x04 "PEXL15LDR,PEX LUT Entry 15 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 15 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 15 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 15 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 15 within the PEX lookup table" group.long 0x880++0x07 line.long 0x00 "PEXL16UDR,PEX LUT Entry 16 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 16 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 16 of the PEX lookup table" line.long 0x04 "PEXL16LDR,PEX LUT Entry 16 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 16 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 16 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 16 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 16 within the PEX lookup table" group.long 0x888++0x07 line.long 0x00 "PEXL17UDR,PEX LUT Entry 17 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 17 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 17 of the PEX lookup table" line.long 0x04 "PEXL17LDR,PEX LUT Entry 17 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 17 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 17 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 17 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 17 within the PEX lookup table" group.long 0x890++0x07 line.long 0x00 "PEXL18UDR,PEX LUT Entry 18 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 18 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 18 of the PEX lookup table" line.long 0x04 "PEXL18LDR,PEX LUT Entry 18 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 18 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 18 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 18 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 18 within the PEX lookup table" group.long 0x898++0x07 line.long 0x00 "PEXL19UDR,PEX LUT Entry 19 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 19 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 19 of the PEX lookup table" line.long 0x04 "PEXL19LDR,PEX LUT Entry 19 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 19 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 19 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 19 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 19 within the PEX lookup table" group.long 0x8A0++0x07 line.long 0x00 "PEXL20UDR,PEX LUT Entry 20 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 20 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 20 of the PEX lookup table" line.long 0x04 "PEXL20LDR,PEX LUT Entry 20 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 20 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 20 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 20 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 20 within the PEX lookup table" group.long 0x8A8++0x07 line.long 0x00 "PEXL21UDR,PEX LUT Entry 21 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 21 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 21 of the PEX lookup table" line.long 0x04 "PEXL21LDR,PEX LUT Entry 21 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 21 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 21 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 21 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 21 within the PEX lookup table" group.long 0x8B0++0x07 line.long 0x00 "PEXL22UDR,PEX LUT Entry 22 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 22 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 22 of the PEX lookup table" line.long 0x04 "PEXL22LDR,PEX LUT Entry 22 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 22 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 22 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 22 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 22 within the PEX lookup table" group.long 0x8B8++0x07 line.long 0x00 "PEXL23UDR,PEX LUT Entry 23 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 23 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 23 of the PEX lookup table" line.long 0x04 "PEXL23LDR,PEX LUT Entry 23 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 23 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 23 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 23 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 23 within the PEX lookup table" group.long 0x8C0++0x07 line.long 0x00 "PEXL24UDR,PEX LUT Entry 24 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 24 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 24 of the PEX lookup table" line.long 0x04 "PEXL24LDR,PEX LUT Entry 24 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 24 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 24 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 24 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 24 within the PEX lookup table" group.long 0x8C8++0x07 line.long 0x00 "PEXL25UDR,PEX LUT Entry 25 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 25 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 25 of the PEX lookup table" line.long 0x04 "PEXL25LDR,PEX LUT Entry 25 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 25 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 25 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 25 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 25 within the PEX lookup table" group.long 0x8D0++0x07 line.long 0x00 "PEXL26UDR,PEX LUT Entry 26 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 26 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 26 of the PEX lookup table" line.long 0x04 "PEXL26LDR,PEX LUT Entry 26 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 26 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 26 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 26 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 26 within the PEX lookup table" group.long 0x8D8++0x07 line.long 0x00 "PEXL27UDR,PEX LUT Entry 27 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 27 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 27 of the PEX lookup table" line.long 0x04 "PEXL27LDR,PEX LUT Entry 27 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 27 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 27 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 27 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 27 within the PEX lookup table" group.long 0x8E0++0x07 line.long 0x00 "PEXL28UDR,PEX LUT Entry 28 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 28 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 28 of the PEX lookup table" line.long 0x04 "PEXL28LDR,PEX LUT Entry 28 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 28 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 28 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 28 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 28 within the PEX lookup table" group.long 0x8E8++0x07 line.long 0x00 "PEXL29UDR,PEX LUT Entry 29 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 29 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 29 of the PEX lookup table" line.long 0x04 "PEXL29LDR,PEX LUT Entry 29 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 29 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 29 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 29 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 29 within the PEX lookup table" group.long 0x8F0++0x07 line.long 0x00 "PEXL30UDR,PEX LUT Entry 30 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 30 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 30 of the PEX lookup table" line.long 0x04 "PEXL30LDR,PEX LUT Entry 30 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 30 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 30 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 30 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 30 within the PEX lookup table" group.long 0x8F8++0x07 line.long 0x00 "PEXL31UDR,PEX LUT Entry 31 Upper Data Register" hexmask.long.word 0x00 16.--31. 1. " REQID ,The request ID of entry 31 of the PEX lookup table" hexmask.long.word 0x00 0.--15. 1. " MASK ,The mask field of entry 31 of the PEX lookup table" line.long 0x04 "PEXL31LDR,PEX LUT Entry 31 Lower Data Register" bitfld.long 0x04 31. " EN ,The enable field of entry 31 within the PEX lookup table" "Disabled,Enabled" bitfld.long 0x04 30. " PL ,The privilege level field of entry 31 within the PEX lookup table" "0,1" bitfld.long 0x04 29. " BMT ,The bypass memory translation of entry 31 within the PEX lookup table" "0,1" hexmask.long.word 0x04 0.--14. 1. " ICID ,The isolation context ID field of entry 31 within the PEX lookup table" group.long 0x40014++0x03 line.long 0x00 "PEX_PF0_CONFIG,PEX PF0 Config" bitfld.long 0x00 9. " SDT ,Sense device type" "EP mode,RC mode" bitfld.long 0x00 0. " CFG_READY ,Config ready" "Not ready,Ready" rgroup.long (0x40014+0x04)++0x03 line.long 0x00 "PEX_PF0_INT_STAT,PEX PF0 Interrupt Status" bitfld.long 0x00 15. " INTM ,Per PF dependent message interrupt is pending" "No pending,Pending" bitfld.long 0x00 14. " INTE ,Per PF dependent error interrupt is pending" "No pending,Pending" group.long (0x40014+0x08)++0x13 line.long 0x00 "PEX_PF0_INT_CTRL,PEX PF0 Interrupt Control" bitfld.long 0x00 31. " PPMEIC ,PAB PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 30. " LPMEIC ,LUT PME interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 29. " PERRIC ,PAB error interrupt control" "Routed to SPI,Routed to INTA" bitfld.long 0x00 28. " PAERIC ,PCIe AER interrupt control" "Routed to SPI,Routed to INTA" line.long 0x04 "PEX_PF0_PME_MES_DR,PEX PF0 PCIE PME And Message Detect Register" eventfld.long 0x04 15. " PTO ,PME turn off detected" "Not detected,Detected" eventfld.long 0x04 13. " ENL23 ,PCIe core entered L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 12. " EXL23 ,PCIe core exited L2/L3 ready state" "Not detected,Detected" eventfld.long 0x04 10. " HRD ,Hot reset was detected" "Not detected,Detected" newline eventfld.long 0x04 9. " LDD ,Link down detected" "Not detected,Detected" eventfld.long 0x04 7. " LUD ,Link up detected" "Not detected,Detected" line.long 0x08 "PEX_PF0_PME_MES_DISR,PEX PF0 PCIE PME And Message Disable Register" bitfld.long 0x08 15. " PTOD ,PME turn off detect disable" "No,Yes" bitfld.long 0x08 13. " ENL23D ,Entered L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 12. " EXL23D ,Exited L2/L3 ready state detect disable" "No,Yes" bitfld.long 0x08 10. " HRDD ,Hot reset detect disable" "No,Yes" newline bitfld.long 0x08 9. " LDDD ,Link down detect disable" "No,Yes" bitfld.long 0x08 7. " LUDD ,Link up detect disable" "No,Yes" line.long 0x0C "PEX_PF0_PME_MES_IER,PEX PF0 PCIE PME And Message Interrupt Enable Register" bitfld.long 0x0C 15. " PTOIE ,PME turn off detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 13. " ENL23IE ,Entered L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 12. " EXL23IE ,Exited L2/L3 ready state detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 10. " HRDIE ,Hot reset detect interrupt enable" "Disabled,Enabled" newline bitfld.long 0x0C 9. " LDDIE ,Link down detect interrupt enable" "Disabled,Enabled" bitfld.long 0x0C 7. " LUDIE ,Link up detect interrupt enable" "Disabled,Enabled" line.long 0x10 "PEX_PF0_MCR,PEX PF0 PCIE Message Command Register" bitfld.long 0x10 4. " INTX ,INTx command assert" "Not asserted,Asserted" bitfld.long 0x10 2. " SPMES ,PM_PME command send" "Not sent,Sent" bitfld.long 0x10 1. " EXL2S ,Exit L2 state command" "Not generated,Generated" bitfld.long 0x10 0. " PTOMR ,PME turn off message generate" "Not generated,Generated" group.long (0x40014+0x12C)++0x03 line.long 0x00 "PEX_PF0_RBP_ADDR_U,PEX PF0 Route By Port Address Upper Register" hexmask.long.word 0x00 16.--31. 0x01 " ADDR_U ,Upper 16 bit of the PCIE slave device used for the outbound transactions" group.long (0x40014+0x1EC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " ME ,Multiple errors of same type" "Not set,Set" eventfld.long 0x00 23. " PCT ,Completion timeout" "Not detected,Detected" eventfld.long 0x00 21. " PCAC ,Completer abort detected" "Not detected,Detected" eventfld.long 0x00 19. " CDNSC ,Completion with data not successful detected" "Not detected,Detected" newline eventfld.long 0x00 12. " UREP ,Unsupported request completion detected" "Not detected,Detected" group.long (0x40014+0x1F4)++0x03 line.long 0x00 "PEX_PF0_ERR_EN,PEX PF0 Error Interrupt Enable Register" bitfld.long 0x00 23. " PCTIE ,Completion timeout interrupt enable" "Disabled,Enabled" bitfld.long 0x00 21. " PCACIE ,Completer abort interrupt enable" "Disabled,Enabled" bitfld.long 0x00 19. " CDNSCIE ,Completion with data not successful interrupt enable" "Disabled,Enabled" bitfld.long 0x00 12. " UREPIE ,Unsupported request completion interrupt enable" "Disabled,Enabled" group.long (0x40014+0x1FC)++0x03 line.long 0x00 "PEX_PF0_ERR_DR,PEX PF0 Error Detect Register" eventfld.long 0x00 31. " MED ,Multiple errors of same type detection disable" "No,Yes" bitfld.long 0x00 23. " PCTD ,Completion timeout detection disable" "No,Yes" bitfld.long 0x00 21. " PCACD ,Completer abort detection disable" "No,Yes" bitfld.long 0x00 19. " CDNSCD ,Completion with data not successful detection disable" "No,Yes" newline bitfld.long 0x00 12. " UREPD ,Unsupported request completion detection disable" "No,Yes" if (((per.l(ad:0x03980000+0x40014+0x7E8))&0x80000000)==0x80000000) group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" bitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" else group.long (0x40014+0x7E8)++0x03 line.long 0x00 "PEX_PF0_DBG,PEX PF0 Debug Register" bitfld.long 0x00 31. " WE ,Write enable" "Disabled,Enabled" rbitfld.long 0x00 30. " SR ,Soft reset" "No reset,Reset" rhexmask.long.byte 0x00 0.--5. 1. "LTSSM,Link training status state machine (LTSSM) status" endif width 0x0B else textline "-----------------------------------" textline "PCIe 6 disabled by DC.DEVDISR3.PEX6" textline "-----------------------------------" endif tree.end endif tree.end tree "PMU (Power Management Unit)" base ad:0x01E30000 width 21. rgroup.long 0x40++0x03 line.long 0x00 "TWAITSR0,Core Wait Status Register" bitfld.long 0x00 15. " T_WAITING[15] ,Core 15 wait status" "Not in wait,In Wait" bitfld.long 0x00 14. " [14] ,Core 14 wait status" "Not in wait,In Wait" bitfld.long 0x00 13. " [13] ,Core 13 wait status" "Not in wait,In Wait" bitfld.long 0x00 12. " [12] ,Core 12 wait status" "Not in wait,In Wait" newline bitfld.long 0x00 11. " [11] ,Core 11 wait status" "Not in wait,In Wait" bitfld.long 0x00 10. " [10] ,Core 10 wait status" "Not in wait,In Wait" bitfld.long 0x00 9. " [9] ,Core 9 wait status" "Not in wait,In Wait" bitfld.long 0x00 8. " [8] ,Core 8 wait status" "Not in wait,In Wait" newline bitfld.long 0x00 7. " [7] ,Core 7 wait status" "Not in wait,In Wait" bitfld.long 0x00 6. " [6] ,Core 6 wait status" "Not in wait,In Wait" bitfld.long 0x00 5. " [5] ,Core 5 wait status" "Not in wait,In Wait" bitfld.long 0x00 4. " [4] ,Core 4 wait status" "Not in wait,In Wait" newline bitfld.long 0x00 3. " [3] ,Core 3 wait status" "Not in wait,In Wait" bitfld.long 0x00 2. " [2] ,Core 2 wait status" "Not in wait,In Wait" bitfld.long 0x00 1. " [1] ,Core 1 wait status" "Not in wait,In Wait" bitfld.long 0x00 0. " [0] ,Core 0 wait status" "Not in wait,In Wait" group.long 0x810++0x03 line.long 0x00 "PCWFESR,Physical Core WFE Status Register" bitfld.long 0x00 15. " PC[15] ,Physical core 15 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 14. " [14] ,Physical core 14 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 13. " [13] ,Physical core 13 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 12. " [12] ,Physical core 12 WFE status" "Not in WFE,In WFE" newline bitfld.long 0x00 11. " [11] ,Physical core 11 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 10. " [10] ,Physical core 10 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 9. " [9] ,Physical core 9 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 8. " [8] ,Physical core 8 WFE status" "Not in WFE,In WFE" newline bitfld.long 0x00 7. " [7] ,Physical core 7 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 6. " [6] ,Physical core 6 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 5. " [5] ,Physical core 5 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 4. " [4] ,Physical core 4 WFE status" "Not in WFE,In WFE" newline bitfld.long 0x00 3. " [3] ,Physical core 3 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 2. " [2] ,Physical core 2 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 1. " [1] ,Physical core 1 WFE status" "Not in WFE,In WFE" bitfld.long 0x00 0. " [0] ,Physical core 0 WFE status" "Not in WFE,In WFE" group.long 0x1000++0x03 line.long 0x00 "CLPCL10SR,Cluster PCL10 (Stop) Status Register" bitfld.long 0x00 7. " CL[7] ,Cluster 7 PCL10 status" "Not in PCL10,In PCL10" bitfld.long 0x00 6. " [6] ,Cluster 6 PCL10 status" "Not in PCL10,In PCL10" bitfld.long 0x00 5. " [5] ,Cluster 5 PCL10 status" "Not in PCL10,In PCL10" bitfld.long 0x00 4. " [4] ,Cluster 4 PCL10 status" "Not in PCL10,In PCL10" newline bitfld.long 0x00 3. " [3] ,Cluster 3 PCL10 status" "Not in PCL10,In PCL10" bitfld.long 0x00 2. " [2] ,Cluster 2 PCL10 status" "Not in PCL10,In PCL10" bitfld.long 0x00 1. " [1] ,Cluster 1 PCL10 status" "Not in PCL10,In PCL10" bitfld.long 0x00 0. " [0] ,Cluster 0 PCL10 status" "Not in PCL10,In PCL10" group.long 0x100C++0x03 line.long 0x00 "CLPCL10PSR,Cluster PCL10 (Stop) Previous Status Register" eventfld.long 0x00 7. " CL_P_PCL10_[7] ,Cluster PCL10 previous status" "Not in PCL10,In PCL10" eventfld.long 0x00 6. " [6] ,Cluster PCL10 previous status" "Not in PCL10,In PCL10" eventfld.long 0x00 5. " [5] ,Cluster PCL10 previous status" "Not in PCL10,In PCL10" eventfld.long 0x00 4. " [4] ,Cluster PCL10 previous status" "Not in PCL10,In PCL10" newline eventfld.long 0x00 3. " [3] ,Cluster PCL10 previous status" "Not in PCL10,In PCL10" eventfld.long 0x00 2. " [2] ,Cluster PCL10 previous status" "Not in PCL10,In PCL10" eventfld.long 0x00 1. " [1] ,Cluster PCL10 previous status" "Not in PCL10,In PCL10" eventfld.long 0x00 0. " [0] ,Cluster PCL10 previous status" "Not in PCL10,In PCL10" group.long 0x1060++0x03 line.long 0x00 "CLWAKEEVENTDLYR,Cluster Wakeup Event Delay Register" bitfld.long 0x00 16.--19. " EVENTI_DLY ,EVENTI delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " CLREXMONREQ_DLY ,CLREXMONREQ delay" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline group.long 0x1100++0x03 line.long 0x00 "CLAINACT_SET/CLR,Cluster ACP AINACTS Set/Clear Control Register" setclrfld.long 0x00 7. 0x00 7. 0x04 7. " CLAINACT[7] ,Indicate the cluster ACP port 7 is inactive" "Active,Inactive" setclrfld.long 0x00 6. 0x00 6. 0x04 6. " [6] ,Indicate the cluster ACP port 6 is inactive" "Active,Inactive" setclrfld.long 0x00 5. 0x00 5. 0x04 5. " [5] ,Indicate the cluster ACP port 5 is inactive" "Active,Inactive" setclrfld.long 0x00 4. 0x00 4. 0x04 4. " [4 ,Indicate the cluster ACP port 4 is inactive" "Active,Inactive" newline setclrfld.long 0x00 3. 0x00 3. 0x04 3. " [3] ,Indicate the cluster ACP port 3 is inactive" "Active,Inactive" setclrfld.long 0x00 2. 0x00 2. 0x04 2. " [2] ,Indicate the cluster ACP port 2 is inactive" "Active,Inactive" setclrfld.long 0x00 1. 0x00 1. 0x04 1. " [1] ,Indicate the cluster ACP port 1 is inactive" "Active,Inactive" setclrfld.long 0x00 0. 0x00 0. 0x04 0. " [0] ,Indicate the cluster ACP port 0 is inactive" "Active,Inactive" group.long 0x1108++0x03 line.long 0x00 "CLSINACT_SET/CLR,Cluster Skyros SINACT Set/Clear Control Register" setclrfld.long 0x00 7. 0x00 7. 0x04 7. " CLSINACT[7] ,Indicates cluster 7 skyros port is inactive" "Active,Inactive" setclrfld.long 0x00 6. 0x00 6. 0x04 6. " [6] ,Indicates cluster 6 skyros port is inactive" "Active,Inactive" setclrfld.long 0x00 5. 0x00 5. 0x04 5. " [5] ,Indicates cluster 5 skyros port is inactive" "Active,Inactive" setclrfld.long 0x00 4. 0x00 4. 0x04 4. " [4] ,Indicates cluster 4 skyros port is inactive" "Active,Inactive" newline setclrfld.long 0x00 3. 0x00 3. 0x04 3. " [3] ,Indicates cluster 3 skyros port is inactive" "Active,Inactive" setclrfld.long 0x00 2. 0x00 2. 0x04 2. " [2] ,Indicates cluster 2 skyros port is inactive" "Active,Inactive" setclrfld.long 0x00 1. 0x00 1. 0x04 1. " [1] ,Indicates cluster 1 skyros port is inactive" "Active,Inactive" setclrfld.long 0x00 0. 0x00 0. 0x04 0. " [0] ,Indicates cluster 0 skyros port is inactive" "Active,Inactive" group.long 0x1110++0x03 line.long 0x00 "CLL2FLUSHSR_SET/CLR,Cluster L2 FLUSH Control Set/Clear Register" setclrfld.long 0x00 7. 0x00 7. 0x04 7. " CLL2FLUSH[7] ,Request the cluster 7 to flush its L2 cache" "Not requested,Requested" setclrfld.long 0x00 6. 0x00 6. 0x04 6. " [6] ,Request the cluster 6 to flush its L2 cache" "Not requested,Requested" setclrfld.long 0x00 5. 0x00 5. 0x04 5. " [5] ,Request the cluster 5 to flush its L2 cache" "Not requested,Requested" setclrfld.long 0x00 4. 0x00 4. 0x04 4. " [4] ,Request the cluster 4 to flush its L2 cache" "Not requested,Requested" newline setclrfld.long 0x00 3. 0x00 3. 0x04 3. " [3] ,Request the cluster 3 to flush its L2 cache" "Not requested,Requested" setclrfld.long 0x00 2. 0x00 2. 0x04 2. " [2] ,Request the cluster 2 to flush its L2 cache" "Not requested,Requested" setclrfld.long 0x00 1. 0x00 1. 0x04 1. " [1] ,Request the cluster 1 to flush its L2 cache" "Not requested,Requested" setclrfld.long 0x00 0. 0x00 0. 0x04 0. " [0] ,Request the cluster 0 to flush its L2 cache" "Not requested,Requested" group.long 0x1118++0x03 line.long 0x00 "CLL2FLUSHSR,Cluster L2 FLUSH Done Status Register" bitfld.long 0x00 7. " CL[7] ,Cluster 7 L2 flush status" "Not in state,In state" bitfld.long 0x00 6. " [6] ,Cluster 6 L2 flush status" "Not in state,In state" bitfld.long 0x00 5. " [5] ,Cluster 5 L2 flush status" "Not in state,In state" bitfld.long 0x00 4. " [4] ,Cluster 4 L2 flush status" "Not in state,In state" newline bitfld.long 0x00 3. " [3] ,Cluster 3 L2 flush status" "Not in state,In state" bitfld.long 0x00 2. " [2] ,Cluster 2 L2 flush status" "Not in state,In state" bitfld.long 0x00 1. " [1] ,Cluster 1 L2 flush status" "Not in state,In state" bitfld.long 0x00 0. " [0] ,Cluster 0 L2 flush status" "Not in state,In state" group.long 0x18A0++0x03 line.long 0x00 "CLTBENR,Cluster Time Base Enable Register" bitfld.long 0x00 7. " CLTBEN[7] ,Cluster timebase enable" "Disabled,Enabled" bitfld.long 0x00 6. " [6] ,Cluster timebase enable" "Disabled,Enabled" bitfld.long 0x00 5. " [5] ,Cluster timebase enable" "Disabled,Enabled" bitfld.long 0x00 4. " [4] ,Cluster timebase enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " [3] ,Cluster timebase enable" "Disabled,Enabled" bitfld.long 0x00 2. " [2] ,Cluster timebase enable" "Disabled,Enabled" bitfld.long 0x00 1. " [1] ,Cluster timebase enable" "Disabled,Enabled" bitfld.long 0x00 0. " [0] ,Cluster timebase enable" "Disabled,Enabled" group.long 0x4000++0x03 line.long 0x00 "POWMGTCSR,Power Management Control And Status Register" bitfld.long 0x00 31. " LYNX_PD ,Lynx and protocol converter powerdown control" "No powerdown,Powerdown" group.long 0x4040++0x07 line.long 0x00 "IPPDEXPCR0,IP Powerdown Exception Control Register 0" sif !cpuis("LX2??2A") bitfld.long 0x00 13. " USB2 ,USB2 powerdown exception" "Powerdown,No powerdown" endif newline bitfld.long 0x00 12. " USB1 ,USB1 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x00 2. " ESDHC1 ,eSDHC1 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x00 0. " SAP ,SAP powerdown exception" "Powerdown,No powerdown" line.long 0x04 "IPPDEXPCR1,IP Powerdown Exception Control Register 1" bitfld.long 0x04 17. " TSN_MAC[18] ,TSN_MAC18 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 16. " [17] ,TSN_MAC17 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 15. " [16] ,TSN_MAC16 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 14. " [15] ,TSN_MAC15 powerdown exception" "Powerdown,No powerdown" newline bitfld.long 0x04 13. " [14] ,TSN_MAC14 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 12. " [13] ,TSN_MAC13 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 11. " [12] ,TSN_MAC12 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 10. " [11] ,TSN_MAC11 powerdown exception" "Powerdown,No powerdown" newline sif !cpuis("LX2??2A") bitfld.long 0x04 9. " [10] ,TSN_MAC10 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 8. " [9] ,TSN_MAC9 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 7. " [8] ,TSN_MAC8 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 6. " [7] ,TSN_MAC7 powerdown exception" "Powerdown,No powerdown" newline endif bitfld.long 0x04 5. " [6] ,TSN_MAC6 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 4. " [5] ,TSN_MAC5 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 3. " [4] ,TSN_MAC4 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 2. " [3] ,TSN_MAC3 powerdown exception" "Powerdown,No powerdown" newline bitfld.long 0x04 1. " [2] ,TSN_MAC2 powerdown exception" "Powerdown,No powerdown" bitfld.long 0x04 0. " [1] ,TSN_MAC1 powerdown exception" "Powerdown,No powerdown" group.long 0x4054++0x03 line.long 0x00 "IPPDEXPCR5,IP Powerdown Exception Control Register 5" bitfld.long 0x00 0. " WRIOP ,WRIOP powerdown exception" "Powerdown,No powerdown" group.long 0xFBF8++0x07 line.long 0x00 "IPREV1R,IP Revision 1 Register" hexmask.long.word 0x00 16.--31. 1. " IP_ID ,IP ID" hexmask.long.byte 0x00 8.--15. 1. " IP_MJ ,IP MJ" hexmask.long.byte 0x00 0.--7. 1. " IP_MN ,IP MN" line.long 0x04 "IPREV2R,IP Revision 2 Register" hexmask.long.byte 0x04 16.--23. 1. " IP_INT ,IP INT" hexmask.long.byte 0x04 0.--7. 1. " IP_CFG ,IP CFG" width 0x0B tree.end tree "qDMA (Queue Direct Memory Access Controller)" base ad:0x08380000 width 10. if (((per.l(ad:0x08380000+0x04))&0x80000000)==0x00) group.long 0x00++0x03 line.long 0x00 "DMR,DMA Mode Register" bitfld.long 0x00 31. " SR ,Soft reset" "No effect,Reset" bitfld.long 0x00 30. " DQD ,Dequeue disable" "No,Yes" bitfld.long 0x00 29. " GBT ,Global bandwidth throttle enable" "Disabled,Enabled" bitfld.long 0x00 28. " DSD ,Snoop disable for memory descriptor access" "No,Yes" bitfld.long 0x00 27. " TCD ,Throttle control disable" "No,Yes" hexmask.long.byte 0x00 16.--23. 1. " WQ_DLA ,Work queue deadlock avoidance" bitfld.long 0x00 12.--13. " WBT ,Write buffer threshold" "25%,50%,75%,100%" bitfld.long 0x00 8.--10. " DQOS ,QoS for memory descriptor access" "Lowest,1,2,3,4,5,6,Highest" newline bitfld.long 0x00 1. " HED[1] ,Reflects state of hardware engine 1" "Disabled,Enabled" bitfld.long 0x00 0. " [0] ,Reflects state of hardware engine 0" "Disabled,Enabled" else group.long 0x00++0x03 line.long 0x00 "DMR,DMA Mode Register" bitfld.long 0x00 31. " SR ,Soft reset" "No effect,Reset" bitfld.long 0x00 30. " DQD ,Dequeue disable" "No,Yes" bitfld.long 0x00 29. " GBT ,Global bandwidth throttle enable" "Disabled,Enabled" bitfld.long 0x00 28. " DSD ,Snoop disable for memory descriptor access" "No,Yes" bitfld.long 0x00 27. " TCD ,Throttle control disable" "No,Yes" hexmask.long.byte 0x00 16.--23. 1. " WQ_DLA ,Work queue deadlock avoidance" bitfld.long 0x00 12.--13. " WBT ,Write buffer threshold" "25%,50%,75%,100%" bitfld.long 0x00 8.--10. " DQOS ,QoS for memory descriptor access" "Lowest,1,2,3,4,5,6,Highest" newline rbitfld.long 0x00 1. " HED[1] ,Reflects state of hardware engine 1" "Disabled,Enabled" rbitfld.long 0x00 0. " [0] ,Reflects state of hardware engine 0" "Disabled,Enabled" endif rgroup.long 0x04++0x03 line.long 0x00 "DSR_P,DMA Status Register" bitfld.long 0x00 31. " DB ,DMA busy" "Idle,Busy" group.long 0x14++0x03 line.long 0x00 "DLAMQR,DMA Legacy Access Management Qualifier Register" bitfld.long 0x00 31. " PL ,Privilege level" "0,1" bitfld.long 0x00 30. " VA ,Virtual address" "0,1" bitfld.long 0x00 29. " BMT ,Bypass memory translation" "0,1" hexmask.long.word 0x00 0.--14. 1. " ICID ,Isolation context ID for data transactions issued in legacy mode" group.long 0x60++0x03 line.long 0x00 "DEWQAR0,DMA Engine Work Queue Assignment Register 0" hexmask.long.byte 0x00 8.--15. 1. " DMA_[1]_WQ ,DMA engine 1 work queue 7-0 execution privileges" hexmask.long.byte 0x00 0.--7. 1. " [0] ,DMA engine 0 work queue 7-0 execution privileges" group.long 0x70++0x0B line.long 0x00 "DWQBWCR0,DMA Work Queue Bandwidth Control Register 0" bitfld.long 0x00 28.--30. " WQ[7] ,Work queue 7 intra bandwidth control" "Unlimited,256B,512B,1024B,2048B,?..." bitfld.long 0x00 24.--26. " [6] ,Work queue 6 intra bandwidth control" "Unlimited,256B,512B,1024B,2048B,?..." bitfld.long 0x00 20.--22. " [5] ,Work queue 5 intra bandwidth control" "Unlimited,256B,512B,1024B,2048B,?..." bitfld.long 0x00 16.--18. " [4] ,Work queue 4 intra bandwidth control" "Unlimited,256B,512B,1024B,2048B,?..." bitfld.long 0x00 12.--14. " [3] ,Work queue 3 intra bandwidth control" "Unlimited,256B,512B,1024B,2048B,?..." bitfld.long 0x00 8.--10. " [2] ,Work queue 2 intra bandwidth control" "Unlimited,256B,512B,1024B,2048B,?..." bitfld.long 0x00 4.--6. " [1] ,Work queue 1 intra bandwidth control" "Unlimited,256B,512B,1024B,2048B,?..." bitfld.long 0x00 0.--2. " [0] ,Work queue 0 intra bandwidth control" "Unlimited,256B,512B,1024B,2048B,?..." line.long 0x04 "DWQBWCR1,DMA Work Queue Bandwidth Control Register 1" bitfld.long 0x04 28.--30. " WQ[7] ,Work queue 7 inter bandwidth control" "Disabled,256B,512B,1024B,2048B,?..." bitfld.long 0x04 24.--26. " [6] ,Work queue 6 inter bandwidth control" "Disabled,256B,512B,1024B,2048B,?..." bitfld.long 0x04 20.--22. " [5] ,Work queue 5 inter bandwidth control" "Disabled,256B,512B,1024B,2048B,?..." bitfld.long 0x04 16.--18. " [4] ,Work queue 4 inter bandwidth control" "Disabled,256B,512B,1024B,2048B,?..." bitfld.long 0x04 12.--14. " [3] ,Work queue 3 inter bandwidth control" "Disabled,256B,512B,1024B,2048B,?..." bitfld.long 0x04 8.--10. " [2] ,Work queue 2 inter bandwidth control" "Disabled,256B,512B,1024B,2048B,?..." bitfld.long 0x04 4.--6. " [1] ,Work queue 1 inter bandwidth control" "Disabled,256B,512B,1024B,2048B,?..." line.long 0x08 "DPWQAR,DMA Prefetch Work Queue Allocation Register" bitfld.long 0x08 28.--30. " WQ[7] ,Work queue 7 dedicated prefetch resources" "0 entries,1 entry,2 entries,3 entries,4 entries,?..." bitfld.long 0x08 24.--26. " [6] ,Work queue 6 dedicated prefetch resources" "0 entries,1 entry,2 entries,3 entries,4 entries,?..." bitfld.long 0x08 20.--22. " [5] ,Work queue 5 dedicated prefetch resources" "0 entries,1 entry,2 entries,3 entries,4 entries,?..." bitfld.long 0x08 16.--18. " [4] ,Work queue 4 dedicated prefetch resources" "0 entries,1 entry,2 entries,3 entries,4 entries,?..." bitfld.long 0x08 12.--14. " [3] ,Work queue 3 dedicated prefetch resources" "0 entries,1 entry,2 entries,3 entries,4 entries,?..." bitfld.long 0x08 8.--10. " [2] ,Work queue 2 dedicated prefetch resources" "0 entries,1 entry,2 entries,3 entries,4 entries,?..." bitfld.long 0x08 4.--6. " [1] ,Work queue 1 dedicated prefetch resources" "0 entries,1 entry,2 entries,3 entries,4 entries,?..." bitfld.long 0x08 0.--2. " [0] ,Work queue 1 dedicated prefetch resources" "0 entries,1 entry,2 entries,3 entries,4 entries,?..." rgroup.long 0x10004++0x03 line.long 0x00 "DSR_M,DMA Status Register" bitfld.long 0x00 31. " DB ,DMA busy" "Idle,Busy" group.long 0x10040++0x07 line.long 0x00 "DGBTR,DMA Global Bandwidth Throttle Register" bitfld.long 0x00 16.--19. " TBC ,Token bucket capacity" ",,,,,,,,256 bytes,512 bytes,1K bytes,2K bytes,4K bytes,?..." bitfld.long 0x00 8.--10. " MULT ,Token addition rate multiplier" "1,2,4,8,16,32,64,128" bitfld.long 0x00 0.--2. " SCALE ,Token addition rate scaler" "1,2,4,8,16,32,64,128" newline line.long 0x04 "DDPCR,DMA Debug Port Control Register" bitfld.long 0x04 16.--17. " PMPC ,Performance monitor port control" "0,1,2,3" bitfld.long 0x04 0.--4. " DDPC ,Descriptor prefetch" "Dequeue request,Enqueue request,AXI address read,AXI read data 255-128,AXI read data 127-0,Internal state,,,,Engine 0 AXI address read channel,Engine 0 AXI address write channel,Engine 0 AXI read data channel bits 127-0,Engine 0 AXI read data channel bits 255-128,Engine 0 AXI write data channel bits 127-0,Engine 0 AXI write data channel bits 255-128,Internal state,?..." newline width 10. if (((per.l(ad:0x08380000+0x10100))&0x40000)==0x40000) group.long 0x10100++0x03 line.long 0x00 "DLMR,DMA Legacy Mode Register" bitfld.long 0x00 24.--27. " PC ,Pause control" "1,2,4,8,16,32,64,128,256,512,1028,,,,,Disabled" newline bitfld.long 0x00 21. " EMP ,External master pause mode" "Disabled,Enabled" bitfld.long 0x00 18. " EMC ,External master control" "Disabled,Enabled" bitfld.long 0x00 16.--17. " DAHTS ,Destination address hold transfer size" "1,2,4,8" newline bitfld.long 0x00 14.--15. " SAHTS ,Source address hold transfer size" "1,2,4,8" bitfld.long 0x00 13. " DAHE ,Destination address hold enable" "Disabled,Enabled" bitfld.long 0x00 12. " SAHE ,Source address hold enable" "Disabled,Enabled" bitfld.long 0x00 10. " SRW ,Single register write" "Disabled,Enabled" newline bitfld.long 0x00 9. " EOSIE ,End-of-segments interrupt enable" "Not generated,Generated" bitfld.long 0x00 6. " EIE ,Error interrupt enable" "Not generated,Generated" bitfld.long 0x00 4. " SWSM ,Single Write Start Mode" "0,1" bitfld.long 0x00 0. " CS ,Channel start" "Halt,Start" else group.long 0x10100++0x03 line.long 0x00 "DLMR,DMA Legacy Mode Register" newline bitfld.long 0x00 21. " EMP ,External master pause mode" "Disabled,Enabled" bitfld.long 0x00 18. " EMC ,External master control" "Disabled,Enabled" bitfld.long 0x00 16.--17. " DAHTS ,Destination address hold transfer size" "1,2,4,8" bitfld.long 0x00 14.--15. " SAHTS ,Source address hold transfer size" "1,2,4,8" newline bitfld.long 0x00 13. " DAHE ,Destination address hold enable" "Disabled,Enabled" bitfld.long 0x00 12. " SAHE ,Source address hold enable" "Disabled,Enabled" bitfld.long 0x00 10. " SRW ,Single register write" "Disabled,Enabled" newline bitfld.long 0x00 9. " EOSIE ,End-of-segments interrupt enable" "Not generated,Generated" bitfld.long 0x00 6. " EIE ,Error interrupt enable" "Not generated,Generated" bitfld.long 0x00 4. " SWSM ,Single Write Start Mode" "0,1" bitfld.long 0x00 0. " CS ,Channel start" "Halt,Start" endif group.long 0x10104++0x03 line.long 0x00 "DLSR,DMA Legacy Status Register" eventfld.long 0x00 7. " TE ,Transfer error" "No error,Error" rbitfld.long 0x00 5. " CH ,Channel halted" "Not halted,Halted" eventfld.long 0x00 4. " PE ,Programming error" "No error,Error" rbitfld.long 0x00 2. " CB ,Channel busy" "Not busy,Busy" eventfld.long 0x00 1. " EOSI ,End-of-segment interrupt" "0,1" group.long 0x10110++0x13 line.long 0x00 "DLSATR,DMA Legacy Source Attributes Register" bitfld.long 0x00 30. " SNS ,Source data no-snoop" "Snoop,No snoop" bitfld.long 0x00 16.--19. " SRTTYPE ,DMA source transaction type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--15. 0x01 " ESAD ,Extended source address" line.long 0x04 "DLSAR,DMA Legacy Source Address Register" line.long 0x08 "DLDATR,DMA Legacy Destination Attributes Register" bitfld.long 0x08 30. " DNS ,Destination data no-snoop" "Snoop,No snoop" bitfld.long 0x08 16.--19. " DWTTYPE ,DMA source transaction type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x08 0.--15. 0x01 " EDAD ,Extended destination address" line.long 0x0C "DLDAR,DMA Legacy Destination Address Register" line.long 0x10 "DLBCR,DMA Legacy Byte Count Register" group.long 0x10148++0x07 line.long 0x00 "DLESAR,DMA Legacy Extended Source Address Register" bitfld.long 0x00 0. " ESAD ,Extended source address" line.long 0x04 "DLEDAR,DMA Legacy Extended Destination Address Register" bitfld.long 0x04 0. " EDAD ,Extended destination address" newline rgroup.long 0x10BF8++0x07 line.long 0x00 "IPBRR0,IP Block Revision Register 0" hexmask.long.word 0x00 16.--31. 1. " IP_ID ,IP block ID" hexmask.long.byte 0x00 8.--15. 1. " IP_MJ ,Major revision" hexmask.long.byte 0x00 0.--7. 1. " IP_MN ,Minor revision" line.long 0x04 "IPBRR1,IP Block Revision Register 1" hexmask.long.byte 0x04 16.--23. 1. " IP_INT ,IP block integration options" hexmask.long.byte 0x04 8.--15. 1. " IP_MNT ,IP block maintenance version" bitfld.long 0x04 4.--6. " IP_CFG ,Frame queue mode capable DMA engines" "1 engine,2 engines,3 engines,4 engines,5 engines,6 engines,7 engines,8 engines" group.long 0x10E00++0x07 line.long 0x00 "DEIER,DMA Error Interrupt Enable Register" bitfld.long 0x00 31. " MEIE ,Multiple error interrupt enable" "Disabled,Enabled" bitfld.long 0x00 30. " SBEIE ,System bus error interrupt enable" "Disabled,Enabled" bitfld.long 0x00 29. " FDEIE ,Frame descriptor error interrupt enable" "Disabled,Enabled" bitfld.long 0x00 28. " CSEIE ,Command size error interrupt enable" "Disabled,Enabled" bitfld.long 0x00 27. " ACCIE ,Accelerator descriptor error interrupt enable" "Disabled,Enabled" line.long 0x04 "DEDR,DMA Error Detect Register" eventfld.long 0x04 31. " ME ,Multiple errors of the same type" "No error,Error" eventfld.long 0x04 30. " SBE ,System bus error" "No error,Error" eventfld.long 0x04 29. " FDE ,Frame descriptor or frame format error" "No error,Error" eventfld.long 0x04 28. " FSE ,Frame size error" "No error,Error" eventfld.long 0x04 27. " ACC ,Accelerator descriptor error" "No error,Error" rgroup.long 0x10E10++0x03 line.long 0x00 "DECFD0R,DMA Error Capture Frame Descriptor Word 0 Register" rgroup.long 0x10E14++0x03 line.long 0x00 "DECFD1R,DMA Error Capture Frame Descriptor Word 1 Register" rgroup.long 0x10E18++0x03 line.long 0x00 "DECFD2R,DMA Error Capture Frame Descriptor Word 2 Register" rgroup.long 0x10E1C++0x03 line.long 0x00 "DECFD3R,DMA Error Capture Frame Descriptor Word 3 Register" rgroup.long 0x10E20++0x03 line.long 0x00 "DECFD4R,DMA Error Capture Frame Descriptor Word 4 Register" rgroup.long 0x10E24++0x03 line.long 0x00 "DECFD5R,DMA Error Capture Frame Descriptor Word 5 Register" rgroup.long 0x10E28++0x03 line.long 0x00 "DECFD6R,DMA Error Capture Frame Descriptor Word 6 Register" rgroup.long 0x10E2C++0x03 line.long 0x00 "DECFD7R,DMA Error Capture Frame Descriptor Word 7 Register" rgroup.long 0x10E30++0x07 line.long 0x00 "DECFQIDR,DMA Error Capture Frame Queue Register" hexmask.long.byte 0x00 0.--6. 1. " FQID ,Frame queue ID" line.long 0x04 "DECBR,DMA Error Capture Byte Count Register" width 0x0B tree.end tree.open "SATA 3.0 (Serial ATA 3.0)" tree "SATA 1" base ad:0x03200000 width 9. rgroup.long 0x00++0x03 line.long 0x00 "CAP,HBA Capabilities Register" bitfld.long 0x00 31. " S64A ,Supports 64-bit addressing" "Not supported,Supported" bitfld.long 0x00 30. " SNCQ ,Supports native command queuing" "Not supported,Supported" bitfld.long 0x00 29. " SSNTF ,Supports notification register" "Not supported,Supported" newline bitfld.long 0x00 28. " SMPS ,Supports mechanical presence switch" "Not supported,Supported" bitfld.long 0x00 27. " SSS ,Supports staggered spin-up" "Not supported,Supported" bitfld.long 0x00 26. " SALP ,Supports aggressive link power management" "Not supported,Supported" newline bitfld.long 0x00 25. " SAL ,Supports activity LED" "Not supported,Supported" bitfld.long 0x00 24. " SCLO ,Supports command list override" "Not supported,Supported" bitfld.long 0x00 20.--23. " ISS ,Interface speed support" ",Gen 1,Gen 2,Gen 3,?..." newline bitfld.long 0x00 18. " SAM ,Supports AHCI mode only" "Not supported,Supported" bitfld.long 0x00 17. " SPM ,Supports port multiplier" "Not supported,Supported" bitfld.long 0x00 16. " FBSS ,FIS-based switching supported" "Not supported,Supported" newline bitfld.long 0x00 15. " PMD ,PIO multiple DRQ block" "Not supported,Supported" bitfld.long 0x00 14. " SSC ,Slumber state capable" "Not supported,Supported" bitfld.long 0x00 13. " PSC ,Partial state capable" "Not supported,Supported" newline bitfld.long 0x00 8.--12. " NCS ,Number of command slots" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" bitfld.long 0x00 7. " CCCS ,Command completion coalescing supported" "Not supported,Supported" bitfld.long 0x00 6. " EMS ,Enclosure management supported" "Not supported,Supported" newline bitfld.long 0x00 5. " SXS ,Supports external SATA" "Not supported,Supported" bitfld.long 0x00 0.--4. " NP ,Number of ports" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" if (((per.l(ad:0x03200000+0x00))&0x40000)==0x00) group.long 0x04++0x03 line.long 0x00 "GHC,Global HBA Control Register" bitfld.long 0x00 31. " AE ,AHCI enable" "Disabled,Enabled" rbitfld.long 0x00 2. " MRSM ,MSI revert to single message" "Not reverted,Reverted" newline bitfld.long 0x00 1. " IE ,Interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " HR ,HBA reset" "No reset,Reset" else group.long 0x04++0x03 line.long 0x00 "GHC,Global HBA Control Register" rbitfld.long 0x00 31. " AE ,AHCI enable" "Disabled,Enabled" rbitfld.long 0x00 2. " MRSM ,MSI revert to single message" "Not reverted,Reverted" newline bitfld.long 0x00 1. " IE ,Interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " HR ,HBA reset" "No reset,Reset" endif rgroup.long 0x10++0x03 line.long 0x00 "VS,AHCI Version Register" hexmask.long.word 0x00 16.--31. 1. " MJR ,Major version number" hexmask.long.word 0x00 0.--15. 1. " MNR ,Minor version number" group.long 0x14++0x03 line.long 0x00 "CCC_CTL,Command Completion Coalescing Control Register" hexmask.long.word 0x00 16.--31. 1. " TV ,Timeout value" hexmask.long.byte 0x00 8.--15. 1. " CC ,Command completions" newline rbitfld.long 0x00 3.--7. " INT ,Specifies the interrupt used by the CCC feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0. " EN ,Command completion coalescing feature enable" "Disabled,Enabled" rgroup.long 0x24++0x03 line.long 0x00 "CAP2,HBA Capabilities Extended Register" bitfld.long 0x00 2. " APST ,Automatic partial to slumber transitions support" "Not supported,Supported" bitfld.long 0x00 1. " NVMP ,NVMHCI support" "Not supported,Supported" bitfld.long 0x00 0. " BOH ,BIOS/OS handoff support" "Not supported,Supported" group.long 0xA4++0x1F line.long 0x00 "PCFG,Port Config Register" hexmask.long.byte 0x00 16.--22. 1. " TPSS ,Millisecond timer post scaler" bitfld.long 0x00 12.--14. " TPRS ,Millisecond timer per scaler" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--5. " PAD ,Port address" ",,Addr cfg/status set,?..." line.long 0x04 "PPCFG,Port Phy1Cfg Register" bitfld.long 0x04 31. " ESDF ,Enable signal det filter" "Disabled,Enabled" bitfld.long 0x04 30. " ERSN ,Enable reset speed negotiation" "Disabled,Enabled" bitfld.long 0x04 29. " PSS ,PhyControl select SerDes slumber CMU during link slumber" "No slumber,Slumber" bitfld.long 0x04 28. " PSSO ,PhyControl select SerDes OOB or internally decoded OOB signaling as inputs" "SERDES,Internal" newline rbitfld.long 0x04 27. " STB ,Gen fixed clocks parameter status" "Fixed freq clock,Variable clock" bitfld.long 0x04 26. " PBPNA ,PhyControl BIST pattern no aligns" "Not continuously,Continuously" bitfld.long 0x04 25. " PBCE ,PhyControl BIST clear error" "Not cleared,Cleared" bitfld.long 0x04 24. " PBPE ,PhyControl BIST pattern enable" "Disabled,Enabled" newline bitfld.long 0x04 21.--23. " PBPS ,PhyControl BIST pattern select" "LBP,LFTP,MFTP,HFTP,PRBS,BIST,?..." bitfld.long 0x04 20. " FPR ,Force PHY ready" "Not forced,Forced" bitfld.long 0x04 18. " SNR ,Speed negotiation rate" "Normal,SPD" bitfld.long 0x04 17. " SNM ,Speed negotiation method" "Fastest speed down to Gen1,Gen1 up to fastest speed" newline hexmask.long.tbyte 0x04 0.--16. 1. " TTA ,This value determines the time period the controller transmits and waits for ALIGNp during speed negotiation" line.long 0x08 "PP2C,Port Phy2Cfg Register" hexmask.long.byte 0x08 24.--31. 1. " CINMP ,COMINIT negate minimum period" hexmask.long.byte 0x08 16.--23. 1. " CIBGN ,COMINIT burst gap nominal" hexmask.long.byte 0x08 8.--15. 1. " CIBGMX ,COMINIT burst gap maximum" hexmask.long.byte 0x08 0.--7. 1. " CIBGMN ,COMINIT burst gap minimum" line.long 0x0C "PP3C,Port Phy3Cfg Register" hexmask.long.byte 0x0C 24.--31. 1. " CWNMP ,COMWAKE negate minimum period" hexmask.long.byte 0x0C 16.--23. 1. " CWBGN ,COMWAKE burst gap nominal" hexmask.long.byte 0x0C 8.--15. 1. " CWBGMX ,COMWAKE burst gap maximum" hexmask.long.byte 0x0C 0.--7. 1. " CWBGMN ,COMWAKE burst gap minimum" line.long 0x10 "PP4C,Port Phy4Cfg Register" hexmask.long.byte 0x10 24.--31. 1. " PTST ,Partial to slumber timer value" hexmask.long.byte 0x10 16.--23. 1. " SFD ,Signal failure detection" hexmask.long.byte 0x10 8.--15. 1. " BNM ,COM burst nominal" hexmask.long.byte 0x10 0.--7. 1. " BMX ,COM burst maximum" line.long 0x14 "PP5C,Port Phy5Cfg Register" hexmask.long.word 0x14 20.--31. 1. " RCT ,Rate change timer" hexmask.long.tbyte 0x14 0.--19. 1. " RIT ,Retry interval timer" line.long 0x18 "AXICC,AXI Cache Control Register" bitfld.long 0x18 29. " EARC ,Enable the ARCACHE" "Disabled,Enabled" bitfld.long 0x18 28. " EAWC ,Enable the AWCACHE" "Disabled,Enabled" bitfld.long 0x18 24.--27. " AWCF ,Address write cache FIS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 20.--23. " AWCD ,Address write cache data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 16.--19. " AWCFD ,Address write cache final data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 12.--15. " ARCP ,Address read cache PRD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 8.--11. " ARCH ,Address read cache header" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 4.--7. " ARCF ,Address read cache FIS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 0.--3. " ARCA ,Address read cache ATAPI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "PAXIC,Port AXICfg Register" bitfld.long 0x1C 28. " ENPE ,Enable non zero 4MB PRD entries" "Disabled,Enabled" bitfld.long 0x1C 25. " AAO ,Allow address overwrite" "Not allowed,Allowed" bitfld.long 0x1C 24. " ECM ,Enable the context management" "Disabled,Enabled" bitfld.long 0x1C 20.--23. " OTL ,Outstanding transfer limit" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" newline bitfld.long 0x1C 16.--19. " MARIDD ,Memory address read ID for data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 12.--15. " MARID ,Memory address read ID for non data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 8.--11. " MAWIDD ,Memory address write ID for data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 4.--7. " MAWID ,Memory address write ID for non data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC8++0x03 line.long 0x00 "PTC,Port TransCfg Register" bitfld.long 0x00 9. " ITM ,Initialize transport memories" "Not initialized,Initialized" bitfld.long 0x00 8. " ENBD ,Enable back down" "Disabled,Enabled" hexmask.long.byte 0x00 0.--6. 1. " RXWM ,RXWaterMark" group.long 0xD0++0x0B line.long 0x00 "PLC,Port LinkCfg Register" bitfld.long 0x00 27.--31. " PMPRA ,Power management primitive rate acknowledge" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 26. " POE ,Primitive override enable" "Disabled,Enabled" hexmask.long.word 0x00 16.--25. 1. " PRT ,PHY ready timer" hexmask.long.byte 0x00 8.--15. 1. " AIR ,ALIGN insertion rate" newline bitfld.long 0x00 7. " EPNRT ,Enable PHY not ready timer" "Disabled,Enabled" bitfld.long 0x00 6. " S4A ,Send 4 aligns" "Not sent,Sent" bitfld.long 0x00 5. " RXSE ,RX scramble enable" "Disabled,Enabled" bitfld.long 0x00 4. " TXSE ,TX scramble enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " TXPJ ,TX prim junk" "Scrambled,Single" bitfld.long 0x00 2. " TXC ,TX CONT" "Disabled,Enabled" bitfld.long 0x00 1. " RXBC ,RX bad CRC" "Disabled,Enabled" bitfld.long 0x00 0. " TXBC ,TX bad CRC" "Disabled,Enabled" line.long 0x04 "PLC1,Port LinkCfg1 Register" bitfld.long 0x04 6. " CD ,Data character or primitive" "Character,Primitive" bitfld.long 0x04 0.--5. " POS ,Primitive override state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x08 "PLC2,Port LinkCfg2 Register" group.long 0xE0++0x0B line.long 0x00 "PLS1,Port LinkStatus1 Register" hexmask.long.byte 0x00 24.--31. 1. " KCEC ,Kchar error count" hexmask.long.byte 0x00 16.--23. 1. " PIEC ,PHY internal error count" hexmask.long.byte 0x00 8.--15. 1. " CEC ,Code error count" hexmask.long.byte 0x00 0.--7. 1. " DEC ,Disparity error count" line.long 0x04 "PCMDC,Port CmdConfig Register" bitfld.long 0x04 29. " TSVIE ,Trustzone slave ID violation interrupt enable" "Disabled,Enabled" bitfld.long 0x04 28. " TSVI ,Trustzone slave ID violation interrupt" "No interrupt,Interrupt" rhexmask.long.word 0x04 12.--27. 1. " TSVT ,Trustzone slave ID of violating transaction" bitfld.long 0x04 1. " ETLL ,Enable transport layer loopback" "Disabled,Enabled" newline bitfld.long 0x04 0. " ETLLB ,Enable transport layer loopback in the BIST L mode" "Disabled,Enabled" line.long 0x08 "PPCS,Port PhyControl Status Register" rbitfld.long 0x08 30.--31. " PHYCE ,Current 2 bit code error" "00,01,10,11" rbitfld.long 0x08 28.--29. " PHYDE ,Current 2 bit disparity error" "00,01,10,11" rbitfld.long 0x08 27. " PHYKC ,Current 1 bit K character" "0,1" rhexmask.long.tbyte 0x08 11.--26. 1. " PHYD ,Current 16 bit data" newline bitfld.long 0x08 10. " CCAC ,Comma alignment has changed" "Not changed,Changed" rbitfld.long 0x08 5.--9. " CCA ,Current comma alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x08 0.--4. " PCTRLS ,Phy control state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xF0++0x03 line.long 0x00 "TCR,Timer Control Register" hexmask.long.word 0x00 0.--12. 1. " TPS ,Timer prescalar value" group.long 0x100++0x13 line.long 0x00 "PXCLB,Port X Command List Base Address Register" hexmask.long.tbyte 0x00 10.--31. 0x04 " CLB ,Command list base address register" line.long 0x04 "PXCLBU,Port X Command List Base Address Upper 32-bits Register" line.long 0x08 "PXFB,Port X FIS Base Address Register" hexmask.long.tbyte 0x08 8.--31. 0x01 " FB ,FIS base address" line.long 0x0C "PXFBU,Port X FIS Base Address Upper 32-bits Register" line.long 0x10 "PXIS,Port X Interrupt Status Register" bitfld.long 0x10 31. " CPDS ,Cold port detect status" "Not detected,Detected" bitfld.long 0x10 30. " TFES ,Task file error status" "No error,Error" bitfld.long 0x10 29. " HBFS ,Host bus fatal error status" "No error,Error" newline bitfld.long 0x10 28. " HBDS ,Host bus data error status" "No error,Error" bitfld.long 0x10 27. " IFS ,Interface fatal error status" "No error,Error" bitfld.long 0x10 26. " NTFS ,Interface non-fatal error status" "No error,Error" newline bitfld.long 0x10 24. " OFS ,Overflow status" "Not overflowed,Overflowed" bitfld.long 0x10 23. " IPMS ,Incorrect port multiplier status" "Correct,Incorrect" rbitfld.long 0x10 22. " PRCS ,PhyRdy change status" "Not changed,Changed" newline bitfld.long 0x10 7. " DMPS ,Device mechanical presence status" "Not changed,Changed" rbitfld.long 0x10 6. " PCS ,Port connect change status" "Not changed,Changed" bitfld.long 0x10 5. " DPS ,Descriptor processed" "Not processed,Processed" newline rbitfld.long 0x10 4. " UFS ,Unknown FIS interrupt" "No interrupt,Interrupt" bitfld.long 0x10 3. " SDBS ,Set device bits interrupt" "No interrupt,Interrupt" bitfld.long 0x10 2. " DSS ,DMA setup FIS interrupt" "No interrupt,Interrupt" newline bitfld.long 0x10 1. " PSS ,PIO setup FIS interrupt" "No interrupt,Interrupt" bitfld.long 0x10 0. " DHRS ,Device to host register FIS interrupt" "No interrupt,Interrupt" if (((per.l(ad:0x03200000))&0x20000)==0x00)&&(((per.l(ad:0x03200000+0x118))&0x01)==0x00) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" rbitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" textfld " " bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" elif (((per.l(ad:0x03200000))&0x20000)==0x20000)&&(((per.l(ad:0x03200000+0x118))&0x01)==0x00) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" bitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" textfld " " bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" elif (((per.l(ad:0x03200000))&0x20000)==0x00)&&(((per.l(ad:0x03200000+0x118))&0x01)==0x01) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" rbitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" rbitfld.long 0x00 8.--12. " CCS ,Current command slot" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" else group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" bitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" rbitfld.long 0x00 8.--12. " CCS ,Current command slot" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" endif rgroup.long 0x128++0x03 line.long 0x00 "PXSSTS,Port X SATA Status Register" bitfld.long 0x00 8.--11. " IPM ,Interface power management" "Device not present,Active,Partial power management,,,,Slumber power management,?..." bitfld.long 0x00 4.--7. " SPD ,Current interface speed" "Device not present,Gen 1,Gen 2,Gen 3,?..." bitfld.long 0x00 0.--3. " DET ,Device detection" "Not detected/Not established,Detected/Not established,,Detected/established,Offline mode,?..." group.long 0x12C++0x07 line.long 0x00 "PXSCTL,Port X SATA Control Register" bitfld.long 0x00 8.--11. " IPM ,Indicates which power states the HBA is not allowed to transition to" "No restrictions,Partial state disabled,Slumber state disabled,Partial/Slumber state disabled,?..." bitfld.long 0x00 4.--7. " SPD ,Indicates the highest allowable speed of the interface" "No restrictions,Generation 1 comm rate,<=Generation 2 comm rate,<=Generation 3 comm rate,?..." bitfld.long 0x00 0.--3. " DET ,Device detection initialization" "Not detected/initialized,Perform interface comm init,,,Disable SATA,?..." line.long 0x04 "PXSERR,Port X SATA Error Register" bitfld.long 0x04 26. " DIAG[10] ,Determines that the device presence has changed is vendor specific" "Not changed,Changed" bitfld.long 0x04 25. " [9] ,Unknown FIS type" "Not received,Received" bitfld.long 0x04 24. " [8] ,Transport state transition error" "No error,Error" bitfld.long 0x04 23. " [7] ,Link sequence error" "No error,Error" newline bitfld.long 0x04 22. " [6] ,Handshake error" "No error,Error" bitfld.long 0x04 21. " [5] ,CRC error" "No error,Error" bitfld.long 0x04 20. " [4] ,Disparity error" "No error,Error" bitfld.long 0x04 19. " [3] ,10B to 8B decode error" "No error,Error" newline bitfld.long 0x04 18. " [2] ,Comm wake" "Not waked,Waked" bitfld.long 0x04 17. " [1] ,PHY internal error" "No error,Error" bitfld.long 0x04 16. " [0] ,PhyRdy change" "Not changed,Changed" bitfld.long 0x04 11. " ERR[11] ,Internal error" "No error,Error" newline bitfld.long 0x04 10. " [10] ,Protocol error" "No error,Error" bitfld.long 0x04 9. " [9] ,Persistent communication or data integrity error" "No error,Error" bitfld.long 0x04 8. " [8] ,Transient data integrity error" "No error,Error" bitfld.long 0x04 1. " [1] ,Recovered communications error" "No error,Error" newline bitfld.long 0x04 0. " [0] ,Recovered data integrity error" "No error,Error" group.long 0x138++0x03 line.long 0x00 "PXCI,Port X Command Issue Register" bitfld.long 0x00 31. " CI[31] ,Command slot 31 command issued" "Not issued,Issued" bitfld.long 0x00 30. " [30] ,Command slot 30 command issued" "Not issued,Issued" bitfld.long 0x00 29. " [29] ,Command slot 29 command issued" "Not issued,Issued" bitfld.long 0x00 28. " [28] ,Command slot 28 command issued" "Not issued,Issued" newline bitfld.long 0x00 27. " [27] ,Command slot 27 command issued" "Not issued,Issued" bitfld.long 0x00 26. " [26] ,Command slot 26 command issued" "Not issued,Issued" bitfld.long 0x00 25. " [25] ,Command slot 25 command issued" "Not issued,Issued" bitfld.long 0x00 24. " [24] ,Command slot 24 command issued" "Not issued,Issued" newline bitfld.long 0x00 23. " [23] ,Command slot 23 command issued" "Not issued,Issued" bitfld.long 0x00 22. " [22] ,Command slot 22 command issued" "Not issued,Issued" bitfld.long 0x00 21. " [21] ,Command slot 21 command issued" "Not issued,Issued" bitfld.long 0x00 20. " [20] ,Command slot 20 command issued" "Not issued,Issued" newline bitfld.long 0x00 19. " [19] ,Command slot 19 command issued" "Not issued,Issued" bitfld.long 0x00 18. " [18] ,Command slot 18 command issued" "Not issued,Issued" bitfld.long 0x00 17. " [17] ,Command slot 17 command issued" "Not issued,Issued" bitfld.long 0x00 16. " [16] ,Command slot 16 command issued" "Not issued,Issued" newline bitfld.long 0x00 15. " [15] ,Command slot 15 command issued" "Not issued,Issued" bitfld.long 0x00 14. " [14] ,Command slot 14 command issued" "Not issued,Issued" bitfld.long 0x00 13. " [13] ,Command slot 13 command issued" "Not issued,Issued" bitfld.long 0x00 12. " [12] ,Command slot 12 command issued" "Not issued,Issued" newline bitfld.long 0x00 11. " [11] ,Command slot 11 command issued" "Not issued,Issued" bitfld.long 0x00 10. " [10] ,Command slot 10 command issued" "Not issued,Issued" bitfld.long 0x00 9. " [9] ,Command slot 9 command issued" "Not issued,Issued" bitfld.long 0x00 8. " [8] ,Command slot 8 command issued" "Not issued,Issued" newline bitfld.long 0x00 7. " [7] ,Command slot 7 command issued" "Not issued,Issued" bitfld.long 0x00 6. " [6] ,Command slot 6 command issued" "Not issued,Issued" bitfld.long 0x00 5. " [5] ,Command slot 5 command issued" "Not issued,Issued" bitfld.long 0x00 4. " [4] ,Command slot 4 command issued" "Not issued,Issued" newline bitfld.long 0x00 3. " [3] ,Command slot 3 command issued" "Not issued,Issued" bitfld.long 0x00 2. " [2] ,Command slot 2 command issued" "Not issued,Issued" bitfld.long 0x00 1. " [1] ,Command slot 1 command issued" "Not issued,Issued" bitfld.long 0x00 0. " [0] ,Command slot 0 command issued" "Not issued,Issued" if (((per.l(ad:0x03200000+0x140))&0x04)==0x04) group.long 0x140++0x03 line.long 0x00 "PXFBS,Port X FIS-based Switching Control Register" rbitfld.long 0x00 16.--19. " DWE ,Device with error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 12.--15. " ADO ,Active device optimization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " DEV ,Device to issue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. " SDE ,Single device error" "No error,Error" bitfld.long 0x00 1. " DEC ,Device error clear" "No effect,Clear" bitfld.long 0x00 0. " EN ,FIS-based switching enable" "Disabled,Enabled" else group.long 0x140++0x03 line.long 0x00 "PXFBS,Port X FIS-based Switching Control Register" rbitfld.long 0x00 12.--15. " ADO ,Active device optimization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " DEV ,Device to issue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. " SDE ,Single device error" "No error,Error" bitfld.long 0x00 1. " DEC ,Device error clear" "No effect,Clear" bitfld.long 0x00 0. " EN ,FIS-based switching enable" "Disabled,Enabled" endif group.long 0x170++0x03 line.long 0x00 "PBERR,Port 0 BIST Error Register" bitfld.long 0x00 1. " BEOS ,BIST error one shot bit" "No error,Error" rbitfld.long 0x00 0. " BERR ,BIST error" "No error,Error" width 0x0B tree.end tree "SATA 2" base ad:0x03210000 width 9. rgroup.long 0x00++0x03 line.long 0x00 "CAP,HBA Capabilities Register" bitfld.long 0x00 31. " S64A ,Supports 64-bit addressing" "Not supported,Supported" bitfld.long 0x00 30. " SNCQ ,Supports native command queuing" "Not supported,Supported" bitfld.long 0x00 29. " SSNTF ,Supports notification register" "Not supported,Supported" newline bitfld.long 0x00 28. " SMPS ,Supports mechanical presence switch" "Not supported,Supported" bitfld.long 0x00 27. " SSS ,Supports staggered spin-up" "Not supported,Supported" bitfld.long 0x00 26. " SALP ,Supports aggressive link power management" "Not supported,Supported" newline bitfld.long 0x00 25. " SAL ,Supports activity LED" "Not supported,Supported" bitfld.long 0x00 24. " SCLO ,Supports command list override" "Not supported,Supported" bitfld.long 0x00 20.--23. " ISS ,Interface speed support" ",Gen 1,Gen 2,Gen 3,?..." newline bitfld.long 0x00 18. " SAM ,Supports AHCI mode only" "Not supported,Supported" bitfld.long 0x00 17. " SPM ,Supports port multiplier" "Not supported,Supported" bitfld.long 0x00 16. " FBSS ,FIS-based switching supported" "Not supported,Supported" newline bitfld.long 0x00 15. " PMD ,PIO multiple DRQ block" "Not supported,Supported" bitfld.long 0x00 14. " SSC ,Slumber state capable" "Not supported,Supported" bitfld.long 0x00 13. " PSC ,Partial state capable" "Not supported,Supported" newline bitfld.long 0x00 8.--12. " NCS ,Number of command slots" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" bitfld.long 0x00 7. " CCCS ,Command completion coalescing supported" "Not supported,Supported" bitfld.long 0x00 6. " EMS ,Enclosure management supported" "Not supported,Supported" newline bitfld.long 0x00 5. " SXS ,Supports external SATA" "Not supported,Supported" bitfld.long 0x00 0.--4. " NP ,Number of ports" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" if (((per.l(ad:0x03210000+0x00))&0x40000)==0x00) group.long 0x04++0x03 line.long 0x00 "GHC,Global HBA Control Register" bitfld.long 0x00 31. " AE ,AHCI enable" "Disabled,Enabled" rbitfld.long 0x00 2. " MRSM ,MSI revert to single message" "Not reverted,Reverted" newline bitfld.long 0x00 1. " IE ,Interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " HR ,HBA reset" "No reset,Reset" else group.long 0x04++0x03 line.long 0x00 "GHC,Global HBA Control Register" rbitfld.long 0x00 31. " AE ,AHCI enable" "Disabled,Enabled" rbitfld.long 0x00 2. " MRSM ,MSI revert to single message" "Not reverted,Reverted" newline bitfld.long 0x00 1. " IE ,Interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " HR ,HBA reset" "No reset,Reset" endif rgroup.long 0x10++0x03 line.long 0x00 "VS,AHCI Version Register" hexmask.long.word 0x00 16.--31. 1. " MJR ,Major version number" hexmask.long.word 0x00 0.--15. 1. " MNR ,Minor version number" group.long 0x14++0x03 line.long 0x00 "CCC_CTL,Command Completion Coalescing Control Register" hexmask.long.word 0x00 16.--31. 1. " TV ,Timeout value" hexmask.long.byte 0x00 8.--15. 1. " CC ,Command completions" newline rbitfld.long 0x00 3.--7. " INT ,Specifies the interrupt used by the CCC feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0. " EN ,Command completion coalescing feature enable" "Disabled,Enabled" rgroup.long 0x24++0x03 line.long 0x00 "CAP2,HBA Capabilities Extended Register" bitfld.long 0x00 2. " APST ,Automatic partial to slumber transitions support" "Not supported,Supported" bitfld.long 0x00 1. " NVMP ,NVMHCI support" "Not supported,Supported" bitfld.long 0x00 0. " BOH ,BIOS/OS handoff support" "Not supported,Supported" group.long 0xA4++0x1F line.long 0x00 "PCFG,Port Config Register" hexmask.long.byte 0x00 16.--22. 1. " TPSS ,Millisecond timer post scaler" bitfld.long 0x00 12.--14. " TPRS ,Millisecond timer per scaler" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--5. " PAD ,Port address" ",,Addr cfg/status set,?..." line.long 0x04 "PPCFG,Port Phy1Cfg Register" bitfld.long 0x04 31. " ESDF ,Enable signal det filter" "Disabled,Enabled" bitfld.long 0x04 30. " ERSN ,Enable reset speed negotiation" "Disabled,Enabled" bitfld.long 0x04 29. " PSS ,PhyControl select SerDes slumber CMU during link slumber" "No slumber,Slumber" bitfld.long 0x04 28. " PSSO ,PhyControl select SerDes OOB or internally decoded OOB signaling as inputs" "SERDES,Internal" newline rbitfld.long 0x04 27. " STB ,Gen fixed clocks parameter status" "Fixed freq clock,Variable clock" bitfld.long 0x04 26. " PBPNA ,PhyControl BIST pattern no aligns" "Not continuously,Continuously" bitfld.long 0x04 25. " PBCE ,PhyControl BIST clear error" "Not cleared,Cleared" bitfld.long 0x04 24. " PBPE ,PhyControl BIST pattern enable" "Disabled,Enabled" newline bitfld.long 0x04 21.--23. " PBPS ,PhyControl BIST pattern select" "LBP,LFTP,MFTP,HFTP,PRBS,BIST,?..." bitfld.long 0x04 20. " FPR ,Force PHY ready" "Not forced,Forced" bitfld.long 0x04 18. " SNR ,Speed negotiation rate" "Normal,SPD" bitfld.long 0x04 17. " SNM ,Speed negotiation method" "Fastest speed down to Gen1,Gen1 up to fastest speed" newline hexmask.long.tbyte 0x04 0.--16. 1. " TTA ,This value determines the time period the controller transmits and waits for ALIGNp during speed negotiation" line.long 0x08 "PP2C,Port Phy2Cfg Register" hexmask.long.byte 0x08 24.--31. 1. " CINMP ,COMINIT negate minimum period" hexmask.long.byte 0x08 16.--23. 1. " CIBGN ,COMINIT burst gap nominal" hexmask.long.byte 0x08 8.--15. 1. " CIBGMX ,COMINIT burst gap maximum" hexmask.long.byte 0x08 0.--7. 1. " CIBGMN ,COMINIT burst gap minimum" line.long 0x0C "PP3C,Port Phy3Cfg Register" hexmask.long.byte 0x0C 24.--31. 1. " CWNMP ,COMWAKE negate minimum period" hexmask.long.byte 0x0C 16.--23. 1. " CWBGN ,COMWAKE burst gap nominal" hexmask.long.byte 0x0C 8.--15. 1. " CWBGMX ,COMWAKE burst gap maximum" hexmask.long.byte 0x0C 0.--7. 1. " CWBGMN ,COMWAKE burst gap minimum" line.long 0x10 "PP4C,Port Phy4Cfg Register" hexmask.long.byte 0x10 24.--31. 1. " PTST ,Partial to slumber timer value" hexmask.long.byte 0x10 16.--23. 1. " SFD ,Signal failure detection" hexmask.long.byte 0x10 8.--15. 1. " BNM ,COM burst nominal" hexmask.long.byte 0x10 0.--7. 1. " BMX ,COM burst maximum" line.long 0x14 "PP5C,Port Phy5Cfg Register" hexmask.long.word 0x14 20.--31. 1. " RCT ,Rate change timer" hexmask.long.tbyte 0x14 0.--19. 1. " RIT ,Retry interval timer" line.long 0x18 "AXICC,AXI Cache Control Register" bitfld.long 0x18 29. " EARC ,Enable the ARCACHE" "Disabled,Enabled" bitfld.long 0x18 28. " EAWC ,Enable the AWCACHE" "Disabled,Enabled" bitfld.long 0x18 24.--27. " AWCF ,Address write cache FIS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 20.--23. " AWCD ,Address write cache data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 16.--19. " AWCFD ,Address write cache final data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 12.--15. " ARCP ,Address read cache PRD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 8.--11. " ARCH ,Address read cache header" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 4.--7. " ARCF ,Address read cache FIS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 0.--3. " ARCA ,Address read cache ATAPI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "PAXIC,Port AXICfg Register" bitfld.long 0x1C 28. " ENPE ,Enable non zero 4MB PRD entries" "Disabled,Enabled" bitfld.long 0x1C 25. " AAO ,Allow address overwrite" "Not allowed,Allowed" bitfld.long 0x1C 24. " ECM ,Enable the context management" "Disabled,Enabled" bitfld.long 0x1C 20.--23. " OTL ,Outstanding transfer limit" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" newline bitfld.long 0x1C 16.--19. " MARIDD ,Memory address read ID for data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 12.--15. " MARID ,Memory address read ID for non data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 8.--11. " MAWIDD ,Memory address write ID for data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 4.--7. " MAWID ,Memory address write ID for non data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC8++0x03 line.long 0x00 "PTC,Port TransCfg Register" bitfld.long 0x00 9. " ITM ,Initialize transport memories" "Not initialized,Initialized" bitfld.long 0x00 8. " ENBD ,Enable back down" "Disabled,Enabled" hexmask.long.byte 0x00 0.--6. 1. " RXWM ,RXWaterMark" group.long 0xD0++0x0B line.long 0x00 "PLC,Port LinkCfg Register" bitfld.long 0x00 27.--31. " PMPRA ,Power management primitive rate acknowledge" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 26. " POE ,Primitive override enable" "Disabled,Enabled" hexmask.long.word 0x00 16.--25. 1. " PRT ,PHY ready timer" hexmask.long.byte 0x00 8.--15. 1. " AIR ,ALIGN insertion rate" newline bitfld.long 0x00 7. " EPNRT ,Enable PHY not ready timer" "Disabled,Enabled" bitfld.long 0x00 6. " S4A ,Send 4 aligns" "Not sent,Sent" bitfld.long 0x00 5. " RXSE ,RX scramble enable" "Disabled,Enabled" bitfld.long 0x00 4. " TXSE ,TX scramble enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " TXPJ ,TX prim junk" "Scrambled,Single" bitfld.long 0x00 2. " TXC ,TX CONT" "Disabled,Enabled" bitfld.long 0x00 1. " RXBC ,RX bad CRC" "Disabled,Enabled" bitfld.long 0x00 0. " TXBC ,TX bad CRC" "Disabled,Enabled" line.long 0x04 "PLC1,Port LinkCfg1 Register" bitfld.long 0x04 6. " CD ,Data character or primitive" "Character,Primitive" bitfld.long 0x04 0.--5. " POS ,Primitive override state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x08 "PLC2,Port LinkCfg2 Register" group.long 0xE0++0x0B line.long 0x00 "PLS1,Port LinkStatus1 Register" hexmask.long.byte 0x00 24.--31. 1. " KCEC ,Kchar error count" hexmask.long.byte 0x00 16.--23. 1. " PIEC ,PHY internal error count" hexmask.long.byte 0x00 8.--15. 1. " CEC ,Code error count" hexmask.long.byte 0x00 0.--7. 1. " DEC ,Disparity error count" line.long 0x04 "PCMDC,Port CmdConfig Register" bitfld.long 0x04 29. " TSVIE ,Trustzone slave ID violation interrupt enable" "Disabled,Enabled" bitfld.long 0x04 28. " TSVI ,Trustzone slave ID violation interrupt" "No interrupt,Interrupt" rhexmask.long.word 0x04 12.--27. 1. " TSVT ,Trustzone slave ID of violating transaction" bitfld.long 0x04 1. " ETLL ,Enable transport layer loopback" "Disabled,Enabled" newline bitfld.long 0x04 0. " ETLLB ,Enable transport layer loopback in the BIST L mode" "Disabled,Enabled" line.long 0x08 "PPCS,Port PhyControl Status Register" rbitfld.long 0x08 30.--31. " PHYCE ,Current 2 bit code error" "00,01,10,11" rbitfld.long 0x08 28.--29. " PHYDE ,Current 2 bit disparity error" "00,01,10,11" rbitfld.long 0x08 27. " PHYKC ,Current 1 bit K character" "0,1" rhexmask.long.tbyte 0x08 11.--26. 1. " PHYD ,Current 16 bit data" newline bitfld.long 0x08 10. " CCAC ,Comma alignment has changed" "Not changed,Changed" rbitfld.long 0x08 5.--9. " CCA ,Current comma alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x08 0.--4. " PCTRLS ,Phy control state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xF0++0x03 line.long 0x00 "TCR,Timer Control Register" hexmask.long.word 0x00 0.--12. 1. " TPS ,Timer prescalar value" group.long 0x100++0x13 line.long 0x00 "PXCLB,Port X Command List Base Address Register" hexmask.long.tbyte 0x00 10.--31. 0x04 " CLB ,Command list base address register" line.long 0x04 "PXCLBU,Port X Command List Base Address Upper 32-bits Register" line.long 0x08 "PXFB,Port X FIS Base Address Register" hexmask.long.tbyte 0x08 8.--31. 0x01 " FB ,FIS base address" line.long 0x0C "PXFBU,Port X FIS Base Address Upper 32-bits Register" line.long 0x10 "PXIS,Port X Interrupt Status Register" bitfld.long 0x10 31. " CPDS ,Cold port detect status" "Not detected,Detected" bitfld.long 0x10 30. " TFES ,Task file error status" "No error,Error" bitfld.long 0x10 29. " HBFS ,Host bus fatal error status" "No error,Error" newline bitfld.long 0x10 28. " HBDS ,Host bus data error status" "No error,Error" bitfld.long 0x10 27. " IFS ,Interface fatal error status" "No error,Error" bitfld.long 0x10 26. " NTFS ,Interface non-fatal error status" "No error,Error" newline bitfld.long 0x10 24. " OFS ,Overflow status" "Not overflowed,Overflowed" bitfld.long 0x10 23. " IPMS ,Incorrect port multiplier status" "Correct,Incorrect" rbitfld.long 0x10 22. " PRCS ,PhyRdy change status" "Not changed,Changed" newline bitfld.long 0x10 7. " DMPS ,Device mechanical presence status" "Not changed,Changed" rbitfld.long 0x10 6. " PCS ,Port connect change status" "Not changed,Changed" bitfld.long 0x10 5. " DPS ,Descriptor processed" "Not processed,Processed" newline rbitfld.long 0x10 4. " UFS ,Unknown FIS interrupt" "No interrupt,Interrupt" bitfld.long 0x10 3. " SDBS ,Set device bits interrupt" "No interrupt,Interrupt" bitfld.long 0x10 2. " DSS ,DMA setup FIS interrupt" "No interrupt,Interrupt" newline bitfld.long 0x10 1. " PSS ,PIO setup FIS interrupt" "No interrupt,Interrupt" bitfld.long 0x10 0. " DHRS ,Device to host register FIS interrupt" "No interrupt,Interrupt" if (((per.l(ad:0x03210000))&0x20000)==0x00)&&(((per.l(ad:0x03210000+0x118))&0x01)==0x00) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" rbitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" textfld " " bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" elif (((per.l(ad:0x03210000))&0x20000)==0x20000)&&(((per.l(ad:0x03210000+0x118))&0x01)==0x00) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" bitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" textfld " " bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" elif (((per.l(ad:0x03210000))&0x20000)==0x00)&&(((per.l(ad:0x03210000+0x118))&0x01)==0x01) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" rbitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" rbitfld.long 0x00 8.--12. " CCS ,Current command slot" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" else group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" bitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" rbitfld.long 0x00 8.--12. " CCS ,Current command slot" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" endif rgroup.long 0x128++0x03 line.long 0x00 "PXSSTS,Port X SATA Status Register" bitfld.long 0x00 8.--11. " IPM ,Interface power management" "Device not present,Active,Partial power management,,,,Slumber power management,?..." bitfld.long 0x00 4.--7. " SPD ,Current interface speed" "Device not present,Gen 1,Gen 2,Gen 3,?..." bitfld.long 0x00 0.--3. " DET ,Device detection" "Not detected/Not established,Detected/Not established,,Detected/established,Offline mode,?..." group.long 0x12C++0x07 line.long 0x00 "PXSCTL,Port X SATA Control Register" bitfld.long 0x00 8.--11. " IPM ,Indicates which power states the HBA is not allowed to transition to" "No restrictions,Partial state disabled,Slumber state disabled,Partial/Slumber state disabled,?..." bitfld.long 0x00 4.--7. " SPD ,Indicates the highest allowable speed of the interface" "No restrictions,Generation 1 comm rate,<=Generation 2 comm rate,<=Generation 3 comm rate,?..." bitfld.long 0x00 0.--3. " DET ,Device detection initialization" "Not detected/initialized,Perform interface comm init,,,Disable SATA,?..." line.long 0x04 "PXSERR,Port X SATA Error Register" bitfld.long 0x04 26. " DIAG[10] ,Determines that the device presence has changed is vendor specific" "Not changed,Changed" bitfld.long 0x04 25. " [9] ,Unknown FIS type" "Not received,Received" bitfld.long 0x04 24. " [8] ,Transport state transition error" "No error,Error" bitfld.long 0x04 23. " [7] ,Link sequence error" "No error,Error" newline bitfld.long 0x04 22. " [6] ,Handshake error" "No error,Error" bitfld.long 0x04 21. " [5] ,CRC error" "No error,Error" bitfld.long 0x04 20. " [4] ,Disparity error" "No error,Error" bitfld.long 0x04 19. " [3] ,10B to 8B decode error" "No error,Error" newline bitfld.long 0x04 18. " [2] ,Comm wake" "Not waked,Waked" bitfld.long 0x04 17. " [1] ,PHY internal error" "No error,Error" bitfld.long 0x04 16. " [0] ,PhyRdy change" "Not changed,Changed" bitfld.long 0x04 11. " ERR[11] ,Internal error" "No error,Error" newline bitfld.long 0x04 10. " [10] ,Protocol error" "No error,Error" bitfld.long 0x04 9. " [9] ,Persistent communication or data integrity error" "No error,Error" bitfld.long 0x04 8. " [8] ,Transient data integrity error" "No error,Error" bitfld.long 0x04 1. " [1] ,Recovered communications error" "No error,Error" newline bitfld.long 0x04 0. " [0] ,Recovered data integrity error" "No error,Error" group.long 0x138++0x03 line.long 0x00 "PXCI,Port X Command Issue Register" bitfld.long 0x00 31. " CI[31] ,Command slot 31 command issued" "Not issued,Issued" bitfld.long 0x00 30. " [30] ,Command slot 30 command issued" "Not issued,Issued" bitfld.long 0x00 29. " [29] ,Command slot 29 command issued" "Not issued,Issued" bitfld.long 0x00 28. " [28] ,Command slot 28 command issued" "Not issued,Issued" newline bitfld.long 0x00 27. " [27] ,Command slot 27 command issued" "Not issued,Issued" bitfld.long 0x00 26. " [26] ,Command slot 26 command issued" "Not issued,Issued" bitfld.long 0x00 25. " [25] ,Command slot 25 command issued" "Not issued,Issued" bitfld.long 0x00 24. " [24] ,Command slot 24 command issued" "Not issued,Issued" newline bitfld.long 0x00 23. " [23] ,Command slot 23 command issued" "Not issued,Issued" bitfld.long 0x00 22. " [22] ,Command slot 22 command issued" "Not issued,Issued" bitfld.long 0x00 21. " [21] ,Command slot 21 command issued" "Not issued,Issued" bitfld.long 0x00 20. " [20] ,Command slot 20 command issued" "Not issued,Issued" newline bitfld.long 0x00 19. " [19] ,Command slot 19 command issued" "Not issued,Issued" bitfld.long 0x00 18. " [18] ,Command slot 18 command issued" "Not issued,Issued" bitfld.long 0x00 17. " [17] ,Command slot 17 command issued" "Not issued,Issued" bitfld.long 0x00 16. " [16] ,Command slot 16 command issued" "Not issued,Issued" newline bitfld.long 0x00 15. " [15] ,Command slot 15 command issued" "Not issued,Issued" bitfld.long 0x00 14. " [14] ,Command slot 14 command issued" "Not issued,Issued" bitfld.long 0x00 13. " [13] ,Command slot 13 command issued" "Not issued,Issued" bitfld.long 0x00 12. " [12] ,Command slot 12 command issued" "Not issued,Issued" newline bitfld.long 0x00 11. " [11] ,Command slot 11 command issued" "Not issued,Issued" bitfld.long 0x00 10. " [10] ,Command slot 10 command issued" "Not issued,Issued" bitfld.long 0x00 9. " [9] ,Command slot 9 command issued" "Not issued,Issued" bitfld.long 0x00 8. " [8] ,Command slot 8 command issued" "Not issued,Issued" newline bitfld.long 0x00 7. " [7] ,Command slot 7 command issued" "Not issued,Issued" bitfld.long 0x00 6. " [6] ,Command slot 6 command issued" "Not issued,Issued" bitfld.long 0x00 5. " [5] ,Command slot 5 command issued" "Not issued,Issued" bitfld.long 0x00 4. " [4] ,Command slot 4 command issued" "Not issued,Issued" newline bitfld.long 0x00 3. " [3] ,Command slot 3 command issued" "Not issued,Issued" bitfld.long 0x00 2. " [2] ,Command slot 2 command issued" "Not issued,Issued" bitfld.long 0x00 1. " [1] ,Command slot 1 command issued" "Not issued,Issued" bitfld.long 0x00 0. " [0] ,Command slot 0 command issued" "Not issued,Issued" if (((per.l(ad:0x03210000+0x140))&0x04)==0x04) group.long 0x140++0x03 line.long 0x00 "PXFBS,Port X FIS-based Switching Control Register" rbitfld.long 0x00 16.--19. " DWE ,Device with error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 12.--15. " ADO ,Active device optimization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " DEV ,Device to issue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. " SDE ,Single device error" "No error,Error" bitfld.long 0x00 1. " DEC ,Device error clear" "No effect,Clear" bitfld.long 0x00 0. " EN ,FIS-based switching enable" "Disabled,Enabled" else group.long 0x140++0x03 line.long 0x00 "PXFBS,Port X FIS-based Switching Control Register" rbitfld.long 0x00 12.--15. " ADO ,Active device optimization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " DEV ,Device to issue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. " SDE ,Single device error" "No error,Error" bitfld.long 0x00 1. " DEC ,Device error clear" "No effect,Clear" bitfld.long 0x00 0. " EN ,FIS-based switching enable" "Disabled,Enabled" endif group.long 0x170++0x03 line.long 0x00 "PBERR,Port 0 BIST Error Register" bitfld.long 0x00 1. " BEOS ,BIST error one shot bit" "No error,Error" rbitfld.long 0x00 0. " BERR ,BIST error" "No error,Error" width 0x0B tree.end tree "SATA 3" base ad:0x03220000 width 9. rgroup.long 0x00++0x03 line.long 0x00 "CAP,HBA Capabilities Register" bitfld.long 0x00 31. " S64A ,Supports 64-bit addressing" "Not supported,Supported" bitfld.long 0x00 30. " SNCQ ,Supports native command queuing" "Not supported,Supported" bitfld.long 0x00 29. " SSNTF ,Supports notification register" "Not supported,Supported" newline bitfld.long 0x00 28. " SMPS ,Supports mechanical presence switch" "Not supported,Supported" bitfld.long 0x00 27. " SSS ,Supports staggered spin-up" "Not supported,Supported" bitfld.long 0x00 26. " SALP ,Supports aggressive link power management" "Not supported,Supported" newline bitfld.long 0x00 25. " SAL ,Supports activity LED" "Not supported,Supported" bitfld.long 0x00 24. " SCLO ,Supports command list override" "Not supported,Supported" bitfld.long 0x00 20.--23. " ISS ,Interface speed support" ",Gen 1,Gen 2,Gen 3,?..." newline bitfld.long 0x00 18. " SAM ,Supports AHCI mode only" "Not supported,Supported" bitfld.long 0x00 17. " SPM ,Supports port multiplier" "Not supported,Supported" bitfld.long 0x00 16. " FBSS ,FIS-based switching supported" "Not supported,Supported" newline bitfld.long 0x00 15. " PMD ,PIO multiple DRQ block" "Not supported,Supported" bitfld.long 0x00 14. " SSC ,Slumber state capable" "Not supported,Supported" bitfld.long 0x00 13. " PSC ,Partial state capable" "Not supported,Supported" newline bitfld.long 0x00 8.--12. " NCS ,Number of command slots" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" bitfld.long 0x00 7. " CCCS ,Command completion coalescing supported" "Not supported,Supported" bitfld.long 0x00 6. " EMS ,Enclosure management supported" "Not supported,Supported" newline bitfld.long 0x00 5. " SXS ,Supports external SATA" "Not supported,Supported" bitfld.long 0x00 0.--4. " NP ,Number of ports" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" if (((per.l(ad:0x03220000+0x00))&0x40000)==0x00) group.long 0x04++0x03 line.long 0x00 "GHC,Global HBA Control Register" bitfld.long 0x00 31. " AE ,AHCI enable" "Disabled,Enabled" rbitfld.long 0x00 2. " MRSM ,MSI revert to single message" "Not reverted,Reverted" newline bitfld.long 0x00 1. " IE ,Interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " HR ,HBA reset" "No reset,Reset" else group.long 0x04++0x03 line.long 0x00 "GHC,Global HBA Control Register" rbitfld.long 0x00 31. " AE ,AHCI enable" "Disabled,Enabled" rbitfld.long 0x00 2. " MRSM ,MSI revert to single message" "Not reverted,Reverted" newline bitfld.long 0x00 1. " IE ,Interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " HR ,HBA reset" "No reset,Reset" endif rgroup.long 0x10++0x03 line.long 0x00 "VS,AHCI Version Register" hexmask.long.word 0x00 16.--31. 1. " MJR ,Major version number" hexmask.long.word 0x00 0.--15. 1. " MNR ,Minor version number" group.long 0x14++0x03 line.long 0x00 "CCC_CTL,Command Completion Coalescing Control Register" hexmask.long.word 0x00 16.--31. 1. " TV ,Timeout value" hexmask.long.byte 0x00 8.--15. 1. " CC ,Command completions" newline rbitfld.long 0x00 3.--7. " INT ,Specifies the interrupt used by the CCC feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0. " EN ,Command completion coalescing feature enable" "Disabled,Enabled" rgroup.long 0x24++0x03 line.long 0x00 "CAP2,HBA Capabilities Extended Register" bitfld.long 0x00 2. " APST ,Automatic partial to slumber transitions support" "Not supported,Supported" bitfld.long 0x00 1. " NVMP ,NVMHCI support" "Not supported,Supported" bitfld.long 0x00 0. " BOH ,BIOS/OS handoff support" "Not supported,Supported" group.long 0xA4++0x1F line.long 0x00 "PCFG,Port Config Register" hexmask.long.byte 0x00 16.--22. 1. " TPSS ,Millisecond timer post scaler" bitfld.long 0x00 12.--14. " TPRS ,Millisecond timer per scaler" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--5. " PAD ,Port address" ",,Addr cfg/status set,?..." line.long 0x04 "PPCFG,Port Phy1Cfg Register" bitfld.long 0x04 31. " ESDF ,Enable signal det filter" "Disabled,Enabled" bitfld.long 0x04 30. " ERSN ,Enable reset speed negotiation" "Disabled,Enabled" bitfld.long 0x04 29. " PSS ,PhyControl select SerDes slumber CMU during link slumber" "No slumber,Slumber" bitfld.long 0x04 28. " PSSO ,PhyControl select SerDes OOB or internally decoded OOB signaling as inputs" "SERDES,Internal" newline rbitfld.long 0x04 27. " STB ,Gen fixed clocks parameter status" "Fixed freq clock,Variable clock" bitfld.long 0x04 26. " PBPNA ,PhyControl BIST pattern no aligns" "Not continuously,Continuously" bitfld.long 0x04 25. " PBCE ,PhyControl BIST clear error" "Not cleared,Cleared" bitfld.long 0x04 24. " PBPE ,PhyControl BIST pattern enable" "Disabled,Enabled" newline bitfld.long 0x04 21.--23. " PBPS ,PhyControl BIST pattern select" "LBP,LFTP,MFTP,HFTP,PRBS,BIST,?..." bitfld.long 0x04 20. " FPR ,Force PHY ready" "Not forced,Forced" bitfld.long 0x04 18. " SNR ,Speed negotiation rate" "Normal,SPD" bitfld.long 0x04 17. " SNM ,Speed negotiation method" "Fastest speed down to Gen1,Gen1 up to fastest speed" newline hexmask.long.tbyte 0x04 0.--16. 1. " TTA ,This value determines the time period the controller transmits and waits for ALIGNp during speed negotiation" line.long 0x08 "PP2C,Port Phy2Cfg Register" hexmask.long.byte 0x08 24.--31. 1. " CINMP ,COMINIT negate minimum period" hexmask.long.byte 0x08 16.--23. 1. " CIBGN ,COMINIT burst gap nominal" hexmask.long.byte 0x08 8.--15. 1. " CIBGMX ,COMINIT burst gap maximum" hexmask.long.byte 0x08 0.--7. 1. " CIBGMN ,COMINIT burst gap minimum" line.long 0x0C "PP3C,Port Phy3Cfg Register" hexmask.long.byte 0x0C 24.--31. 1. " CWNMP ,COMWAKE negate minimum period" hexmask.long.byte 0x0C 16.--23. 1. " CWBGN ,COMWAKE burst gap nominal" hexmask.long.byte 0x0C 8.--15. 1. " CWBGMX ,COMWAKE burst gap maximum" hexmask.long.byte 0x0C 0.--7. 1. " CWBGMN ,COMWAKE burst gap minimum" line.long 0x10 "PP4C,Port Phy4Cfg Register" hexmask.long.byte 0x10 24.--31. 1. " PTST ,Partial to slumber timer value" hexmask.long.byte 0x10 16.--23. 1. " SFD ,Signal failure detection" hexmask.long.byte 0x10 8.--15. 1. " BNM ,COM burst nominal" hexmask.long.byte 0x10 0.--7. 1. " BMX ,COM burst maximum" line.long 0x14 "PP5C,Port Phy5Cfg Register" hexmask.long.word 0x14 20.--31. 1. " RCT ,Rate change timer" hexmask.long.tbyte 0x14 0.--19. 1. " RIT ,Retry interval timer" line.long 0x18 "AXICC,AXI Cache Control Register" bitfld.long 0x18 29. " EARC ,Enable the ARCACHE" "Disabled,Enabled" bitfld.long 0x18 28. " EAWC ,Enable the AWCACHE" "Disabled,Enabled" bitfld.long 0x18 24.--27. " AWCF ,Address write cache FIS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 20.--23. " AWCD ,Address write cache data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 16.--19. " AWCFD ,Address write cache final data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 12.--15. " ARCP ,Address read cache PRD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 8.--11. " ARCH ,Address read cache header" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 4.--7. " ARCF ,Address read cache FIS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 0.--3. " ARCA ,Address read cache ATAPI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "PAXIC,Port AXICfg Register" bitfld.long 0x1C 28. " ENPE ,Enable non zero 4MB PRD entries" "Disabled,Enabled" bitfld.long 0x1C 25. " AAO ,Allow address overwrite" "Not allowed,Allowed" bitfld.long 0x1C 24. " ECM ,Enable the context management" "Disabled,Enabled" bitfld.long 0x1C 20.--23. " OTL ,Outstanding transfer limit" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" newline bitfld.long 0x1C 16.--19. " MARIDD ,Memory address read ID for data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 12.--15. " MARID ,Memory address read ID for non data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 8.--11. " MAWIDD ,Memory address write ID for data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 4.--7. " MAWID ,Memory address write ID for non data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC8++0x03 line.long 0x00 "PTC,Port TransCfg Register" bitfld.long 0x00 9. " ITM ,Initialize transport memories" "Not initialized,Initialized" bitfld.long 0x00 8. " ENBD ,Enable back down" "Disabled,Enabled" hexmask.long.byte 0x00 0.--6. 1. " RXWM ,RXWaterMark" group.long 0xD0++0x0B line.long 0x00 "PLC,Port LinkCfg Register" bitfld.long 0x00 27.--31. " PMPRA ,Power management primitive rate acknowledge" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 26. " POE ,Primitive override enable" "Disabled,Enabled" hexmask.long.word 0x00 16.--25. 1. " PRT ,PHY ready timer" hexmask.long.byte 0x00 8.--15. 1. " AIR ,ALIGN insertion rate" newline bitfld.long 0x00 7. " EPNRT ,Enable PHY not ready timer" "Disabled,Enabled" bitfld.long 0x00 6. " S4A ,Send 4 aligns" "Not sent,Sent" bitfld.long 0x00 5. " RXSE ,RX scramble enable" "Disabled,Enabled" bitfld.long 0x00 4. " TXSE ,TX scramble enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " TXPJ ,TX prim junk" "Scrambled,Single" bitfld.long 0x00 2. " TXC ,TX CONT" "Disabled,Enabled" bitfld.long 0x00 1. " RXBC ,RX bad CRC" "Disabled,Enabled" bitfld.long 0x00 0. " TXBC ,TX bad CRC" "Disabled,Enabled" line.long 0x04 "PLC1,Port LinkCfg1 Register" bitfld.long 0x04 6. " CD ,Data character or primitive" "Character,Primitive" bitfld.long 0x04 0.--5. " POS ,Primitive override state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x08 "PLC2,Port LinkCfg2 Register" group.long 0xE0++0x0B line.long 0x00 "PLS1,Port LinkStatus1 Register" hexmask.long.byte 0x00 24.--31. 1. " KCEC ,Kchar error count" hexmask.long.byte 0x00 16.--23. 1. " PIEC ,PHY internal error count" hexmask.long.byte 0x00 8.--15. 1. " CEC ,Code error count" hexmask.long.byte 0x00 0.--7. 1. " DEC ,Disparity error count" line.long 0x04 "PCMDC,Port CmdConfig Register" bitfld.long 0x04 29. " TSVIE ,Trustzone slave ID violation interrupt enable" "Disabled,Enabled" bitfld.long 0x04 28. " TSVI ,Trustzone slave ID violation interrupt" "No interrupt,Interrupt" rhexmask.long.word 0x04 12.--27. 1. " TSVT ,Trustzone slave ID of violating transaction" bitfld.long 0x04 1. " ETLL ,Enable transport layer loopback" "Disabled,Enabled" newline bitfld.long 0x04 0. " ETLLB ,Enable transport layer loopback in the BIST L mode" "Disabled,Enabled" line.long 0x08 "PPCS,Port PhyControl Status Register" rbitfld.long 0x08 30.--31. " PHYCE ,Current 2 bit code error" "00,01,10,11" rbitfld.long 0x08 28.--29. " PHYDE ,Current 2 bit disparity error" "00,01,10,11" rbitfld.long 0x08 27. " PHYKC ,Current 1 bit K character" "0,1" rhexmask.long.tbyte 0x08 11.--26. 1. " PHYD ,Current 16 bit data" newline bitfld.long 0x08 10. " CCAC ,Comma alignment has changed" "Not changed,Changed" rbitfld.long 0x08 5.--9. " CCA ,Current comma alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x08 0.--4. " PCTRLS ,Phy control state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xF0++0x03 line.long 0x00 "TCR,Timer Control Register" hexmask.long.word 0x00 0.--12. 1. " TPS ,Timer prescalar value" group.long 0x100++0x13 line.long 0x00 "PXCLB,Port X Command List Base Address Register" hexmask.long.tbyte 0x00 10.--31. 0x04 " CLB ,Command list base address register" line.long 0x04 "PXCLBU,Port X Command List Base Address Upper 32-bits Register" line.long 0x08 "PXFB,Port X FIS Base Address Register" hexmask.long.tbyte 0x08 8.--31. 0x01 " FB ,FIS base address" line.long 0x0C "PXFBU,Port X FIS Base Address Upper 32-bits Register" line.long 0x10 "PXIS,Port X Interrupt Status Register" bitfld.long 0x10 31. " CPDS ,Cold port detect status" "Not detected,Detected" bitfld.long 0x10 30. " TFES ,Task file error status" "No error,Error" bitfld.long 0x10 29. " HBFS ,Host bus fatal error status" "No error,Error" newline bitfld.long 0x10 28. " HBDS ,Host bus data error status" "No error,Error" bitfld.long 0x10 27. " IFS ,Interface fatal error status" "No error,Error" bitfld.long 0x10 26. " NTFS ,Interface non-fatal error status" "No error,Error" newline bitfld.long 0x10 24. " OFS ,Overflow status" "Not overflowed,Overflowed" bitfld.long 0x10 23. " IPMS ,Incorrect port multiplier status" "Correct,Incorrect" rbitfld.long 0x10 22. " PRCS ,PhyRdy change status" "Not changed,Changed" newline bitfld.long 0x10 7. " DMPS ,Device mechanical presence status" "Not changed,Changed" rbitfld.long 0x10 6. " PCS ,Port connect change status" "Not changed,Changed" bitfld.long 0x10 5. " DPS ,Descriptor processed" "Not processed,Processed" newline rbitfld.long 0x10 4. " UFS ,Unknown FIS interrupt" "No interrupt,Interrupt" bitfld.long 0x10 3. " SDBS ,Set device bits interrupt" "No interrupt,Interrupt" bitfld.long 0x10 2. " DSS ,DMA setup FIS interrupt" "No interrupt,Interrupt" newline bitfld.long 0x10 1. " PSS ,PIO setup FIS interrupt" "No interrupt,Interrupt" bitfld.long 0x10 0. " DHRS ,Device to host register FIS interrupt" "No interrupt,Interrupt" if (((per.l(ad:0x03220000))&0x20000)==0x00)&&(((per.l(ad:0x03220000+0x118))&0x01)==0x00) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" rbitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" textfld " " bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" elif (((per.l(ad:0x03220000))&0x20000)==0x20000)&&(((per.l(ad:0x03220000+0x118))&0x01)==0x00) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" bitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" textfld " " bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" elif (((per.l(ad:0x03220000))&0x20000)==0x00)&&(((per.l(ad:0x03220000+0x118))&0x01)==0x01) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" rbitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" rbitfld.long 0x00 8.--12. " CCS ,Current command slot" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" else group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" bitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" rbitfld.long 0x00 8.--12. " CCS ,Current command slot" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" endif rgroup.long 0x128++0x03 line.long 0x00 "PXSSTS,Port X SATA Status Register" bitfld.long 0x00 8.--11. " IPM ,Interface power management" "Device not present,Active,Partial power management,,,,Slumber power management,?..." bitfld.long 0x00 4.--7. " SPD ,Current interface speed" "Device not present,Gen 1,Gen 2,Gen 3,?..." bitfld.long 0x00 0.--3. " DET ,Device detection" "Not detected/Not established,Detected/Not established,,Detected/established,Offline mode,?..." group.long 0x12C++0x07 line.long 0x00 "PXSCTL,Port X SATA Control Register" bitfld.long 0x00 8.--11. " IPM ,Indicates which power states the HBA is not allowed to transition to" "No restrictions,Partial state disabled,Slumber state disabled,Partial/Slumber state disabled,?..." bitfld.long 0x00 4.--7. " SPD ,Indicates the highest allowable speed of the interface" "No restrictions,Generation 1 comm rate,<=Generation 2 comm rate,<=Generation 3 comm rate,?..." bitfld.long 0x00 0.--3. " DET ,Device detection initialization" "Not detected/initialized,Perform interface comm init,,,Disable SATA,?..." line.long 0x04 "PXSERR,Port X SATA Error Register" bitfld.long 0x04 26. " DIAG[10] ,Determines that the device presence has changed is vendor specific" "Not changed,Changed" bitfld.long 0x04 25. " [9] ,Unknown FIS type" "Not received,Received" bitfld.long 0x04 24. " [8] ,Transport state transition error" "No error,Error" bitfld.long 0x04 23. " [7] ,Link sequence error" "No error,Error" newline bitfld.long 0x04 22. " [6] ,Handshake error" "No error,Error" bitfld.long 0x04 21. " [5] ,CRC error" "No error,Error" bitfld.long 0x04 20. " [4] ,Disparity error" "No error,Error" bitfld.long 0x04 19. " [3] ,10B to 8B decode error" "No error,Error" newline bitfld.long 0x04 18. " [2] ,Comm wake" "Not waked,Waked" bitfld.long 0x04 17. " [1] ,PHY internal error" "No error,Error" bitfld.long 0x04 16. " [0] ,PhyRdy change" "Not changed,Changed" bitfld.long 0x04 11. " ERR[11] ,Internal error" "No error,Error" newline bitfld.long 0x04 10. " [10] ,Protocol error" "No error,Error" bitfld.long 0x04 9. " [9] ,Persistent communication or data integrity error" "No error,Error" bitfld.long 0x04 8. " [8] ,Transient data integrity error" "No error,Error" bitfld.long 0x04 1. " [1] ,Recovered communications error" "No error,Error" newline bitfld.long 0x04 0. " [0] ,Recovered data integrity error" "No error,Error" group.long 0x138++0x03 line.long 0x00 "PXCI,Port X Command Issue Register" bitfld.long 0x00 31. " CI[31] ,Command slot 31 command issued" "Not issued,Issued" bitfld.long 0x00 30. " [30] ,Command slot 30 command issued" "Not issued,Issued" bitfld.long 0x00 29. " [29] ,Command slot 29 command issued" "Not issued,Issued" bitfld.long 0x00 28. " [28] ,Command slot 28 command issued" "Not issued,Issued" newline bitfld.long 0x00 27. " [27] ,Command slot 27 command issued" "Not issued,Issued" bitfld.long 0x00 26. " [26] ,Command slot 26 command issued" "Not issued,Issued" bitfld.long 0x00 25. " [25] ,Command slot 25 command issued" "Not issued,Issued" bitfld.long 0x00 24. " [24] ,Command slot 24 command issued" "Not issued,Issued" newline bitfld.long 0x00 23. " [23] ,Command slot 23 command issued" "Not issued,Issued" bitfld.long 0x00 22. " [22] ,Command slot 22 command issued" "Not issued,Issued" bitfld.long 0x00 21. " [21] ,Command slot 21 command issued" "Not issued,Issued" bitfld.long 0x00 20. " [20] ,Command slot 20 command issued" "Not issued,Issued" newline bitfld.long 0x00 19. " [19] ,Command slot 19 command issued" "Not issued,Issued" bitfld.long 0x00 18. " [18] ,Command slot 18 command issued" "Not issued,Issued" bitfld.long 0x00 17. " [17] ,Command slot 17 command issued" "Not issued,Issued" bitfld.long 0x00 16. " [16] ,Command slot 16 command issued" "Not issued,Issued" newline bitfld.long 0x00 15. " [15] ,Command slot 15 command issued" "Not issued,Issued" bitfld.long 0x00 14. " [14] ,Command slot 14 command issued" "Not issued,Issued" bitfld.long 0x00 13. " [13] ,Command slot 13 command issued" "Not issued,Issued" bitfld.long 0x00 12. " [12] ,Command slot 12 command issued" "Not issued,Issued" newline bitfld.long 0x00 11. " [11] ,Command slot 11 command issued" "Not issued,Issued" bitfld.long 0x00 10. " [10] ,Command slot 10 command issued" "Not issued,Issued" bitfld.long 0x00 9. " [9] ,Command slot 9 command issued" "Not issued,Issued" bitfld.long 0x00 8. " [8] ,Command slot 8 command issued" "Not issued,Issued" newline bitfld.long 0x00 7. " [7] ,Command slot 7 command issued" "Not issued,Issued" bitfld.long 0x00 6. " [6] ,Command slot 6 command issued" "Not issued,Issued" bitfld.long 0x00 5. " [5] ,Command slot 5 command issued" "Not issued,Issued" bitfld.long 0x00 4. " [4] ,Command slot 4 command issued" "Not issued,Issued" newline bitfld.long 0x00 3. " [3] ,Command slot 3 command issued" "Not issued,Issued" bitfld.long 0x00 2. " [2] ,Command slot 2 command issued" "Not issued,Issued" bitfld.long 0x00 1. " [1] ,Command slot 1 command issued" "Not issued,Issued" bitfld.long 0x00 0. " [0] ,Command slot 0 command issued" "Not issued,Issued" if (((per.l(ad:0x03220000+0x140))&0x04)==0x04) group.long 0x140++0x03 line.long 0x00 "PXFBS,Port X FIS-based Switching Control Register" rbitfld.long 0x00 16.--19. " DWE ,Device with error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 12.--15. " ADO ,Active device optimization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " DEV ,Device to issue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. " SDE ,Single device error" "No error,Error" bitfld.long 0x00 1. " DEC ,Device error clear" "No effect,Clear" bitfld.long 0x00 0. " EN ,FIS-based switching enable" "Disabled,Enabled" else group.long 0x140++0x03 line.long 0x00 "PXFBS,Port X FIS-based Switching Control Register" rbitfld.long 0x00 12.--15. " ADO ,Active device optimization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " DEV ,Device to issue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. " SDE ,Single device error" "No error,Error" bitfld.long 0x00 1. " DEC ,Device error clear" "No effect,Clear" bitfld.long 0x00 0. " EN ,FIS-based switching enable" "Disabled,Enabled" endif group.long 0x170++0x03 line.long 0x00 "PBERR,Port 0 BIST Error Register" bitfld.long 0x00 1. " BEOS ,BIST error one shot bit" "No error,Error" rbitfld.long 0x00 0. " BERR ,BIST error" "No error,Error" width 0x0B tree.end tree "SATA 4" base ad:0x03230000 width 9. rgroup.long 0x00++0x03 line.long 0x00 "CAP,HBA Capabilities Register" bitfld.long 0x00 31. " S64A ,Supports 64-bit addressing" "Not supported,Supported" bitfld.long 0x00 30. " SNCQ ,Supports native command queuing" "Not supported,Supported" bitfld.long 0x00 29. " SSNTF ,Supports notification register" "Not supported,Supported" newline bitfld.long 0x00 28. " SMPS ,Supports mechanical presence switch" "Not supported,Supported" bitfld.long 0x00 27. " SSS ,Supports staggered spin-up" "Not supported,Supported" bitfld.long 0x00 26. " SALP ,Supports aggressive link power management" "Not supported,Supported" newline bitfld.long 0x00 25. " SAL ,Supports activity LED" "Not supported,Supported" bitfld.long 0x00 24. " SCLO ,Supports command list override" "Not supported,Supported" bitfld.long 0x00 20.--23. " ISS ,Interface speed support" ",Gen 1,Gen 2,Gen 3,?..." newline bitfld.long 0x00 18. " SAM ,Supports AHCI mode only" "Not supported,Supported" bitfld.long 0x00 17. " SPM ,Supports port multiplier" "Not supported,Supported" bitfld.long 0x00 16. " FBSS ,FIS-based switching supported" "Not supported,Supported" newline bitfld.long 0x00 15. " PMD ,PIO multiple DRQ block" "Not supported,Supported" bitfld.long 0x00 14. " SSC ,Slumber state capable" "Not supported,Supported" bitfld.long 0x00 13. " PSC ,Partial state capable" "Not supported,Supported" newline bitfld.long 0x00 8.--12. " NCS ,Number of command slots" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" bitfld.long 0x00 7. " CCCS ,Command completion coalescing supported" "Not supported,Supported" bitfld.long 0x00 6. " EMS ,Enclosure management supported" "Not supported,Supported" newline bitfld.long 0x00 5. " SXS ,Supports external SATA" "Not supported,Supported" bitfld.long 0x00 0.--4. " NP ,Number of ports" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32" if (((per.l(ad:0x03230000+0x00))&0x40000)==0x00) group.long 0x04++0x03 line.long 0x00 "GHC,Global HBA Control Register" bitfld.long 0x00 31. " AE ,AHCI enable" "Disabled,Enabled" rbitfld.long 0x00 2. " MRSM ,MSI revert to single message" "Not reverted,Reverted" newline bitfld.long 0x00 1. " IE ,Interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " HR ,HBA reset" "No reset,Reset" else group.long 0x04++0x03 line.long 0x00 "GHC,Global HBA Control Register" rbitfld.long 0x00 31. " AE ,AHCI enable" "Disabled,Enabled" rbitfld.long 0x00 2. " MRSM ,MSI revert to single message" "Not reverted,Reverted" newline bitfld.long 0x00 1. " IE ,Interrupt enable" "Disabled,Enabled" bitfld.long 0x00 0. " HR ,HBA reset" "No reset,Reset" endif rgroup.long 0x10++0x03 line.long 0x00 "VS,AHCI Version Register" hexmask.long.word 0x00 16.--31. 1. " MJR ,Major version number" hexmask.long.word 0x00 0.--15. 1. " MNR ,Minor version number" group.long 0x14++0x03 line.long 0x00 "CCC_CTL,Command Completion Coalescing Control Register" hexmask.long.word 0x00 16.--31. 1. " TV ,Timeout value" hexmask.long.byte 0x00 8.--15. 1. " CC ,Command completions" newline rbitfld.long 0x00 3.--7. " INT ,Specifies the interrupt used by the CCC feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0. " EN ,Command completion coalescing feature enable" "Disabled,Enabled" rgroup.long 0x24++0x03 line.long 0x00 "CAP2,HBA Capabilities Extended Register" bitfld.long 0x00 2. " APST ,Automatic partial to slumber transitions support" "Not supported,Supported" bitfld.long 0x00 1. " NVMP ,NVMHCI support" "Not supported,Supported" bitfld.long 0x00 0. " BOH ,BIOS/OS handoff support" "Not supported,Supported" group.long 0xA4++0x1F line.long 0x00 "PCFG,Port Config Register" hexmask.long.byte 0x00 16.--22. 1. " TPSS ,Millisecond timer post scaler" bitfld.long 0x00 12.--14. " TPRS ,Millisecond timer per scaler" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--5. " PAD ,Port address" ",,Addr cfg/status set,?..." line.long 0x04 "PPCFG,Port Phy1Cfg Register" bitfld.long 0x04 31. " ESDF ,Enable signal det filter" "Disabled,Enabled" bitfld.long 0x04 30. " ERSN ,Enable reset speed negotiation" "Disabled,Enabled" bitfld.long 0x04 29. " PSS ,PhyControl select SerDes slumber CMU during link slumber" "No slumber,Slumber" bitfld.long 0x04 28. " PSSO ,PhyControl select SerDes OOB or internally decoded OOB signaling as inputs" "SERDES,Internal" newline rbitfld.long 0x04 27. " STB ,Gen fixed clocks parameter status" "Fixed freq clock,Variable clock" bitfld.long 0x04 26. " PBPNA ,PhyControl BIST pattern no aligns" "Not continuously,Continuously" bitfld.long 0x04 25. " PBCE ,PhyControl BIST clear error" "Not cleared,Cleared" bitfld.long 0x04 24. " PBPE ,PhyControl BIST pattern enable" "Disabled,Enabled" newline bitfld.long 0x04 21.--23. " PBPS ,PhyControl BIST pattern select" "LBP,LFTP,MFTP,HFTP,PRBS,BIST,?..." bitfld.long 0x04 20. " FPR ,Force PHY ready" "Not forced,Forced" bitfld.long 0x04 18. " SNR ,Speed negotiation rate" "Normal,SPD" bitfld.long 0x04 17. " SNM ,Speed negotiation method" "Fastest speed down to Gen1,Gen1 up to fastest speed" newline hexmask.long.tbyte 0x04 0.--16. 1. " TTA ,This value determines the time period the controller transmits and waits for ALIGNp during speed negotiation" line.long 0x08 "PP2C,Port Phy2Cfg Register" hexmask.long.byte 0x08 24.--31. 1. " CINMP ,COMINIT negate minimum period" hexmask.long.byte 0x08 16.--23. 1. " CIBGN ,COMINIT burst gap nominal" hexmask.long.byte 0x08 8.--15. 1. " CIBGMX ,COMINIT burst gap maximum" hexmask.long.byte 0x08 0.--7. 1. " CIBGMN ,COMINIT burst gap minimum" line.long 0x0C "PP3C,Port Phy3Cfg Register" hexmask.long.byte 0x0C 24.--31. 1. " CWNMP ,COMWAKE negate minimum period" hexmask.long.byte 0x0C 16.--23. 1. " CWBGN ,COMWAKE burst gap nominal" hexmask.long.byte 0x0C 8.--15. 1. " CWBGMX ,COMWAKE burst gap maximum" hexmask.long.byte 0x0C 0.--7. 1. " CWBGMN ,COMWAKE burst gap minimum" line.long 0x10 "PP4C,Port Phy4Cfg Register" hexmask.long.byte 0x10 24.--31. 1. " PTST ,Partial to slumber timer value" hexmask.long.byte 0x10 16.--23. 1. " SFD ,Signal failure detection" hexmask.long.byte 0x10 8.--15. 1. " BNM ,COM burst nominal" hexmask.long.byte 0x10 0.--7. 1. " BMX ,COM burst maximum" line.long 0x14 "PP5C,Port Phy5Cfg Register" hexmask.long.word 0x14 20.--31. 1. " RCT ,Rate change timer" hexmask.long.tbyte 0x14 0.--19. 1. " RIT ,Retry interval timer" line.long 0x18 "AXICC,AXI Cache Control Register" bitfld.long 0x18 29. " EARC ,Enable the ARCACHE" "Disabled,Enabled" bitfld.long 0x18 28. " EAWC ,Enable the AWCACHE" "Disabled,Enabled" bitfld.long 0x18 24.--27. " AWCF ,Address write cache FIS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 20.--23. " AWCD ,Address write cache data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 16.--19. " AWCFD ,Address write cache final data" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 12.--15. " ARCP ,Address read cache PRD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 8.--11. " ARCH ,Address read cache header" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 4.--7. " ARCF ,Address read cache FIS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 0.--3. " ARCA ,Address read cache ATAPI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "PAXIC,Port AXICfg Register" bitfld.long 0x1C 28. " ENPE ,Enable non zero 4MB PRD entries" "Disabled,Enabled" bitfld.long 0x1C 25. " AAO ,Allow address overwrite" "Not allowed,Allowed" bitfld.long 0x1C 24. " ECM ,Enable the context management" "Disabled,Enabled" bitfld.long 0x1C 20.--23. " OTL ,Outstanding transfer limit" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" newline bitfld.long 0x1C 16.--19. " MARIDD ,Memory address read ID for data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 12.--15. " MARID ,Memory address read ID for non data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 8.--11. " MAWIDD ,Memory address write ID for data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 4.--7. " MAWID ,Memory address write ID for non data transfers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xC8++0x03 line.long 0x00 "PTC,Port TransCfg Register" bitfld.long 0x00 9. " ITM ,Initialize transport memories" "Not initialized,Initialized" bitfld.long 0x00 8. " ENBD ,Enable back down" "Disabled,Enabled" hexmask.long.byte 0x00 0.--6. 1. " RXWM ,RXWaterMark" group.long 0xD0++0x0B line.long 0x00 "PLC,Port LinkCfg Register" bitfld.long 0x00 27.--31. " PMPRA ,Power management primitive rate acknowledge" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 26. " POE ,Primitive override enable" "Disabled,Enabled" hexmask.long.word 0x00 16.--25. 1. " PRT ,PHY ready timer" hexmask.long.byte 0x00 8.--15. 1. " AIR ,ALIGN insertion rate" newline bitfld.long 0x00 7. " EPNRT ,Enable PHY not ready timer" "Disabled,Enabled" bitfld.long 0x00 6. " S4A ,Send 4 aligns" "Not sent,Sent" bitfld.long 0x00 5. " RXSE ,RX scramble enable" "Disabled,Enabled" bitfld.long 0x00 4. " TXSE ,TX scramble enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " TXPJ ,TX prim junk" "Scrambled,Single" bitfld.long 0x00 2. " TXC ,TX CONT" "Disabled,Enabled" bitfld.long 0x00 1. " RXBC ,RX bad CRC" "Disabled,Enabled" bitfld.long 0x00 0. " TXBC ,TX bad CRC" "Disabled,Enabled" line.long 0x04 "PLC1,Port LinkCfg1 Register" bitfld.long 0x04 6. " CD ,Data character or primitive" "Character,Primitive" bitfld.long 0x04 0.--5. " POS ,Primitive override state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x08 "PLC2,Port LinkCfg2 Register" group.long 0xE0++0x0B line.long 0x00 "PLS1,Port LinkStatus1 Register" hexmask.long.byte 0x00 24.--31. 1. " KCEC ,Kchar error count" hexmask.long.byte 0x00 16.--23. 1. " PIEC ,PHY internal error count" hexmask.long.byte 0x00 8.--15. 1. " CEC ,Code error count" hexmask.long.byte 0x00 0.--7. 1. " DEC ,Disparity error count" line.long 0x04 "PCMDC,Port CmdConfig Register" bitfld.long 0x04 29. " TSVIE ,Trustzone slave ID violation interrupt enable" "Disabled,Enabled" bitfld.long 0x04 28. " TSVI ,Trustzone slave ID violation interrupt" "No interrupt,Interrupt" rhexmask.long.word 0x04 12.--27. 1. " TSVT ,Trustzone slave ID of violating transaction" bitfld.long 0x04 1. " ETLL ,Enable transport layer loopback" "Disabled,Enabled" newline bitfld.long 0x04 0. " ETLLB ,Enable transport layer loopback in the BIST L mode" "Disabled,Enabled" line.long 0x08 "PPCS,Port PhyControl Status Register" rbitfld.long 0x08 30.--31. " PHYCE ,Current 2 bit code error" "00,01,10,11" rbitfld.long 0x08 28.--29. " PHYDE ,Current 2 bit disparity error" "00,01,10,11" rbitfld.long 0x08 27. " PHYKC ,Current 1 bit K character" "0,1" rhexmask.long.tbyte 0x08 11.--26. 1. " PHYD ,Current 16 bit data" newline bitfld.long 0x08 10. " CCAC ,Comma alignment has changed" "Not changed,Changed" rbitfld.long 0x08 5.--9. " CCA ,Current comma alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x08 0.--4. " PCTRLS ,Phy control state" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xF0++0x03 line.long 0x00 "TCR,Timer Control Register" hexmask.long.word 0x00 0.--12. 1. " TPS ,Timer prescalar value" group.long 0x100++0x13 line.long 0x00 "PXCLB,Port X Command List Base Address Register" hexmask.long.tbyte 0x00 10.--31. 0x04 " CLB ,Command list base address register" line.long 0x04 "PXCLBU,Port X Command List Base Address Upper 32-bits Register" line.long 0x08 "PXFB,Port X FIS Base Address Register" hexmask.long.tbyte 0x08 8.--31. 0x01 " FB ,FIS base address" line.long 0x0C "PXFBU,Port X FIS Base Address Upper 32-bits Register" line.long 0x10 "PXIS,Port X Interrupt Status Register" bitfld.long 0x10 31. " CPDS ,Cold port detect status" "Not detected,Detected" bitfld.long 0x10 30. " TFES ,Task file error status" "No error,Error" bitfld.long 0x10 29. " HBFS ,Host bus fatal error status" "No error,Error" newline bitfld.long 0x10 28. " HBDS ,Host bus data error status" "No error,Error" bitfld.long 0x10 27. " IFS ,Interface fatal error status" "No error,Error" bitfld.long 0x10 26. " NTFS ,Interface non-fatal error status" "No error,Error" newline bitfld.long 0x10 24. " OFS ,Overflow status" "Not overflowed,Overflowed" bitfld.long 0x10 23. " IPMS ,Incorrect port multiplier status" "Correct,Incorrect" rbitfld.long 0x10 22. " PRCS ,PhyRdy change status" "Not changed,Changed" newline bitfld.long 0x10 7. " DMPS ,Device mechanical presence status" "Not changed,Changed" rbitfld.long 0x10 6. " PCS ,Port connect change status" "Not changed,Changed" bitfld.long 0x10 5. " DPS ,Descriptor processed" "Not processed,Processed" newline rbitfld.long 0x10 4. " UFS ,Unknown FIS interrupt" "No interrupt,Interrupt" bitfld.long 0x10 3. " SDBS ,Set device bits interrupt" "No interrupt,Interrupt" bitfld.long 0x10 2. " DSS ,DMA setup FIS interrupt" "No interrupt,Interrupt" newline bitfld.long 0x10 1. " PSS ,PIO setup FIS interrupt" "No interrupt,Interrupt" bitfld.long 0x10 0. " DHRS ,Device to host register FIS interrupt" "No interrupt,Interrupt" if (((per.l(ad:0x03230000))&0x20000)==0x00)&&(((per.l(ad:0x03230000+0x118))&0x01)==0x00) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" rbitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" textfld " " bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" elif (((per.l(ad:0x03230000))&0x20000)==0x20000)&&(((per.l(ad:0x03230000+0x118))&0x01)==0x00) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" bitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" textfld " " bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" elif (((per.l(ad:0x03230000))&0x20000)==0x00)&&(((per.l(ad:0x03230000+0x118))&0x01)==0x01) group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" rbitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" rbitfld.long 0x00 8.--12. " CCS ,Current command slot" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" else group.long 0x118++0x03 line.long 0x00 "PXCMD,Port X Command And Status Register" bitfld.long 0x00 28.--31. " ICC ,Interface communication control" "Idle,Active,Partial,,,,Slumber,?..." bitfld.long 0x00 27. " ASP ,Aggressive slumber/partial" "Partial,Slumber" bitfld.long 0x00 26. " ALPE ,Aggressive link power management enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " DLAE ,Drive LED on ATAPI enable" "Disabled,Enabled" bitfld.long 0x00 24. " ATAPI ,Device is ATAPI" "Not ATAPI,ATAPI" bitfld.long 0x00 23. " APSTE ,Automatic partial to slumber transitions enabled" "Disabled,Enabled" newline rbitfld.long 0x00 22. " FBSCP ,FIS-based switching capable port" "Not capable,Capable" rbitfld.long 0x00 21. " ESP ,Indicates that this port's signal connector is externally accessible on a signal only connector" "Not accessible,Accessible" rbitfld.long 0x00 20. " CPD ,Cold presence detection support" "Not supported,Supported" newline rbitfld.long 0x00 19. " MPSP ,Mechanical presence switch attached to port support" "Not supported,Supported" rbitfld.long 0x00 18. " HPCP ,Hot plug capable port" "Not capable,Capable" bitfld.long 0x00 17. " PMA ,Port multiplier attached" "Not attached,Attached" newline rbitfld.long 0x00 16. " CPS ,Cold presence state" "Not detected,Detected" rbitfld.long 0x00 15. " CR ,Command list running" "Not running,Running" rbitfld.long 0x00 14. " FR ,FIS receive running" "Not running,Running" newline rbitfld.long 0x00 13. " MPSS ,Mechanical presence switch state" "Closed,Open" rbitfld.long 0x00 8.--12. " CCS ,Current command slot" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. " FRE ,FIS receive enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " CLO ,Command list override" "Not overridden,Overridden" rbitfld.long 0x00 2. " POD ,Power on device" "Powered off,Powered on" rbitfld.long 0x00 1. " SUD ,Spin-up device" "0,1" newline bitfld.long 0x00 0. " ST ,When set the HBA starts processing the command list" "Not started,Started" endif rgroup.long 0x128++0x03 line.long 0x00 "PXSSTS,Port X SATA Status Register" bitfld.long 0x00 8.--11. " IPM ,Interface power management" "Device not present,Active,Partial power management,,,,Slumber power management,?..." bitfld.long 0x00 4.--7. " SPD ,Current interface speed" "Device not present,Gen 1,Gen 2,Gen 3,?..." bitfld.long 0x00 0.--3. " DET ,Device detection" "Not detected/Not established,Detected/Not established,,Detected/established,Offline mode,?..." group.long 0x12C++0x07 line.long 0x00 "PXSCTL,Port X SATA Control Register" bitfld.long 0x00 8.--11. " IPM ,Indicates which power states the HBA is not allowed to transition to" "No restrictions,Partial state disabled,Slumber state disabled,Partial/Slumber state disabled,?..." bitfld.long 0x00 4.--7. " SPD ,Indicates the highest allowable speed of the interface" "No restrictions,Generation 1 comm rate,<=Generation 2 comm rate,<=Generation 3 comm rate,?..." bitfld.long 0x00 0.--3. " DET ,Device detection initialization" "Not detected/initialized,Perform interface comm init,,,Disable SATA,?..." line.long 0x04 "PXSERR,Port X SATA Error Register" bitfld.long 0x04 26. " DIAG[10] ,Determines that the device presence has changed is vendor specific" "Not changed,Changed" bitfld.long 0x04 25. " [9] ,Unknown FIS type" "Not received,Received" bitfld.long 0x04 24. " [8] ,Transport state transition error" "No error,Error" bitfld.long 0x04 23. " [7] ,Link sequence error" "No error,Error" newline bitfld.long 0x04 22. " [6] ,Handshake error" "No error,Error" bitfld.long 0x04 21. " [5] ,CRC error" "No error,Error" bitfld.long 0x04 20. " [4] ,Disparity error" "No error,Error" bitfld.long 0x04 19. " [3] ,10B to 8B decode error" "No error,Error" newline bitfld.long 0x04 18. " [2] ,Comm wake" "Not waked,Waked" bitfld.long 0x04 17. " [1] ,PHY internal error" "No error,Error" bitfld.long 0x04 16. " [0] ,PhyRdy change" "Not changed,Changed" bitfld.long 0x04 11. " ERR[11] ,Internal error" "No error,Error" newline bitfld.long 0x04 10. " [10] ,Protocol error" "No error,Error" bitfld.long 0x04 9. " [9] ,Persistent communication or data integrity error" "No error,Error" bitfld.long 0x04 8. " [8] ,Transient data integrity error" "No error,Error" bitfld.long 0x04 1. " [1] ,Recovered communications error" "No error,Error" newline bitfld.long 0x04 0. " [0] ,Recovered data integrity error" "No error,Error" group.long 0x138++0x03 line.long 0x00 "PXCI,Port X Command Issue Register" bitfld.long 0x00 31. " CI[31] ,Command slot 31 command issued" "Not issued,Issued" bitfld.long 0x00 30. " [30] ,Command slot 30 command issued" "Not issued,Issued" bitfld.long 0x00 29. " [29] ,Command slot 29 command issued" "Not issued,Issued" bitfld.long 0x00 28. " [28] ,Command slot 28 command issued" "Not issued,Issued" newline bitfld.long 0x00 27. " [27] ,Command slot 27 command issued" "Not issued,Issued" bitfld.long 0x00 26. " [26] ,Command slot 26 command issued" "Not issued,Issued" bitfld.long 0x00 25. " [25] ,Command slot 25 command issued" "Not issued,Issued" bitfld.long 0x00 24. " [24] ,Command slot 24 command issued" "Not issued,Issued" newline bitfld.long 0x00 23. " [23] ,Command slot 23 command issued" "Not issued,Issued" bitfld.long 0x00 22. " [22] ,Command slot 22 command issued" "Not issued,Issued" bitfld.long 0x00 21. " [21] ,Command slot 21 command issued" "Not issued,Issued" bitfld.long 0x00 20. " [20] ,Command slot 20 command issued" "Not issued,Issued" newline bitfld.long 0x00 19. " [19] ,Command slot 19 command issued" "Not issued,Issued" bitfld.long 0x00 18. " [18] ,Command slot 18 command issued" "Not issued,Issued" bitfld.long 0x00 17. " [17] ,Command slot 17 command issued" "Not issued,Issued" bitfld.long 0x00 16. " [16] ,Command slot 16 command issued" "Not issued,Issued" newline bitfld.long 0x00 15. " [15] ,Command slot 15 command issued" "Not issued,Issued" bitfld.long 0x00 14. " [14] ,Command slot 14 command issued" "Not issued,Issued" bitfld.long 0x00 13. " [13] ,Command slot 13 command issued" "Not issued,Issued" bitfld.long 0x00 12. " [12] ,Command slot 12 command issued" "Not issued,Issued" newline bitfld.long 0x00 11. " [11] ,Command slot 11 command issued" "Not issued,Issued" bitfld.long 0x00 10. " [10] ,Command slot 10 command issued" "Not issued,Issued" bitfld.long 0x00 9. " [9] ,Command slot 9 command issued" "Not issued,Issued" bitfld.long 0x00 8. " [8] ,Command slot 8 command issued" "Not issued,Issued" newline bitfld.long 0x00 7. " [7] ,Command slot 7 command issued" "Not issued,Issued" bitfld.long 0x00 6. " [6] ,Command slot 6 command issued" "Not issued,Issued" bitfld.long 0x00 5. " [5] ,Command slot 5 command issued" "Not issued,Issued" bitfld.long 0x00 4. " [4] ,Command slot 4 command issued" "Not issued,Issued" newline bitfld.long 0x00 3. " [3] ,Command slot 3 command issued" "Not issued,Issued" bitfld.long 0x00 2. " [2] ,Command slot 2 command issued" "Not issued,Issued" bitfld.long 0x00 1. " [1] ,Command slot 1 command issued" "Not issued,Issued" bitfld.long 0x00 0. " [0] ,Command slot 0 command issued" "Not issued,Issued" if (((per.l(ad:0x03230000+0x140))&0x04)==0x04) group.long 0x140++0x03 line.long 0x00 "PXFBS,Port X FIS-based Switching Control Register" rbitfld.long 0x00 16.--19. " DWE ,Device with error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 12.--15. " ADO ,Active device optimization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " DEV ,Device to issue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. " SDE ,Single device error" "No error,Error" bitfld.long 0x00 1. " DEC ,Device error clear" "No effect,Clear" bitfld.long 0x00 0. " EN ,FIS-based switching enable" "Disabled,Enabled" else group.long 0x140++0x03 line.long 0x00 "PXFBS,Port X FIS-based Switching Control Register" rbitfld.long 0x00 12.--15. " ADO ,Active device optimization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " DEV ,Device to issue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. " SDE ,Single device error" "No error,Error" bitfld.long 0x00 1. " DEC ,Device error clear" "No effect,Clear" bitfld.long 0x00 0. " EN ,FIS-based switching enable" "Disabled,Enabled" endif group.long 0x170++0x03 line.long 0x00 "PBERR,Port 0 BIST Error Register" bitfld.long 0x00 1. " BEOS ,BIST error one shot bit" "No error,Error" rbitfld.long 0x00 0. " BERR ,BIST error" "No error,Error" width 0x0B tree.end tree.end tree.open "SerDes (Serializer/Deserializer)" tree "SerDes Registers" tree "SerDes 1" base ad:0x01EA0000 width 13. group.long 0x00++0x03 line.long 0x00 "RSTCTL,SerDes Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,Reset error" "No error,Error" eventfld.long 0x00 26. " STP_REQ ,Stop request" "Not requested,Requested" group.long 0x2C++0x03 line.long 0x00 "LCAPCR3,SerDes Left End Cap Control Register 3" bitfld.long 0x00 28. " ANA_REFCLK_BUF_EN ,On-chip refclk input to SerDes PLLF enable" "Disabled,Enabled" group.long 0x400++0x0B line.long 0x00 "PLLFRSTCTL,SerDes PLLF Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,PLL reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,PLL reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,PLL reset error" "No error,Error" eventfld.long 0x00 27. " HLT_REQ ,PLL halt request" "Not requested,Requested" newline eventfld.long 0x00 26. " STP_REQ ,PLL stop request" "Not requested,Requested" bitfld.long 0x00 24. " DIS ,PLL disable" "No,Yes" newline rbitfld.long 0x00 23. " LOCK ,PLL lock" "Not locked,Locked" line.long 0x04 "PLLFCR0,SerDes PLLF Control/Status Register 0" bitfld.long 0x04 28.--29. " REFCLK_PTRM ,RX termination configuration on SerDes refclk inputs select" "Calibrated SVSS,Calibrated SVSS,Uncalibrated HiZ,Uncalibrated SVDD" bitfld.long 0x04 16.--20. " REFCLK_SEL ,Reference clock select" "100 MHz,125 MHz,156.25 MHz,161.1328125 MHz,?..." line.long 0x08 "PLLFCR1,SerDes PLLF Control/Status Register 1" bitfld.long 0x08 31. " SLOW_VCO_EN ,Slower of two VCOs in a given PLL enable" "Disabled,Enabled" bitfld.long 0x08 24.--28. " FRATE_SEL ,Clocknet frequency select" ",,,,,,,,,,,,,,,,5G/25G,6.25/25G,6G/24G,,,,12.890625G/25.78125,8G/24G,,8G/16G,?..." newline bitfld.long 0x08 22. " RTMR_INS_OV_EN ,Override for retimer inslew to clock driver and SSC PI enable" "Disabled,Enabled" bitfld.long 0x08 21. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" newline bitfld.long 0x08 20. " CLKD_RCAL_SLW_EN ,Resistor calibration for clock driver enable" "Disabled,Enabled" bitfld.long 0x08 8.--10. " RTMR_INS_OVD ,Override for retimer inslew to clock driver and SSC PI" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 3. " RTMR_BYP ,Retimer bypass" "Not bypassed,Bypassed" bitfld.long 0x08 0.--1. " EX_DLY_SEL ,External delay clock select" "Disabled,Freq/20,Freq/16,?..." group.long (0x400+0x10)++0x07 line.long 0x00 "PLLFCR3,SerDes PLLF Control/Status Register 3" bitfld.long 0x00 28.--29. " SSC_SEL ,PLL modulation mode select" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 16.--25. 1. " SSC_SLP_OFF ,Spread spectrum control register" line.long 0x04 "PLLFCR4,SerDes PLLF Control/Status Register 4" bitfld.long 0x04 29.--31. " SSC_BIAS_BST ,SSC bias boost" "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--25. 0x01 " SSC_SAW_MIN ,SawTooth minimum frequency offset control register" newline bitfld.long 0x04 11.--15. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x04 0.--9. 0x01 " SSC_SAW_MAX ,Maximum frequency offset of sawtooth" group.long (0x400+0x28)++0x03 line.long 0x00 "PLLFCR9,SerDes PLLF Control/Status Register 9" bitfld.long 0x00 29.--31. " BG_ADJ ,Band gap adjustment controls for PLLF" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " BGRX_ADJ ,Band gap voltage adjustment for RX in fuse use" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--21. " BGRX_TEMP_ADJ ,Band gap slope vs. temperature adjustment for RX in fuse use" "0,1,2,3" group.long (0x400+0x30)++0x0F line.long 0x00 "PLLFSSCR0,SerDes PLLF Speed Switch Control Register 0" bitfld.long 0x00 30.--31. " SSC_SEL ,PLL modulation mode select for PCIe gen3/4" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 20.--29. 1. " SSC_SLP_OFF_100 ,Spread spectrum control register for 100 MHz refclk" newline hexmask.long.word 0x00 10.--19. 1. " SSC_SLP_OFF_125 ,Spread spectrum control register for 125 MHz refclk" hexmask.long.word 0x00 0.--9. 1. " SSC_SLP_OFF_250 ,Spread spectrum control register for 250 MHz refclk" line.long 0x04 "PLLFSSCR1,SerDes PLLF Speed Switch Control Register 1" hexmask.long.word 0x04 20.--29. 0x10 " SSC_SAW_MIN_100 ,SawTooth minimum frequency offset control register for 100 MHz refclk" hexmask.long.word 0x04 10.--19. 0x04 " SSC_SAW_MIN_125 ,SawTooth minimum frequency offset control register for 125 MHz refclk" line.long 0x08 "PLLFSSCR2,SerDes PLLF Speed Switch Control Register 2" bitfld.long 0x08 6. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" bitfld.long 0x08 0.--4. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "PLLFSSCR3,SerDes PLLF Speed Switch Control Register 3" hexmask.long.word 0x0C 20.--29. 0x10 " SSC_SAW_MAX_100 ,Maximum frequency offset of sawtooth for 100 MHz refclk for PCie gen3/4" hexmask.long.word 0x0C 10.--19. 0x04 " SSC_SAW_MAX_125 ,Maximum frequency offset of sawtooth for 125 MHz refclk for PCie gen3/4" group.long 0x500++0x0B line.long 0x00 "PLLSRSTCTL,SerDes PLLS Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,PLL reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,PLL reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,PLL reset error" "No error,Error" eventfld.long 0x00 27. " HLT_REQ ,PLL halt request" "Not requested,Requested" newline eventfld.long 0x00 26. " STP_REQ ,PLL stop request" "Not requested,Requested" bitfld.long 0x00 24. " DIS ,PLL disable" "No,Yes" newline rbitfld.long 0x00 23. " LOCK ,PLL lock" "Not locked,Locked" line.long 0x04 "PLLSCR0,SerDes PLLS Control/Status Register 0" bitfld.long 0x04 28.--29. " REFCLK_PTRM ,RX termination configuration on SerDes refclk inputs select" "Calibrated SVSS,Calibrated SVSS,Uncalibrated HiZ,Uncalibrated SVDD" bitfld.long 0x04 16.--20. " REFCLK_SEL ,Reference clock select" "100 MHz,125 MHz,156.25 MHz,161.1328125 MHz,?..." line.long 0x08 "PLLSCR1,SerDes PLLS Control/Status Register 1" bitfld.long 0x08 31. " SLOW_VCO_EN ,Slower of two VCOs in a given PLL enable" "Disabled,Enabled" bitfld.long 0x08 24.--28. " FRATE_SEL ,Clocknet frequency select" "5G/20G,6.25G/18.75,,,,,10.3125G/20.625,,,,,,,,,,5G/25G,6.25/25G,6G/24G,,,,12.890625G/25.78125,8G/24G,?..." newline bitfld.long 0x08 22. " RTMR_INS_OV_EN ,Override for retimer inslew to clock driver and SSC PI enable" "Disabled,Enabled" bitfld.long 0x08 21. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" newline bitfld.long 0x08 20. " CLKD_RCAL_SLW_EN ,Resistor calibration for clock driver enable" "Disabled,Enabled" bitfld.long 0x08 8.--10. " RTMR_INS_OVD ,Override for retimer inslew to clock driver and SSC PI" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 3. " RTMR_BYP ,Retimer bypass" "Not bypassed,Bypassed" bitfld.long 0x08 0.--1. " EX_DLY_SEL ,External delay clock select" "Disabled,Freq/20,Freq/16,?..." group.long (0x500+0x10)++0x07 line.long 0x00 "PLLSCR3,SerDes PLLS Control/Status Register 3" bitfld.long 0x00 28.--29. " SSC_SEL ,PLL modulation mode select" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 16.--25. 1. " SSC_SLP_OFF ,Spread spectrum control register" line.long 0x04 "PLLSCR4,SerDes PLLS Control/Status Register 4" bitfld.long 0x04 29.--31. " SSC_BIAS_BST ,SSC bias boost" "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--25. 0x01 " SSC_SAW_MIN ,SawTooth minimum frequency offset control register" newline bitfld.long 0x04 11.--15. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x04 0.--9. 0x01 " SSC_SAW_MAX ,Maximum frequency offset of sawtooth" group.long (0x500+0x28)++0x03 line.long 0x00 "PLLSCR9,SerDes PLLS Control/Status Register 9" bitfld.long 0x00 29.--31. " BG_ADJ ,Band gap adjustment controls for PLLS" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " BGRX_ADJ ,Band gap voltage adjustment for RX in fuse use" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--21. " BGRX_TEMP_ADJ ,Band gap slope vs. temperature adjustment for RX in fuse use" "0,1,2,3" group.long (0x500+0x30)++0x0F line.long 0x00 "PLLSSSCR0,SerDes PLLS Speed Switch Control Register 0" bitfld.long 0x00 30.--31. " SSC_SEL ,PLL modulation mode select for PCIe gen3/4" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 20.--29. 1. " SSC_SLP_OFF_100 ,Spread spectrum control register for 100 MHz refclk" newline hexmask.long.word 0x00 10.--19. 1. " SSC_SLP_OFF_125 ,Spread spectrum control register for 125 MHz refclk" hexmask.long.word 0x00 0.--9. 1. " SSC_SLP_OFF_250 ,Spread spectrum control register for 250 MHz refclk" line.long 0x04 "PLLSSSCR1,SerDes PLLS Speed Switch Control Register 1" hexmask.long.word 0x04 20.--29. 0x10 " SSC_SAW_MIN_100 ,SawTooth minimum frequency offset control register for 100 MHz refclk" hexmask.long.word 0x04 10.--19. 0x04 " SSC_SAW_MIN_125 ,SawTooth minimum frequency offset control register for 125 MHz refclk" line.long 0x08 "PLLSSSCR2,SerDes PLLS Speed Switch Control Register 2" bitfld.long 0x08 6. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" bitfld.long 0x08 0.--4. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "PLLSSSCR3,SerDes PLLS Speed Switch Control Register 3" hexmask.long.word 0x0C 20.--29. 0x10 " SSC_SAW_MAX_100 ,Maximum frequency offset of sawtooth for 100 MHz refclk for PCie gen3/4" hexmask.long.word 0x0C 10.--19. 0x04 " SSC_SAW_MAX_125 ,Maximum frequency offset of sawtooth for 125 MHz refclk for PCie gen3/4" group.long 0x800++0x03 line.long 0x00 "LNAGCR0,SerDes Lane A General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0x800+0x20)++0x03 line.long 0x00 "LNATRSTCTL,SerDes Lane A TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EA0000+0x800))&0xF8)==0x00) group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EA0000+0x800))&0xF8)==0x10) group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EA0000+0x800))&0xF8)==0x00) rgroup.long (0x800+0x30)++0x03 line.long 0x00 "LNATECR0,SerDes Lane A TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0x800+0x30)++0x03 line.long 0x00 "LNATECR0,SerDes Lane A TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EA0000+0x800))&0xF8)==0x00) group.long (0x800+0x34)++0x03 line.long 0x00 "LNATECR1,SerDes Lane A TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0x800+0x34)++0x03 line.long 0x00 "LNATECR1,SerDes Lane A TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0x800+0x40)++0x03 line.long 0x00 "LNARRSTCTL,SerDes Lane A RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EA0000+0x800))&0xF8)==(0x00||0x10)) group.long (0x800+0x44)++0x03 line.long 0x00 "LNARGCR0,SerDes Lane A RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0x800+0x44)++0x03 line.long 0x00 "LNARGCR0,SerDes Lane A RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0x800+0x48)++0x03 line.long 0x00 "LNARGCR1,SerDes Lane A RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0x800+0x58)++0x03 line.long 0x00 "LNARECR2,SerDes Lane A RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EA0000+0x800))&0xF8)==0x00) group.long (0x800+0x5C)++0x03 line.long 0x00 "LNARECR3,SerDes Lane A RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0x800+0x5C)++0x03 line.long 0x00 "LNARECR3,SerDes Lane A RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0x800+0x60)++0x03 line.long 0x00 "LNARECR4,SerDes Lane A RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0x800+0x80)++0x03 line.long 0x00 "LNATTLCR0,SerDes Lane A Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0x800+0xA0)++0x07 line.long 0x00 "LNATCSR0,SerDes Lane A Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNATCSR1,SerDes Lane A Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0x800+0xA8)++0x03 line.long 0x00 "LNATCSR2,SerDes Lane A Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0x800+0xD0)++0x0B line.long 0x00 "LNARXSS0,SerDes Lane A RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNARXSS1,SerDes Lane A RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNARXSS2,SerDes Lane A RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0x800+0xF0)++0x0B line.long 0x00 "LNATXSS0,SerDes Lane A TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNATXSS1,SerDes Lane A TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNATXSS2,SerDes Lane A TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0x900++0x03 line.long 0x00 "LNBGCR0,SerDes Lane B General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0x900+0x20)++0x03 line.long 0x00 "LNBTRSTCTL,SerDes Lane B TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EA0000+0x900))&0xF8)==0x00) group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EA0000+0x900))&0xF8)==0x10) group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EA0000+0x900))&0xF8)==0x00) rgroup.long (0x900+0x30)++0x03 line.long 0x00 "LNBTECR0,SerDes Lane B TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0x900+0x30)++0x03 line.long 0x00 "LNBTECR0,SerDes Lane B TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EA0000+0x900))&0xF8)==0x00) group.long (0x900+0x34)++0x03 line.long 0x00 "LNBTECR1,SerDes Lane B TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0x900+0x34)++0x03 line.long 0x00 "LNBTECR1,SerDes Lane B TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0x900+0x40)++0x03 line.long 0x00 "LNBRRSTCTL,SerDes Lane B RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EA0000+0x900))&0xF8)==(0x00||0x10)) group.long (0x900+0x44)++0x03 line.long 0x00 "LNBRGCR0,SerDes Lane B RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0x900+0x44)++0x03 line.long 0x00 "LNBRGCR0,SerDes Lane B RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0x900+0x48)++0x03 line.long 0x00 "LNBRGCR1,SerDes Lane B RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0x900+0x58)++0x03 line.long 0x00 "LNBRECR2,SerDes Lane B RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EA0000+0x900))&0xF8)==0x00) group.long (0x900+0x5C)++0x03 line.long 0x00 "LNBRECR3,SerDes Lane B RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0x900+0x5C)++0x03 line.long 0x00 "LNBRECR3,SerDes Lane B RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0x900+0x60)++0x03 line.long 0x00 "LNBRECR4,SerDes Lane B RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0x900+0x80)++0x03 line.long 0x00 "LNBTTLCR0,SerDes Lane B Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0x900+0xA0)++0x07 line.long 0x00 "LNBTCSR0,SerDes Lane B Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNBTCSR1,SerDes Lane B Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0x900+0xA8)++0x03 line.long 0x00 "LNBTCSR2,SerDes Lane B Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0x900+0xD0)++0x0B line.long 0x00 "LNBRXSS0,SerDes Lane B RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNBRXSS1,SerDes Lane B RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNBRXSS2,SerDes Lane B RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0x900+0xF0)++0x0B line.long 0x00 "LNBTXSS0,SerDes Lane B TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNBTXSS1,SerDes Lane B TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNBTXSS2,SerDes Lane B TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xA00++0x03 line.long 0x00 "LNCGCR0,SerDes Lane C General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xA00+0x20)++0x03 line.long 0x00 "LNCTRSTCTL,SerDes Lane C TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EA0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EA0000+0xA00))&0xF8)==0x10) group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EA0000+0xA00))&0xF8)==0x00) rgroup.long (0xA00+0x30)++0x03 line.long 0x00 "LNCTECR0,SerDes Lane C TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xA00+0x30)++0x03 line.long 0x00 "LNCTECR0,SerDes Lane C TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EA0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x34)++0x03 line.long 0x00 "LNCTECR1,SerDes Lane C TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xA00+0x34)++0x03 line.long 0x00 "LNCTECR1,SerDes Lane C TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xA00+0x40)++0x03 line.long 0x00 "LNCRRSTCTL,SerDes Lane C RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EA0000+0xA00))&0xF8)==(0x00||0x10)) group.long (0xA00+0x44)++0x03 line.long 0x00 "LNCRGCR0,SerDes Lane C RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xA00+0x44)++0x03 line.long 0x00 "LNCRGCR0,SerDes Lane C RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xA00+0x48)++0x03 line.long 0x00 "LNCRGCR1,SerDes Lane C RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xA00+0x58)++0x03 line.long 0x00 "LNCRECR2,SerDes Lane C RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EA0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x5C)++0x03 line.long 0x00 "LNCRECR3,SerDes Lane C RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xA00+0x5C)++0x03 line.long 0x00 "LNCRECR3,SerDes Lane C RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xA00+0x60)++0x03 line.long 0x00 "LNCRECR4,SerDes Lane C RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xA00+0x80)++0x03 line.long 0x00 "LNCTTLCR0,SerDes Lane C Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xA00+0xA0)++0x07 line.long 0x00 "LNCTCSR0,SerDes Lane C Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNCTCSR1,SerDes Lane C Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xA00+0xA8)++0x03 line.long 0x00 "LNCTCSR2,SerDes Lane C Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xA00+0xD0)++0x0B line.long 0x00 "LNCRXSS0,SerDes Lane C RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNCRXSS1,SerDes Lane C RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNCRXSS2,SerDes Lane C RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xA00+0xF0)++0x0B line.long 0x00 "LNCTXSS0,SerDes Lane C TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNCTXSS1,SerDes Lane C TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNCTXSS2,SerDes Lane C TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xB00++0x03 line.long 0x00 "LNDGCR0,SerDes Lane D General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xB00+0x20)++0x03 line.long 0x00 "LNDTRSTCTL,SerDes Lane D TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EA0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EA0000+0xB00))&0xF8)==0x10) group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EA0000+0xB00))&0xF8)==0x00) rgroup.long (0xB00+0x30)++0x03 line.long 0x00 "LNDTECR0,SerDes Lane D TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xB00+0x30)++0x03 line.long 0x00 "LNDTECR0,SerDes Lane D TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EA0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x34)++0x03 line.long 0x00 "LNDTECR1,SerDes Lane D TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xB00+0x34)++0x03 line.long 0x00 "LNDTECR1,SerDes Lane D TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xB00+0x40)++0x03 line.long 0x00 "LNDRRSTCTL,SerDes Lane D RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EA0000+0xB00))&0xF8)==(0x00||0x10)) group.long (0xB00+0x44)++0x03 line.long 0x00 "LNDRGCR0,SerDes Lane D RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xB00+0x44)++0x03 line.long 0x00 "LNDRGCR0,SerDes Lane D RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xB00+0x48)++0x03 line.long 0x00 "LNDRGCR1,SerDes Lane D RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xB00+0x58)++0x03 line.long 0x00 "LNDRECR2,SerDes Lane D RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EA0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x5C)++0x03 line.long 0x00 "LNDRECR3,SerDes Lane D RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xB00+0x5C)++0x03 line.long 0x00 "LNDRECR3,SerDes Lane D RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xB00+0x60)++0x03 line.long 0x00 "LNDRECR4,SerDes Lane D RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xB00+0x80)++0x03 line.long 0x00 "LNDTTLCR0,SerDes Lane D Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xB00+0xA0)++0x07 line.long 0x00 "LNDTCSR0,SerDes Lane D Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNDTCSR1,SerDes Lane D Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xB00+0xA8)++0x03 line.long 0x00 "LNDTCSR2,SerDes Lane D Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xB00+0xD0)++0x0B line.long 0x00 "LNDRXSS0,SerDes Lane D RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNDRXSS1,SerDes Lane D RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNDRXSS2,SerDes Lane D RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xB00+0xF0)++0x0B line.long 0x00 "LNDTXSS0,SerDes Lane D TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNDTXSS1,SerDes Lane D TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNDTXSS2,SerDes Lane D TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xC00++0x03 line.long 0x00 "LNEGCR0,SerDes Lane E General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xC00+0x20)++0x03 line.long 0x00 "LNETRSTCTL,SerDes Lane E TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EA0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EA0000+0xC00))&0xF8)==0x10) group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EA0000+0xC00))&0xF8)==0x00) rgroup.long (0xC00+0x30)++0x03 line.long 0x00 "LNETECR0,SerDes Lane E TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xC00+0x30)++0x03 line.long 0x00 "LNETECR0,SerDes Lane E TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EA0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x34)++0x03 line.long 0x00 "LNETECR1,SerDes Lane E TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xC00+0x34)++0x03 line.long 0x00 "LNETECR1,SerDes Lane E TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xC00+0x40)++0x03 line.long 0x00 "LNERRSTCTL,SerDes Lane E RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EA0000+0xC00))&0xF8)==(0x00||0x10)) group.long (0xC00+0x44)++0x03 line.long 0x00 "LNERGCR0,SerDes Lane E RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xC00+0x44)++0x03 line.long 0x00 "LNERGCR0,SerDes Lane E RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xC00+0x48)++0x03 line.long 0x00 "LNERGCR1,SerDes Lane E RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xC00+0x58)++0x03 line.long 0x00 "LNERECR2,SerDes Lane E RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EA0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x5C)++0x03 line.long 0x00 "LNERECR3,SerDes Lane E RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xC00+0x5C)++0x03 line.long 0x00 "LNERECR3,SerDes Lane E RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xC00+0x60)++0x03 line.long 0x00 "LNERECR4,SerDes Lane E RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xC00+0x80)++0x03 line.long 0x00 "LNETTLCR0,SerDes Lane E Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xC00+0xA0)++0x07 line.long 0x00 "LNETCSR0,SerDes Lane E Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNETCSR1,SerDes Lane E Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xC00+0xA8)++0x03 line.long 0x00 "LNETCSR2,SerDes Lane E Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xC00+0xD0)++0x0B line.long 0x00 "LNERXSS0,SerDes Lane E RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNERXSS1,SerDes Lane E RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNERXSS2,SerDes Lane E RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xC00+0xF0)++0x0B line.long 0x00 "LNETXSS0,SerDes Lane E TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNETXSS1,SerDes Lane E TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNETXSS2,SerDes Lane E TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xD00++0x03 line.long 0x00 "LNFGCR0,SerDes Lane F General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xD00+0x20)++0x03 line.long 0x00 "LNFTRSTCTL,SerDes Lane F TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EA0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EA0000+0xD00))&0xF8)==0x10) group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EA0000+0xD00))&0xF8)==0x00) rgroup.long (0xD00+0x30)++0x03 line.long 0x00 "LNFTECR0,SerDes Lane F TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xD00+0x30)++0x03 line.long 0x00 "LNFTECR0,SerDes Lane F TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EA0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x34)++0x03 line.long 0x00 "LNFTECR1,SerDes Lane F TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xD00+0x34)++0x03 line.long 0x00 "LNFTECR1,SerDes Lane F TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xD00+0x40)++0x03 line.long 0x00 "LNFRRSTCTL,SerDes Lane F RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EA0000+0xD00))&0xF8)==(0x00||0x10)) group.long (0xD00+0x44)++0x03 line.long 0x00 "LNFRGCR0,SerDes Lane F RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xD00+0x44)++0x03 line.long 0x00 "LNFRGCR0,SerDes Lane F RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xD00+0x48)++0x03 line.long 0x00 "LNFRGCR1,SerDes Lane F RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xD00+0x58)++0x03 line.long 0x00 "LNFRECR2,SerDes Lane F RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EA0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x5C)++0x03 line.long 0x00 "LNFRECR3,SerDes Lane F RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xD00+0x5C)++0x03 line.long 0x00 "LNFRECR3,SerDes Lane F RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xD00+0x60)++0x03 line.long 0x00 "LNFRECR4,SerDes Lane F RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xD00+0x80)++0x03 line.long 0x00 "LNFTTLCR0,SerDes Lane F Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xD00+0xA0)++0x07 line.long 0x00 "LNFTCSR0,SerDes Lane F Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNFTCSR1,SerDes Lane F Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xD00+0xA8)++0x03 line.long 0x00 "LNFTCSR2,SerDes Lane F Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xD00+0xD0)++0x0B line.long 0x00 "LNFRXSS0,SerDes Lane F RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNFRXSS1,SerDes Lane F RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNFRXSS2,SerDes Lane F RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xD00+0xF0)++0x0B line.long 0x00 "LNFTXSS0,SerDes Lane F TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNFTXSS1,SerDes Lane F TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNFTXSS2,SerDes Lane F TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xE00++0x03 line.long 0x00 "LNGGCR0,SerDes Lane G General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xE00+0x20)++0x03 line.long 0x00 "LNGTRSTCTL,SerDes Lane G TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EA0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EA0000+0xE00))&0xF8)==0x10) group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EA0000+0xE00))&0xF8)==0x00) rgroup.long (0xE00+0x30)++0x03 line.long 0x00 "LNGTECR0,SerDes Lane G TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xE00+0x30)++0x03 line.long 0x00 "LNGTECR0,SerDes Lane G TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EA0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x34)++0x03 line.long 0x00 "LNGTECR1,SerDes Lane G TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xE00+0x34)++0x03 line.long 0x00 "LNGTECR1,SerDes Lane G TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xE00+0x40)++0x03 line.long 0x00 "LNGRRSTCTL,SerDes Lane G RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EA0000+0xE00))&0xF8)==(0x00||0x10)) group.long (0xE00+0x44)++0x03 line.long 0x00 "LNGRGCR0,SerDes Lane G RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xE00+0x44)++0x03 line.long 0x00 "LNGRGCR0,SerDes Lane G RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xE00+0x48)++0x03 line.long 0x00 "LNGRGCR1,SerDes Lane G RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xE00+0x58)++0x03 line.long 0x00 "LNGRECR2,SerDes Lane G RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EA0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x5C)++0x03 line.long 0x00 "LNGRECR3,SerDes Lane G RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xE00+0x5C)++0x03 line.long 0x00 "LNGRECR3,SerDes Lane G RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xE00+0x60)++0x03 line.long 0x00 "LNGRECR4,SerDes Lane G RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xE00+0x80)++0x03 line.long 0x00 "LNGTTLCR0,SerDes Lane G Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xE00+0xA0)++0x07 line.long 0x00 "LNGTCSR0,SerDes Lane G Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNGTCSR1,SerDes Lane G Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xE00+0xA8)++0x03 line.long 0x00 "LNGTCSR2,SerDes Lane G Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xE00+0xD0)++0x0B line.long 0x00 "LNGRXSS0,SerDes Lane G RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNGRXSS1,SerDes Lane G RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNGRXSS2,SerDes Lane G RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xE00+0xF0)++0x0B line.long 0x00 "LNGTXSS0,SerDes Lane G TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNGTXSS1,SerDes Lane G TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNGTXSS2,SerDes Lane G TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xF00++0x03 line.long 0x00 "LNHGCR0,SerDes Lane H General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xF00+0x20)++0x03 line.long 0x00 "LNHTRSTCTL,SerDes Lane H TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EA0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EA0000+0xF00))&0xF8)==0x10) group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EA0000+0xF00))&0xF8)==0x00) rgroup.long (0xF00+0x30)++0x03 line.long 0x00 "LNHTECR0,SerDes Lane H TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xF00+0x30)++0x03 line.long 0x00 "LNHTECR0,SerDes Lane H TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EA0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x34)++0x03 line.long 0x00 "LNHTECR1,SerDes Lane H TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xF00+0x34)++0x03 line.long 0x00 "LNHTECR1,SerDes Lane H TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xF00+0x40)++0x03 line.long 0x00 "LNHRRSTCTL,SerDes Lane H RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EA0000+0xF00))&0xF8)==(0x00||0x10)) group.long (0xF00+0x44)++0x03 line.long 0x00 "LNHRGCR0,SerDes Lane H RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xF00+0x44)++0x03 line.long 0x00 "LNHRGCR0,SerDes Lane H RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xF00+0x48)++0x03 line.long 0x00 "LNHRGCR1,SerDes Lane H RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xF00+0x58)++0x03 line.long 0x00 "LNHRECR2,SerDes Lane H RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EA0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x5C)++0x03 line.long 0x00 "LNHRECR3,SerDes Lane H RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xF00+0x5C)++0x03 line.long 0x00 "LNHRECR3,SerDes Lane H RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xF00+0x60)++0x03 line.long 0x00 "LNHRECR4,SerDes Lane H RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xF00+0x80)++0x03 line.long 0x00 "LNHTTLCR0,SerDes Lane H Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xF00+0xA0)++0x07 line.long 0x00 "LNHTCSR0,SerDes Lane H Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNHTCSR1,SerDes Lane H Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xF00+0xA8)++0x03 line.long 0x00 "LNHTCSR2,SerDes Lane H Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xF00+0xD0)++0x0B line.long 0x00 "LNHRXSS0,SerDes Lane H RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNHRXSS1,SerDes Lane H RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNHRXSS2,SerDes Lane H RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xF00+0xF0)++0x0B line.long 0x00 "LNHTXSS0,SerDes Lane H TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNHTXSS1,SerDes Lane H TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNHTXSS2,SerDes Lane H TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0x1080++0x03 line.long 0x00 "PCC0,Protocol Configuration Register 0" bitfld.long 0x00 28.--30. " PEXA_CFG ,PEXa configuration" "Disabled,X1 on lane 7 and X1 on lane 0,X2[1:0] on lanes[6:7] and X2[1:0] on lanes [1:0],X4[3:0] on lanes [4:7] and X4[3:0] on lanes [3:0],X8[7:0] on lanes [7:0],?..." newline bitfld.long 0x00 20.--22. " PEXC_CFG ,PEXc configuration" "Disabled,X1 on lane 3 and X1 on lane 4,X2[1:0] on lanes[2:3] and X2[1:0] on lanes [5:4],X4[3:0] on lanes [0:3] and X4[3:0] on lanes [7:4],?..." group.long 0x1088++0x03 line.long 0x00 "PCC2,Protocol Configuration Register 2" bitfld.long 0x00 28.--30. " SATAA_CFG ,SATAa configuration" "Disabled,X1 on lane 2,X1 on lane 6,?..." bitfld.long 0x00 24.--26. " SATAB_CFG ,SATAb configuration" "Disabled,X1 on lane 3,X1 on lane 7,?..." newline bitfld.long 0x00 20.--22. " SATAC_CFG ,SATAc configuration" "Disabled,X1 on lane 4,?..." bitfld.long 0x00 16.--18. " SATAD_CFG ,SATAd configuration" "Disabled,X1 on lane 5,?..." group.long 0x10A0++0x03 line.long 0x00 "PCC8,Protocol Configuration Register 8" bitfld.long 0x00 31. " SGMIIA_KX ,SGMIIa 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 28.--30. " SGMIIA_CFG ,SGMIIa configuration" "Disabled,X1 on lane 0,?..." newline bitfld.long 0x00 27. " SGMIIB_KX ,SGMIIc 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 24.--26. " SGMIIB_CFG ,SGMIIb configuration" "Disabled,X1 on lane 1,?..." newline bitfld.long 0x00 23. " SGMIIC_KX ,SGMIId 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 20.--22. " SGMIIC_CFG ,SGMIIc configuration" "Disabled,X1 on lane 2,?..." newline bitfld.long 0x00 19. " SGMIID_KX ,SGMIIe 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 16.--18. " SGMIID_CFG ,SGMIId configuration" "Disabled,X1 on lane 3,?..." newline bitfld.long 0x00 15. " SGMIIE_KX ,SGMIIf 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 12.--14. " SGMIIE_CFG ,SGMIIe configuration" "Disabled,X1 on lane 4,?..." newline bitfld.long 0x00 11. " SGMIIF_KX ,SGMIIf 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 8.--10. " SGMIIF_CFG ,SGMIIf configuration" "Disabled,X1 on lane 5,?..." newline bitfld.long 0x00 7. " SGMIIG_KX ,SGMIIg 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 4.--6. " SGMIIG_CFG ,SGMIIg configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x00 3. " SGMIIH_KX ,SGMIIh 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 0.--2. " SGMIIH_CFG ,SGMIIh configuration" "Disabled,X1 on lane 7,?..." sif !cpuis("LX2160A*")&&!cpuis("LX2120A*")&&!cpuis("LX2080A*") group.long 0x10A4++0x03 line.long 0x00 "PCC9,Protocol Configuration Register 9" bitfld.long 0x00 28.--30. " QSGMIA_CFG ,QSGMIA configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " QSGMIB_CFG ,QSGMIB configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--22. " QSGMIC_CFG ,QSGMIC configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 16.--18. " QSGMID_CFG ,QSGMID configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. " QXGMIA_CFG ,QXGMIA configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 8.--10. " QXGMIB_CFG ,QXGMIB configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 4.--6. " QXGMIC_CFG ,QXGMIC configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. " QXGMID_CFG ,QXGMID configuration" "Disabled,1,2,3,4,5,6,7" endif group.long 0x10B0++0x0B line.long 0x00 "PCCC,Protocol Configuration Register C" bitfld.long 0x00 31. " SXGMIIA_XFI ,SXGMIIA XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 28.--30. " SXGMIIA_CFG ,SXGMIIA configuration" "Disabled,X1 on lane 0,?..." newline bitfld.long 0x00 27. " SXGMIIB_XFI ,SXGMIIB XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 24.--26. " SXGMIIB_CFG ,SXGMIIB configuration" "Disabled,X1 on lane 1,?..." newline bitfld.long 0x00 23. " SXGMIIC_XFI ,SXGMIIC XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 20.--22. " SXGMIIC_CFG ,SXGMIIC configuration" "Disabled,X1 on lane 2,?..." newline bitfld.long 0x00 19. " SXGMIID_XFI ,SXGMIID XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 16.--18. " SXGMIID_CFG ,SXGMIID configuration" "Disabled,X1 on lane 3,?..." newline bitfld.long 0x00 15. " SXGMIIE_XFI ,SXGMIIE XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 12.--14. " SXGMIIE_CFG ,SXGMIIE configuration" "Disabled,X1 on lane 4,?..." newline bitfld.long 0x00 11. " SXGMIIF_XFI ,SXGMIIF XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 8.--10. " SXGMIIF_CFG ,SXGMIIF configuration" "Disabled,X1 on lane 5,?..." sif !cpuis("LX2??2A") newline bitfld.long 0x00 7. " SXGMIIG_XFI ,SXGMIIG XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 4.--6. " SXGMIIG_CFG ,SXGMIIG configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x00 3. " SXGMIIH_XFI ,SXGMIIH XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 0.--2. " SXGMIIH_CFG ,SXGMIIH configuration" "Disabled,X1 on lane 7,?..." endif line.long 0x04 "PCCD,Protocol Configuration Register D" bitfld.long 0x04 28.--30. " E25GA_CFG ,E25Ga configuration" "Disabled,X1 on lane 7,?..." bitfld.long 0x04 24.--26. " E25GB_CFG ,E25Gb configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x04 20.--22. " E25GC_CFG ,E25Gc configuration" "Disabled,X1 on lane 5,?..." bitfld.long 0x04 16.--18. " E25GD_CFG ,E25Gd configuration" "Disabled,X1 on lane 4,?..." sif !cpuis("LX2??2A") newline bitfld.long 0x04 4.--6. " E25GG_CFG ,E25Gg configuration" "Disabled,X1 on lane 1,?..." bitfld.long 0x04 0.--2. " E25GH_CFG ,E25Gh configuration" "Disabled,X1 on lane 0,?..." endif line.long 0x08 "PCCE,Protocol Configuration Register E" bitfld.long 0x08 31. " E40GA_LRV ,E40Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 28.--30. " E40GA_CFG ,E40Ga configuration" "Disabled,X4[3:0] on lanes [4:7],?..." sif !cpuis("LX2??2A") newline bitfld.long 0x08 27. " E40GB_LRV ,E40Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 24.--26. " E40GB_CFG ,E40Gb configuration" "Disabled,X4[3:0] on lanes [0:3],?..." endif newline bitfld.long 0x08 23. " E50GA_LRV ,E50Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 20.--22. " E50GA_CFG ,E50Ga configuration" "Disabled,X2[1:0] on lanes [6:7],?..." newline bitfld.long 0x08 19. " E50GB_LRV ,E50Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 16.--18. " E50GB_CFG ,E50Gb configuration" "Disabled,X2[1:0] on lanes [4:5],?..." sif !cpuis("LX2??2A") newline bitfld.long 0x08 15. " E100GA_LRV ,E100Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 12.--14. " E100GA_CFG ,E100Ga configuration" "Disabled,X4[3:0] on lanes [4:7],?..." newline bitfld.long 0x08 11. " E100GB_LRV ,E100Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 8.--10. " E100GB_CFG ,E100Gb configuration" "Disabled,X4[3:0] on lanes [0:3],?..." endif group.long 0x1100++0x03 line.long 0x00 "PEXEQ3,PCIe Equalization Gen3 Configuration Register" bitfld.long 0x00 6.--11. " FS ,PCI express FS value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--5. " LF ,PCI express LF value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x1104++0x03 line.long 0x00 "PEXEQ3P0,PCIe Equalization Gen3 Preset 0 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1108++0x03 line.long 0x00 "PEXEQ3P1,PCIe Equalization Gen3 Preset 1 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x110C++0x03 line.long 0x00 "PEXEQ3P2,PCIe Equalization Gen3 Preset 2 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1110++0x03 line.long 0x00 "PEXEQ3P3,PCIe Equalization Gen3 Preset 3 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1114++0x03 line.long 0x00 "PEXEQ3P4,PCIe Equalization Gen3 Preset 4 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1118++0x03 line.long 0x00 "PEXEQ3P5,PCIe Equalization Gen3 Preset 5 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x111C++0x03 line.long 0x00 "PEXEQ3P6,PCIe Equalization Gen3 Preset 6 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1120++0x03 line.long 0x00 "PEXEQ3P7,PCIe Equalization Gen3 Preset 7 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1124++0x03 line.long 0x00 "PEXEQ3P8,PCIe Equalization Gen3 Preset 8 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1128++0x03 line.long 0x00 "PEXEQ3P9,PCIe Equalization Gen3 Preset 9 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x112C++0x03 line.long 0x00 "PEXEQ3P10,PCIe Equalization Gen3 Preset 10 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1200++0x03 line.long 0x00 "PEXACR0,PEXA Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" group.long 0x1240++0x03 line.long 0x00 "PEXBCR0,PEXB Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" group.long 0x1280++0x03 line.long 0x00 "PEXCCR0,PEXC Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" sif cpuis("LX2160A*")||cpuis("LX2120A*")||cpuis("LX2080A*") group.long 0x12C0++0x03 line.long 0x00 "PEXDCR0,PEXD Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" endif group.long 0x1804++0x03 line.long 0x00 "SGMIIACR1,SGMIIA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1814++0x03 line.long 0x00 "SGMIIBCR1,SGMIIB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1824++0x03 line.long 0x00 "SGMIICCR1,SGMIIC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1834++0x03 line.long 0x00 "SGMIIDCR1,SGMIID Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1844++0x03 line.long 0x00 "SGMIIECR1,SGMIIE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1854++0x03 line.long 0x00 "SGMIIFCR1,SGMIIF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1864++0x03 line.long 0x00 "SGMIIGCR1,SGMIIG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1874++0x03 line.long 0x00 "SGMIIHCR1,SGMIIH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" sif cpuis("LX2??2A") group.long 0x18C0++0x07 line.long 0x00 "QXGMIIACR0,QXGMIIA Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIA reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIACR1,QXGMIIA Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18D0++0x07 line.long 0x00 "QXGMIIBCR0,QXGMIIB Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIB reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIBCR1,QXGMIIB Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18E0++0x07 line.long 0x00 "QXGMIICCR0,QXGMIIC Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIC reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIICCR1,QXGMIIC Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18F0++0x07 line.long 0x00 "QXGMIIDCR0,QXGMIID Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIID reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIDCR1,QXGMIID Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" endif group.long 0x1A04++0x03 line.long 0x00 "ANLTACR1,ANLTA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A14++0x03 line.long 0x00 "ANLTBCR1,ANLTB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A24++0x03 line.long 0x00 "ANLTCCR1,ANLTC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A34++0x03 line.long 0x00 "ANLTDCR1,ANLTD Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A44++0x03 line.long 0x00 "ANLTECR1,ANLTE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A54++0x03 line.long 0x00 "ANLTFCR1,ANLTF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A64++0x03 line.long 0x00 "ANLTGCR1,ANLTG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A74++0x03 line.long 0x00 "ANLTHCR1,ANLTH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A84++0x07 line.long 0x00 "SXGMIIACR1,SXGMIIA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIACR2,SXGMIIA Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1A84+0x08)++0x03 line.long 0x00 "SXGMIIACR3,SXGMIIA Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1A94++0x07 line.long 0x00 "SXGMIIBCR1,SXGMIIB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIBCR2,SXGMIIB Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1A94+0x08)++0x03 line.long 0x00 "SXGMIIBCR3,SXGMIIB Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AA4++0x07 line.long 0x00 "SXGMIICCR1,SXGMIIC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIICCR2,SXGMIIC Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AA4+0x08)++0x03 line.long 0x00 "SXGMIICCR3,SXGMIIC Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AB4++0x07 line.long 0x00 "SXGMIIDCR1,SXGMIID Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIDCR2,SXGMIID Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AB4+0x08)++0x03 line.long 0x00 "SXGMIIDCR3,SXGMIID Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AC4++0x07 line.long 0x00 "SXGMIIECR1,SXGMIIE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIECR2,SXGMIIE Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AC4+0x08)++0x03 line.long 0x00 "SXGMIIECR3,SXGMIIE Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AD4++0x07 line.long 0x00 "SXGMIIFCR1,SXGMIIF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIFCR2,SXGMIIF Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AD4+0x08)++0x03 line.long 0x00 "SXGMIIFCR3,SXGMIIF Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AE4++0x07 line.long 0x00 "SXGMIIGCR1,SXGMIIG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIGCR2,SXGMIIG Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AE4+0x08)++0x03 line.long 0x00 "SXGMIIGCR3,SXGMIIG Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AF4++0x07 line.long 0x00 "SXGMIIHCR1,SXGMIIH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIHCR2,SXGMIIH Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AF4+0x08)++0x03 line.long 0x00 "SXGMIIHCR3,SXGMIIH Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1B04++0x07 line.long 0x00 "E25GACR1,E25GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GACR2,E25GA Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B04+0x08)++0x03 line.long 0x00 "E25GACR3,E25GA Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B14++0x07 line.long 0x00 "E25GBCR1,E25GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GBCR2,E25GB Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B14+0x08)++0x03 line.long 0x00 "E25GBCR3,E25GB Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B24++0x07 line.long 0x00 "E25GCCR1,E25GC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GCCR2,E25GC Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B24+0x08)++0x03 line.long 0x00 "E25GCCR3,E25GC Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B34++0x07 line.long 0x00 "E25GDCR1,E25GD Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GDCR2,E25GD Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B34+0x08)++0x03 line.long 0x00 "E25GDCR3,E25GD Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B44++0x07 line.long 0x00 "E25GECR1,E25GE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GECR2,E25GE Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B44+0x08)++0x03 line.long 0x00 "E25GECR3,E25GE Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B54++0x07 line.long 0x00 "E25GFCR1,E25GF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GFCR2,E25GF Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B54+0x08)++0x03 line.long 0x00 "E25GFCR3,E25GF Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B64++0x07 line.long 0x00 "E25GGCR1,E25GG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GGCR2,E25GG Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B64+0x08)++0x03 line.long 0x00 "E25GGCR3,E25GG Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B74++0x07 line.long 0x00 "E25GHCR1,E25GH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GHCR2,E25GH Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B74+0x08)++0x03 line.long 0x00 "E25GHCR3,E25GH Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1C04++0x07 line.long 0x00 "E40GACR1,E40GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E40GACR2,E40GA Protocol Control Register 2" rbitfld.long 0x04 27. " FEC_LK[3] ,Lane 3 FEC locked" "Not locked,Locked" rbitfld.long 0x04 26. " [2] ,Lane 2 FEC locked" "Not locked,Locked" newline rbitfld.long 0x04 25. " [1] ,Lane 1 FEC locked" "Not locked,Locked" rbitfld.long 0x04 24. " [0] ,Lane 0 FEC locked" "Not locked,Locked" newline bitfld.long 0x04 15. " FEC_EN_TX[3] ,Lane 3 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 14. " [2] ,Lane 2 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 13. " [1] ,Lane 1 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 12. " [0] ,Lane 0 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 11. " FEC_EN_RX[3] ,Lane 3 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 10. " [2] ,Lane 2 FEC enable for RX" "Disabled,Enabled" newline bitfld.long 0x04 9. " [1] ,Lane 1 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 8. " [0] ,Lane 0 FEC enable for RX" "Disabled,Enabled" newline rbitfld.long 0x04 3. " BLOCK_LK[3] ,Lane 3 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 2. " [2] ,Lane 2 block synchronization indication" "Not locked,Locked" newline rbitfld.long 0x04 1. " [1] ,Lane 1 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 0. " [0] ,Lane 0 block synchronization indication" "Not locked,Locked" rgroup.long (0x1C04+0x08)++0x03 line.long 0x00 "E40GACR3,E40GA Protocol Control Register 3" bitfld.long 0x00 8. " ALIGN_DN ,Align done" "Disabled,Enabled" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" group.long 0x1C44++0x07 line.long 0x00 "E40GBCR1,E40GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E40GBCR2,E40GB Protocol Control Register 2" rbitfld.long 0x04 27. " FEC_LK[3] ,Lane 3 FEC locked" "Not locked,Locked" rbitfld.long 0x04 26. " [2] ,Lane 2 FEC locked" "Not locked,Locked" newline rbitfld.long 0x04 25. " [1] ,Lane 1 FEC locked" "Not locked,Locked" rbitfld.long 0x04 24. " [0] ,Lane 0 FEC locked" "Not locked,Locked" newline bitfld.long 0x04 15. " FEC_EN_TX[3] ,Lane 3 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 14. " [2] ,Lane 2 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 13. " [1] ,Lane 1 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 12. " [0] ,Lane 0 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 11. " FEC_EN_RX[3] ,Lane 3 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 10. " [2] ,Lane 2 FEC enable for RX" "Disabled,Enabled" newline bitfld.long 0x04 9. " [1] ,Lane 1 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 8. " [0] ,Lane 0 FEC enable for RX" "Disabled,Enabled" newline rbitfld.long 0x04 3. " BLOCK_LK[3] ,Lane 3 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 2. " [2] ,Lane 2 block synchronization indication" "Not locked,Locked" newline rbitfld.long 0x04 1. " [1] ,Lane 1 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 0. " [0] ,Lane 0 block synchronization indication" "Not locked,Locked" rgroup.long (0x1C44+0x08)++0x03 line.long 0x00 "E40GBCR3,E40GB Protocol Control Register 3" bitfld.long 0x00 8. " ALIGN_DN ,Align done" "Disabled,Enabled" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" group.long 0x1DA4++0x03 line.long 0x00 "E50GACR1,E50GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1DA4+0x08)++0x03 line.long 0x00 "E50GACR3,E50GA Protocol Control Register 3" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" bitfld.long 0x00 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1DC4++0x03 line.long 0x00 "E50GBCR1,E50GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1DC4+0x08)++0x03 line.long 0x00 "E50GBCR3,E50GB Protocol Control Register 3" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" bitfld.long 0x00 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" sif !cpuis("LX2??2A") group.long 0x1E04++0x03 line.long 0x00 "E100GACR1,E100GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1E04+0x04)++0x07 line.long 0x00 "E100GACR2,E100GA Protocol Control Register 2" bitfld.long 0x00 19. " BLOCK_LK[19] ,Block synchronization indication for (virtual) lane 19" "Not locked,Locked" bitfld.long 0x00 18. " [18] ,Block synchronization indication for (virtual) lane 18" "Not locked,Locked" newline bitfld.long 0x00 17. " [17] ,Block synchronization indication for (virtual) lane 17" "Not locked,Locked" bitfld.long 0x00 16. " [16] ,Block synchronization indication for (virtual) lane 16" "Not locked,Locked" newline bitfld.long 0x00 15. " [15] ,Block synchronization indication for (virtual) lane 15" "Not locked,Locked" bitfld.long 0x00 14. " [14] ,Block synchronization indication for (virtual) lane 14" "Not locked,Locked" newline bitfld.long 0x00 13. " [13] ,Block synchronization indication for (virtual) lane 13" "Not locked,Locked" bitfld.long 0x00 12. " [12] ,Block synchronization indication for (virtual) lane 12" "Not locked,Locked" newline bitfld.long 0x00 11. " [11] ,Block synchronization indication for (virtual) lane 11" "Not locked,Locked" bitfld.long 0x00 10. " [10] ,Block synchronization indication for (virtual) lane 10" "Not locked,Locked" newline bitfld.long 0x00 9. " [9] ,Block synchronization indication for (virtual) lane 9" "Not locked,Locked" bitfld.long 0x00 8. " [8] ,Block synchronization indication for (virtual) lane 8" "Not locked,Locked" newline bitfld.long 0x00 7. " [7] ,Block synchronization indication for (virtual) lane 7" "Not locked,Locked" bitfld.long 0x00 6. " [6] ,Block synchronization indication for (virtual) lane 6" "Not locked,Locked" newline bitfld.long 0x00 5. " [5] ,Block synchronization indication for (virtual) lane 5" "Not locked,Locked" bitfld.long 0x00 4. " [4] ,Block synchronization indication for (virtual) lane 4" "Not locked,Locked" newline bitfld.long 0x00 3. " [3] ,Block synchronization indication for (virtual) lane 3" "Not locked,Locked" bitfld.long 0x00 2. " [2] ,Block synchronization indication for (virtual) lane 2" "Not locked,Locked" newline bitfld.long 0x00 1. " [1] ,Block synchronization indication for (virtual) lane 1" "Not locked,Locked" bitfld.long 0x00 0. " [0] ,Block synchronization indication for (virtual) lane 0" "Not locked,Locked" line.long 0x04 "E100GACR3,E100GA Protocol Control Register 3" bitfld.long 0x04 15. " RSFEC_ALN[3] ,RS-FEC status 3" "0,1" bitfld.long 0x04 14. " [2] ,RS-FEC status 2" "0,1" newline bitfld.long 0x04 13. " [1] ,RS-FEC status 1" "0,1" bitfld.long 0x04 12. " [0] ,RS-FEC status 0" "0,1" newline bitfld.long 0x04 11. " AMPS_LK[3] ,Per-SerDes lane RS-FEC codeword alignment status 3" "Not detected,Detected" bitfld.long 0x04 10. " [2] ,Per-SerDes lane RS-FEC codeword alignment status 2" "Not detected,Detected" newline bitfld.long 0x04 9. " [1] ,Per-SerDes lane RS-FEC codeword alignment status 1" "Not detected,Detected" bitfld.long 0x04 8. " [0] ,Per-SerDes lane RS-FEC codeword alignment status 0" "Not detected,Detected" newline bitfld.long 0x04 4. " HI_BER ,High bit error rate indication" "Disabled,Enabled" bitfld.long 0x04 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x04 0. " LINK_ST ,Final link status indication" "Abnormal state,Normal state" group.long 0x1E24++0x03 line.long 0x00 "E100GBCR1,E100GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1E24+0x04)++0x07 line.long 0x00 "E100GBCR2,E100GB Protocol Control Register 2" bitfld.long 0x00 19. " BLOCK_LK[19] ,Block synchronization indication for (virtual) lane 19" "Not locked,Locked" bitfld.long 0x00 18. " [18] ,Block synchronization indication for (virtual) lane 18" "Not locked,Locked" newline bitfld.long 0x00 17. " [17] ,Block synchronization indication for (virtual) lane 17" "Not locked,Locked" bitfld.long 0x00 16. " [16] ,Block synchronization indication for (virtual) lane 16" "Not locked,Locked" newline bitfld.long 0x00 15. " [15] ,Block synchronization indication for (virtual) lane 15" "Not locked,Locked" bitfld.long 0x00 14. " [14] ,Block synchronization indication for (virtual) lane 14" "Not locked,Locked" newline bitfld.long 0x00 13. " [13] ,Block synchronization indication for (virtual) lane 13" "Not locked,Locked" bitfld.long 0x00 12. " [12] ,Block synchronization indication for (virtual) lane 12" "Not locked,Locked" newline bitfld.long 0x00 11. " [11] ,Block synchronization indication for (virtual) lane 11" "Not locked,Locked" bitfld.long 0x00 10. " [10] ,Block synchronization indication for (virtual) lane 10" "Not locked,Locked" newline bitfld.long 0x00 9. " [9] ,Block synchronization indication for (virtual) lane 9" "Not locked,Locked" bitfld.long 0x00 8. " [8] ,Block synchronization indication for (virtual) lane 8" "Not locked,Locked" newline bitfld.long 0x00 7. " [7] ,Block synchronization indication for (virtual) lane 7" "Not locked,Locked" bitfld.long 0x00 6. " [6] ,Block synchronization indication for (virtual) lane 6" "Not locked,Locked" newline bitfld.long 0x00 5. " [5] ,Block synchronization indication for (virtual) lane 5" "Not locked,Locked" bitfld.long 0x00 4. " [4] ,Block synchronization indication for (virtual) lane 4" "Not locked,Locked" newline bitfld.long 0x00 3. " [3] ,Block synchronization indication for (virtual) lane 3" "Not locked,Locked" bitfld.long 0x00 2. " [2] ,Block synchronization indication for (virtual) lane 2" "Not locked,Locked" newline bitfld.long 0x00 1. " [1] ,Block synchronization indication for (virtual) lane 1" "Not locked,Locked" bitfld.long 0x00 0. " [0] ,Block synchronization indication for (virtual) lane 0" "Not locked,Locked" line.long 0x04 "E100GBCR3,E100GB Protocol Control Register 3" bitfld.long 0x04 15. " RSFEC_ALN[3] ,RS-FEC status 3" "0,1" bitfld.long 0x04 14. " [2] ,RS-FEC status 2" "0,1" newline bitfld.long 0x04 13. " [1] ,RS-FEC status 1" "0,1" bitfld.long 0x04 12. " [0] ,RS-FEC status 0" "0,1" newline bitfld.long 0x04 11. " AMPS_LK[3] ,Per-SerDes lane RS-FEC codeword alignment status 3" "Not detected,Detected" bitfld.long 0x04 10. " [2] ,Per-SerDes lane RS-FEC codeword alignment status 2" "Not detected,Detected" newline bitfld.long 0x04 9. " [1] ,Per-SerDes lane RS-FEC codeword alignment status 1" "Not detected,Detected" bitfld.long 0x04 8. " [0] ,Per-SerDes lane RS-FEC codeword alignment status 0" "Not detected,Detected" newline bitfld.long 0x04 4. " HI_BER ,High bit error rate indication" "Disabled,Enabled" bitfld.long 0x04 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x04 0. " LINK_ST ,Final link status indication" "Abnormal state,Normal state" endif width 0x0B tree.end tree "SerDes 2" base ad:0x01EB0000 width 13. group.long 0x00++0x03 line.long 0x00 "RSTCTL,SerDes Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,Reset error" "No error,Error" eventfld.long 0x00 26. " STP_REQ ,Stop request" "Not requested,Requested" group.long 0x2C++0x03 line.long 0x00 "LCAPCR3,SerDes Left End Cap Control Register 3" bitfld.long 0x00 28. " ANA_REFCLK_BUF_EN ,On-chip refclk input to SerDes PLLF enable" "Disabled,Enabled" group.long 0x400++0x0B line.long 0x00 "PLLFRSTCTL,SerDes PLLF Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,PLL reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,PLL reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,PLL reset error" "No error,Error" eventfld.long 0x00 27. " HLT_REQ ,PLL halt request" "Not requested,Requested" newline eventfld.long 0x00 26. " STP_REQ ,PLL stop request" "Not requested,Requested" bitfld.long 0x00 24. " DIS ,PLL disable" "No,Yes" newline rbitfld.long 0x00 23. " LOCK ,PLL lock" "Not locked,Locked" line.long 0x04 "PLLFCR0,SerDes PLLF Control/Status Register 0" bitfld.long 0x04 28.--29. " REFCLK_PTRM ,RX termination configuration on SerDes refclk inputs select" "Calibrated SVSS,Calibrated SVSS,Uncalibrated HiZ,Uncalibrated SVDD" bitfld.long 0x04 16.--20. " REFCLK_SEL ,Reference clock select" "100 MHz,125 MHz,156.25 MHz,161.1328125 MHz,?..." line.long 0x08 "PLLFCR1,SerDes PLLF Control/Status Register 1" bitfld.long 0x08 31. " SLOW_VCO_EN ,Slower of two VCOs in a given PLL enable" "Disabled,Enabled" bitfld.long 0x08 24.--28. " FRATE_SEL ,Clocknet frequency select" ",,,,,,,,,,,,,,,,5G/25G,6.25/25G,6G/24G,,,,12.890625G/25.78125,8G/24G,,8G/16G,?..." newline bitfld.long 0x08 22. " RTMR_INS_OV_EN ,Override for retimer inslew to clock driver and SSC PI enable" "Disabled,Enabled" bitfld.long 0x08 21. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" newline bitfld.long 0x08 20. " CLKD_RCAL_SLW_EN ,Resistor calibration for clock driver enable" "Disabled,Enabled" bitfld.long 0x08 8.--10. " RTMR_INS_OVD ,Override for retimer inslew to clock driver and SSC PI" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 3. " RTMR_BYP ,Retimer bypass" "Not bypassed,Bypassed" bitfld.long 0x08 0.--1. " EX_DLY_SEL ,External delay clock select" "Disabled,Freq/20,Freq/16,?..." group.long (0x400+0x10)++0x07 line.long 0x00 "PLLFCR3,SerDes PLLF Control/Status Register 3" bitfld.long 0x00 28.--29. " SSC_SEL ,PLL modulation mode select" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 16.--25. 1. " SSC_SLP_OFF ,Spread spectrum control register" line.long 0x04 "PLLFCR4,SerDes PLLF Control/Status Register 4" bitfld.long 0x04 29.--31. " SSC_BIAS_BST ,SSC bias boost" "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--25. 0x01 " SSC_SAW_MIN ,SawTooth minimum frequency offset control register" newline bitfld.long 0x04 11.--15. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x04 0.--9. 0x01 " SSC_SAW_MAX ,Maximum frequency offset of sawtooth" group.long (0x400+0x28)++0x03 line.long 0x00 "PLLFCR9,SerDes PLLF Control/Status Register 9" bitfld.long 0x00 29.--31. " BG_ADJ ,Band gap adjustment controls for PLLF" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " BGRX_ADJ ,Band gap voltage adjustment for RX in fuse use" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--21. " BGRX_TEMP_ADJ ,Band gap slope vs. temperature adjustment for RX in fuse use" "0,1,2,3" group.long (0x400+0x30)++0x0F line.long 0x00 "PLLFSSCR0,SerDes PLLF Speed Switch Control Register 0" bitfld.long 0x00 30.--31. " SSC_SEL ,PLL modulation mode select for PCIe gen3/4" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 20.--29. 1. " SSC_SLP_OFF_100 ,Spread spectrum control register for 100 MHz refclk" newline hexmask.long.word 0x00 10.--19. 1. " SSC_SLP_OFF_125 ,Spread spectrum control register for 125 MHz refclk" hexmask.long.word 0x00 0.--9. 1. " SSC_SLP_OFF_250 ,Spread spectrum control register for 250 MHz refclk" line.long 0x04 "PLLFSSCR1,SerDes PLLF Speed Switch Control Register 1" hexmask.long.word 0x04 20.--29. 0x10 " SSC_SAW_MIN_100 ,SawTooth minimum frequency offset control register for 100 MHz refclk" hexmask.long.word 0x04 10.--19. 0x04 " SSC_SAW_MIN_125 ,SawTooth minimum frequency offset control register for 125 MHz refclk" line.long 0x08 "PLLFSSCR2,SerDes PLLF Speed Switch Control Register 2" bitfld.long 0x08 6. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" bitfld.long 0x08 0.--4. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "PLLFSSCR3,SerDes PLLF Speed Switch Control Register 3" hexmask.long.word 0x0C 20.--29. 0x10 " SSC_SAW_MAX_100 ,Maximum frequency offset of sawtooth for 100 MHz refclk for PCie gen3/4" hexmask.long.word 0x0C 10.--19. 0x04 " SSC_SAW_MAX_125 ,Maximum frequency offset of sawtooth for 125 MHz refclk for PCie gen3/4" group.long 0x500++0x0B line.long 0x00 "PLLSRSTCTL,SerDes PLLS Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,PLL reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,PLL reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,PLL reset error" "No error,Error" eventfld.long 0x00 27. " HLT_REQ ,PLL halt request" "Not requested,Requested" newline eventfld.long 0x00 26. " STP_REQ ,PLL stop request" "Not requested,Requested" bitfld.long 0x00 24. " DIS ,PLL disable" "No,Yes" newline rbitfld.long 0x00 23. " LOCK ,PLL lock" "Not locked,Locked" line.long 0x04 "PLLSCR0,SerDes PLLS Control/Status Register 0" bitfld.long 0x04 28.--29. " REFCLK_PTRM ,RX termination configuration on SerDes refclk inputs select" "Calibrated SVSS,Calibrated SVSS,Uncalibrated HiZ,Uncalibrated SVDD" bitfld.long 0x04 16.--20. " REFCLK_SEL ,Reference clock select" "100 MHz,125 MHz,156.25 MHz,161.1328125 MHz,?..." line.long 0x08 "PLLSCR1,SerDes PLLS Control/Status Register 1" bitfld.long 0x08 31. " SLOW_VCO_EN ,Slower of two VCOs in a given PLL enable" "Disabled,Enabled" bitfld.long 0x08 24.--28. " FRATE_SEL ,Clocknet frequency select" "5G/20G,6.25G/18.75,,,,,10.3125G/20.625,,,,,,,,,,5G/25G,6.25/25G,6G/24G,,,,12.890625G/25.78125,8G/24G,?..." newline bitfld.long 0x08 22. " RTMR_INS_OV_EN ,Override for retimer inslew to clock driver and SSC PI enable" "Disabled,Enabled" bitfld.long 0x08 21. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" newline bitfld.long 0x08 20. " CLKD_RCAL_SLW_EN ,Resistor calibration for clock driver enable" "Disabled,Enabled" bitfld.long 0x08 8.--10. " RTMR_INS_OVD ,Override for retimer inslew to clock driver and SSC PI" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 3. " RTMR_BYP ,Retimer bypass" "Not bypassed,Bypassed" bitfld.long 0x08 0.--1. " EX_DLY_SEL ,External delay clock select" "Disabled,Freq/20,Freq/16,?..." group.long (0x500+0x10)++0x07 line.long 0x00 "PLLSCR3,SerDes PLLS Control/Status Register 3" bitfld.long 0x00 28.--29. " SSC_SEL ,PLL modulation mode select" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 16.--25. 1. " SSC_SLP_OFF ,Spread spectrum control register" line.long 0x04 "PLLSCR4,SerDes PLLS Control/Status Register 4" bitfld.long 0x04 29.--31. " SSC_BIAS_BST ,SSC bias boost" "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--25. 0x01 " SSC_SAW_MIN ,SawTooth minimum frequency offset control register" newline bitfld.long 0x04 11.--15. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x04 0.--9. 0x01 " SSC_SAW_MAX ,Maximum frequency offset of sawtooth" group.long (0x500+0x28)++0x03 line.long 0x00 "PLLSCR9,SerDes PLLS Control/Status Register 9" bitfld.long 0x00 29.--31. " BG_ADJ ,Band gap adjustment controls for PLLS" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " BGRX_ADJ ,Band gap voltage adjustment for RX in fuse use" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--21. " BGRX_TEMP_ADJ ,Band gap slope vs. temperature adjustment for RX in fuse use" "0,1,2,3" group.long (0x500+0x30)++0x0F line.long 0x00 "PLLSSSCR0,SerDes PLLS Speed Switch Control Register 0" bitfld.long 0x00 30.--31. " SSC_SEL ,PLL modulation mode select for PCIe gen3/4" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 20.--29. 1. " SSC_SLP_OFF_100 ,Spread spectrum control register for 100 MHz refclk" newline hexmask.long.word 0x00 10.--19. 1. " SSC_SLP_OFF_125 ,Spread spectrum control register for 125 MHz refclk" hexmask.long.word 0x00 0.--9. 1. " SSC_SLP_OFF_250 ,Spread spectrum control register for 250 MHz refclk" line.long 0x04 "PLLSSSCR1,SerDes PLLS Speed Switch Control Register 1" hexmask.long.word 0x04 20.--29. 0x10 " SSC_SAW_MIN_100 ,SawTooth minimum frequency offset control register for 100 MHz refclk" hexmask.long.word 0x04 10.--19. 0x04 " SSC_SAW_MIN_125 ,SawTooth minimum frequency offset control register for 125 MHz refclk" line.long 0x08 "PLLSSSCR2,SerDes PLLS Speed Switch Control Register 2" bitfld.long 0x08 6. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" bitfld.long 0x08 0.--4. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "PLLSSSCR3,SerDes PLLS Speed Switch Control Register 3" hexmask.long.word 0x0C 20.--29. 0x10 " SSC_SAW_MAX_100 ,Maximum frequency offset of sawtooth for 100 MHz refclk for PCie gen3/4" hexmask.long.word 0x0C 10.--19. 0x04 " SSC_SAW_MAX_125 ,Maximum frequency offset of sawtooth for 125 MHz refclk for PCie gen3/4" group.long 0x800++0x03 line.long 0x00 "LNAGCR0,SerDes Lane A General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0x800+0x20)++0x03 line.long 0x00 "LNATRSTCTL,SerDes Lane A TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EB0000+0x800))&0xF8)==0x00) group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EB0000+0x800))&0xF8)==0x10) group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EB0000+0x800))&0xF8)==0x00) rgroup.long (0x800+0x30)++0x03 line.long 0x00 "LNATECR0,SerDes Lane A TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0x800+0x30)++0x03 line.long 0x00 "LNATECR0,SerDes Lane A TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EB0000+0x800))&0xF8)==0x00) group.long (0x800+0x34)++0x03 line.long 0x00 "LNATECR1,SerDes Lane A TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0x800+0x34)++0x03 line.long 0x00 "LNATECR1,SerDes Lane A TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0x800+0x40)++0x03 line.long 0x00 "LNARRSTCTL,SerDes Lane A RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EB0000+0x800))&0xF8)==(0x00||0x10)) group.long (0x800+0x44)++0x03 line.long 0x00 "LNARGCR0,SerDes Lane A RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0x800+0x44)++0x03 line.long 0x00 "LNARGCR0,SerDes Lane A RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0x800+0x48)++0x03 line.long 0x00 "LNARGCR1,SerDes Lane A RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0x800+0x58)++0x03 line.long 0x00 "LNARECR2,SerDes Lane A RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EB0000+0x800))&0xF8)==0x00) group.long (0x800+0x5C)++0x03 line.long 0x00 "LNARECR3,SerDes Lane A RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0x800+0x5C)++0x03 line.long 0x00 "LNARECR3,SerDes Lane A RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0x800+0x60)++0x03 line.long 0x00 "LNARECR4,SerDes Lane A RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0x800+0x80)++0x03 line.long 0x00 "LNATTLCR0,SerDes Lane A Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0x800+0xA0)++0x07 line.long 0x00 "LNATCSR0,SerDes Lane A Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNATCSR1,SerDes Lane A Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0x800+0xA8)++0x03 line.long 0x00 "LNATCSR2,SerDes Lane A Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0x800+0xD0)++0x0B line.long 0x00 "LNARXSS0,SerDes Lane A RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNARXSS1,SerDes Lane A RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNARXSS2,SerDes Lane A RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0x800+0xF0)++0x0B line.long 0x00 "LNATXSS0,SerDes Lane A TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNATXSS1,SerDes Lane A TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNATXSS2,SerDes Lane A TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0x900++0x03 line.long 0x00 "LNBGCR0,SerDes Lane B General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0x900+0x20)++0x03 line.long 0x00 "LNBTRSTCTL,SerDes Lane B TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EB0000+0x900))&0xF8)==0x00) group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EB0000+0x900))&0xF8)==0x10) group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EB0000+0x900))&0xF8)==0x00) rgroup.long (0x900+0x30)++0x03 line.long 0x00 "LNBTECR0,SerDes Lane B TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0x900+0x30)++0x03 line.long 0x00 "LNBTECR0,SerDes Lane B TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EB0000+0x900))&0xF8)==0x00) group.long (0x900+0x34)++0x03 line.long 0x00 "LNBTECR1,SerDes Lane B TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0x900+0x34)++0x03 line.long 0x00 "LNBTECR1,SerDes Lane B TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0x900+0x40)++0x03 line.long 0x00 "LNBRRSTCTL,SerDes Lane B RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EB0000+0x900))&0xF8)==(0x00||0x10)) group.long (0x900+0x44)++0x03 line.long 0x00 "LNBRGCR0,SerDes Lane B RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0x900+0x44)++0x03 line.long 0x00 "LNBRGCR0,SerDes Lane B RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0x900+0x48)++0x03 line.long 0x00 "LNBRGCR1,SerDes Lane B RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0x900+0x58)++0x03 line.long 0x00 "LNBRECR2,SerDes Lane B RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EB0000+0x900))&0xF8)==0x00) group.long (0x900+0x5C)++0x03 line.long 0x00 "LNBRECR3,SerDes Lane B RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0x900+0x5C)++0x03 line.long 0x00 "LNBRECR3,SerDes Lane B RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0x900+0x60)++0x03 line.long 0x00 "LNBRECR4,SerDes Lane B RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0x900+0x80)++0x03 line.long 0x00 "LNBTTLCR0,SerDes Lane B Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0x900+0xA0)++0x07 line.long 0x00 "LNBTCSR0,SerDes Lane B Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNBTCSR1,SerDes Lane B Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0x900+0xA8)++0x03 line.long 0x00 "LNBTCSR2,SerDes Lane B Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0x900+0xD0)++0x0B line.long 0x00 "LNBRXSS0,SerDes Lane B RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNBRXSS1,SerDes Lane B RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNBRXSS2,SerDes Lane B RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0x900+0xF0)++0x0B line.long 0x00 "LNBTXSS0,SerDes Lane B TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNBTXSS1,SerDes Lane B TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNBTXSS2,SerDes Lane B TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xA00++0x03 line.long 0x00 "LNCGCR0,SerDes Lane C General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xA00+0x20)++0x03 line.long 0x00 "LNCTRSTCTL,SerDes Lane C TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EB0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EB0000+0xA00))&0xF8)==0x10) group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EB0000+0xA00))&0xF8)==0x00) rgroup.long (0xA00+0x30)++0x03 line.long 0x00 "LNCTECR0,SerDes Lane C TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xA00+0x30)++0x03 line.long 0x00 "LNCTECR0,SerDes Lane C TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EB0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x34)++0x03 line.long 0x00 "LNCTECR1,SerDes Lane C TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xA00+0x34)++0x03 line.long 0x00 "LNCTECR1,SerDes Lane C TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xA00+0x40)++0x03 line.long 0x00 "LNCRRSTCTL,SerDes Lane C RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EB0000+0xA00))&0xF8)==(0x00||0x10)) group.long (0xA00+0x44)++0x03 line.long 0x00 "LNCRGCR0,SerDes Lane C RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xA00+0x44)++0x03 line.long 0x00 "LNCRGCR0,SerDes Lane C RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xA00+0x48)++0x03 line.long 0x00 "LNCRGCR1,SerDes Lane C RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xA00+0x58)++0x03 line.long 0x00 "LNCRECR2,SerDes Lane C RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EB0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x5C)++0x03 line.long 0x00 "LNCRECR3,SerDes Lane C RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xA00+0x5C)++0x03 line.long 0x00 "LNCRECR3,SerDes Lane C RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xA00+0x60)++0x03 line.long 0x00 "LNCRECR4,SerDes Lane C RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xA00+0x80)++0x03 line.long 0x00 "LNCTTLCR0,SerDes Lane C Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xA00+0xA0)++0x07 line.long 0x00 "LNCTCSR0,SerDes Lane C Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNCTCSR1,SerDes Lane C Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xA00+0xA8)++0x03 line.long 0x00 "LNCTCSR2,SerDes Lane C Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xA00+0xD0)++0x0B line.long 0x00 "LNCRXSS0,SerDes Lane C RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNCRXSS1,SerDes Lane C RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNCRXSS2,SerDes Lane C RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xA00+0xF0)++0x0B line.long 0x00 "LNCTXSS0,SerDes Lane C TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNCTXSS1,SerDes Lane C TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNCTXSS2,SerDes Lane C TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xB00++0x03 line.long 0x00 "LNDGCR0,SerDes Lane D General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xB00+0x20)++0x03 line.long 0x00 "LNDTRSTCTL,SerDes Lane D TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EB0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EB0000+0xB00))&0xF8)==0x10) group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EB0000+0xB00))&0xF8)==0x00) rgroup.long (0xB00+0x30)++0x03 line.long 0x00 "LNDTECR0,SerDes Lane D TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xB00+0x30)++0x03 line.long 0x00 "LNDTECR0,SerDes Lane D TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EB0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x34)++0x03 line.long 0x00 "LNDTECR1,SerDes Lane D TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xB00+0x34)++0x03 line.long 0x00 "LNDTECR1,SerDes Lane D TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xB00+0x40)++0x03 line.long 0x00 "LNDRRSTCTL,SerDes Lane D RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EB0000+0xB00))&0xF8)==(0x00||0x10)) group.long (0xB00+0x44)++0x03 line.long 0x00 "LNDRGCR0,SerDes Lane D RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xB00+0x44)++0x03 line.long 0x00 "LNDRGCR0,SerDes Lane D RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xB00+0x48)++0x03 line.long 0x00 "LNDRGCR1,SerDes Lane D RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xB00+0x58)++0x03 line.long 0x00 "LNDRECR2,SerDes Lane D RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EB0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x5C)++0x03 line.long 0x00 "LNDRECR3,SerDes Lane D RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xB00+0x5C)++0x03 line.long 0x00 "LNDRECR3,SerDes Lane D RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xB00+0x60)++0x03 line.long 0x00 "LNDRECR4,SerDes Lane D RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xB00+0x80)++0x03 line.long 0x00 "LNDTTLCR0,SerDes Lane D Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xB00+0xA0)++0x07 line.long 0x00 "LNDTCSR0,SerDes Lane D Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNDTCSR1,SerDes Lane D Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xB00+0xA8)++0x03 line.long 0x00 "LNDTCSR2,SerDes Lane D Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xB00+0xD0)++0x0B line.long 0x00 "LNDRXSS0,SerDes Lane D RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNDRXSS1,SerDes Lane D RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNDRXSS2,SerDes Lane D RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xB00+0xF0)++0x0B line.long 0x00 "LNDTXSS0,SerDes Lane D TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNDTXSS1,SerDes Lane D TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNDTXSS2,SerDes Lane D TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xC00++0x03 line.long 0x00 "LNEGCR0,SerDes Lane E General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xC00+0x20)++0x03 line.long 0x00 "LNETRSTCTL,SerDes Lane E TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EB0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EB0000+0xC00))&0xF8)==0x10) group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EB0000+0xC00))&0xF8)==0x00) rgroup.long (0xC00+0x30)++0x03 line.long 0x00 "LNETECR0,SerDes Lane E TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xC00+0x30)++0x03 line.long 0x00 "LNETECR0,SerDes Lane E TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EB0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x34)++0x03 line.long 0x00 "LNETECR1,SerDes Lane E TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xC00+0x34)++0x03 line.long 0x00 "LNETECR1,SerDes Lane E TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xC00+0x40)++0x03 line.long 0x00 "LNERRSTCTL,SerDes Lane E RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EB0000+0xC00))&0xF8)==(0x00||0x10)) group.long (0xC00+0x44)++0x03 line.long 0x00 "LNERGCR0,SerDes Lane E RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xC00+0x44)++0x03 line.long 0x00 "LNERGCR0,SerDes Lane E RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xC00+0x48)++0x03 line.long 0x00 "LNERGCR1,SerDes Lane E RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xC00+0x58)++0x03 line.long 0x00 "LNERECR2,SerDes Lane E RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EB0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x5C)++0x03 line.long 0x00 "LNERECR3,SerDes Lane E RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xC00+0x5C)++0x03 line.long 0x00 "LNERECR3,SerDes Lane E RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xC00+0x60)++0x03 line.long 0x00 "LNERECR4,SerDes Lane E RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xC00+0x80)++0x03 line.long 0x00 "LNETTLCR0,SerDes Lane E Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xC00+0xA0)++0x07 line.long 0x00 "LNETCSR0,SerDes Lane E Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNETCSR1,SerDes Lane E Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xC00+0xA8)++0x03 line.long 0x00 "LNETCSR2,SerDes Lane E Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xC00+0xD0)++0x0B line.long 0x00 "LNERXSS0,SerDes Lane E RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNERXSS1,SerDes Lane E RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNERXSS2,SerDes Lane E RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xC00+0xF0)++0x0B line.long 0x00 "LNETXSS0,SerDes Lane E TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNETXSS1,SerDes Lane E TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNETXSS2,SerDes Lane E TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xD00++0x03 line.long 0x00 "LNFGCR0,SerDes Lane F General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xD00+0x20)++0x03 line.long 0x00 "LNFTRSTCTL,SerDes Lane F TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EB0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EB0000+0xD00))&0xF8)==0x10) group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EB0000+0xD00))&0xF8)==0x00) rgroup.long (0xD00+0x30)++0x03 line.long 0x00 "LNFTECR0,SerDes Lane F TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xD00+0x30)++0x03 line.long 0x00 "LNFTECR0,SerDes Lane F TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EB0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x34)++0x03 line.long 0x00 "LNFTECR1,SerDes Lane F TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xD00+0x34)++0x03 line.long 0x00 "LNFTECR1,SerDes Lane F TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xD00+0x40)++0x03 line.long 0x00 "LNFRRSTCTL,SerDes Lane F RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EB0000+0xD00))&0xF8)==(0x00||0x10)) group.long (0xD00+0x44)++0x03 line.long 0x00 "LNFRGCR0,SerDes Lane F RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xD00+0x44)++0x03 line.long 0x00 "LNFRGCR0,SerDes Lane F RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xD00+0x48)++0x03 line.long 0x00 "LNFRGCR1,SerDes Lane F RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xD00+0x58)++0x03 line.long 0x00 "LNFRECR2,SerDes Lane F RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EB0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x5C)++0x03 line.long 0x00 "LNFRECR3,SerDes Lane F RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xD00+0x5C)++0x03 line.long 0x00 "LNFRECR3,SerDes Lane F RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xD00+0x60)++0x03 line.long 0x00 "LNFRECR4,SerDes Lane F RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xD00+0x80)++0x03 line.long 0x00 "LNFTTLCR0,SerDes Lane F Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xD00+0xA0)++0x07 line.long 0x00 "LNFTCSR0,SerDes Lane F Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNFTCSR1,SerDes Lane F Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xD00+0xA8)++0x03 line.long 0x00 "LNFTCSR2,SerDes Lane F Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xD00+0xD0)++0x0B line.long 0x00 "LNFRXSS0,SerDes Lane F RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNFRXSS1,SerDes Lane F RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNFRXSS2,SerDes Lane F RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xD00+0xF0)++0x0B line.long 0x00 "LNFTXSS0,SerDes Lane F TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNFTXSS1,SerDes Lane F TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNFTXSS2,SerDes Lane F TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xE00++0x03 line.long 0x00 "LNGGCR0,SerDes Lane G General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xE00+0x20)++0x03 line.long 0x00 "LNGTRSTCTL,SerDes Lane G TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EB0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EB0000+0xE00))&0xF8)==0x10) group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EB0000+0xE00))&0xF8)==0x00) rgroup.long (0xE00+0x30)++0x03 line.long 0x00 "LNGTECR0,SerDes Lane G TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xE00+0x30)++0x03 line.long 0x00 "LNGTECR0,SerDes Lane G TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EB0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x34)++0x03 line.long 0x00 "LNGTECR1,SerDes Lane G TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xE00+0x34)++0x03 line.long 0x00 "LNGTECR1,SerDes Lane G TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xE00+0x40)++0x03 line.long 0x00 "LNGRRSTCTL,SerDes Lane G RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EB0000+0xE00))&0xF8)==(0x00||0x10)) group.long (0xE00+0x44)++0x03 line.long 0x00 "LNGRGCR0,SerDes Lane G RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xE00+0x44)++0x03 line.long 0x00 "LNGRGCR0,SerDes Lane G RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xE00+0x48)++0x03 line.long 0x00 "LNGRGCR1,SerDes Lane G RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xE00+0x58)++0x03 line.long 0x00 "LNGRECR2,SerDes Lane G RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EB0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x5C)++0x03 line.long 0x00 "LNGRECR3,SerDes Lane G RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xE00+0x5C)++0x03 line.long 0x00 "LNGRECR3,SerDes Lane G RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xE00+0x60)++0x03 line.long 0x00 "LNGRECR4,SerDes Lane G RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xE00+0x80)++0x03 line.long 0x00 "LNGTTLCR0,SerDes Lane G Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xE00+0xA0)++0x07 line.long 0x00 "LNGTCSR0,SerDes Lane G Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNGTCSR1,SerDes Lane G Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xE00+0xA8)++0x03 line.long 0x00 "LNGTCSR2,SerDes Lane G Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xE00+0xD0)++0x0B line.long 0x00 "LNGRXSS0,SerDes Lane G RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNGRXSS1,SerDes Lane G RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNGRXSS2,SerDes Lane G RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xE00+0xF0)++0x0B line.long 0x00 "LNGTXSS0,SerDes Lane G TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNGTXSS1,SerDes Lane G TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNGTXSS2,SerDes Lane G TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xF00++0x03 line.long 0x00 "LNHGCR0,SerDes Lane H General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xF00+0x20)++0x03 line.long 0x00 "LNHTRSTCTL,SerDes Lane H TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EB0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EB0000+0xF00))&0xF8)==0x10) group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EB0000+0xF00))&0xF8)==0x00) rgroup.long (0xF00+0x30)++0x03 line.long 0x00 "LNHTECR0,SerDes Lane H TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xF00+0x30)++0x03 line.long 0x00 "LNHTECR0,SerDes Lane H TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EB0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x34)++0x03 line.long 0x00 "LNHTECR1,SerDes Lane H TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xF00+0x34)++0x03 line.long 0x00 "LNHTECR1,SerDes Lane H TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xF00+0x40)++0x03 line.long 0x00 "LNHRRSTCTL,SerDes Lane H RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EB0000+0xF00))&0xF8)==(0x00||0x10)) group.long (0xF00+0x44)++0x03 line.long 0x00 "LNHRGCR0,SerDes Lane H RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xF00+0x44)++0x03 line.long 0x00 "LNHRGCR0,SerDes Lane H RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xF00+0x48)++0x03 line.long 0x00 "LNHRGCR1,SerDes Lane H RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xF00+0x58)++0x03 line.long 0x00 "LNHRECR2,SerDes Lane H RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EB0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x5C)++0x03 line.long 0x00 "LNHRECR3,SerDes Lane H RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xF00+0x5C)++0x03 line.long 0x00 "LNHRECR3,SerDes Lane H RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xF00+0x60)++0x03 line.long 0x00 "LNHRECR4,SerDes Lane H RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xF00+0x80)++0x03 line.long 0x00 "LNHTTLCR0,SerDes Lane H Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xF00+0xA0)++0x07 line.long 0x00 "LNHTCSR0,SerDes Lane H Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNHTCSR1,SerDes Lane H Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xF00+0xA8)++0x03 line.long 0x00 "LNHTCSR2,SerDes Lane H Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xF00+0xD0)++0x0B line.long 0x00 "LNHRXSS0,SerDes Lane H RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNHRXSS1,SerDes Lane H RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNHRXSS2,SerDes Lane H RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xF00+0xF0)++0x0B line.long 0x00 "LNHTXSS0,SerDes Lane H TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNHTXSS1,SerDes Lane H TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNHTXSS2,SerDes Lane H TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0x1080++0x03 line.long 0x00 "PCC0,Protocol Configuration Register 0" bitfld.long 0x00 28.--30. " PEXA_CFG ,PEXa configuration" "Disabled,X1 on lane 7 and X1 on lane 0,X2[1:0] on lanes[6:7] and X2[1:0] on lanes [1:0],X4[3:0] on lanes [4:7] and X4[3:0] on lanes [3:0],X8[7:0] on lanes [7:0],?..." newline bitfld.long 0x00 20.--22. " PEXC_CFG ,PEXc configuration" "Disabled,X1 on lane 3 and X1 on lane 4,X2[1:0] on lanes[2:3] and X2[1:0] on lanes [5:4],X4[3:0] on lanes [0:3] and X4[3:0] on lanes [7:4],?..." group.long 0x1088++0x03 line.long 0x00 "PCC2,Protocol Configuration Register 2" bitfld.long 0x00 28.--30. " SATAA_CFG ,SATAa configuration" "Disabled,X1 on lane 2,X1 on lane 6,?..." bitfld.long 0x00 24.--26. " SATAB_CFG ,SATAb configuration" "Disabled,X1 on lane 3,X1 on lane 7,?..." newline bitfld.long 0x00 20.--22. " SATAC_CFG ,SATAc configuration" "Disabled,X1 on lane 4,?..." bitfld.long 0x00 16.--18. " SATAD_CFG ,SATAd configuration" "Disabled,X1 on lane 5,?..." group.long 0x10A0++0x03 line.long 0x00 "PCC8,Protocol Configuration Register 8" bitfld.long 0x00 31. " SGMIIA_KX ,SGMIIa 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 28.--30. " SGMIIA_CFG ,SGMIIa configuration" "Disabled,X1 on lane 0,?..." newline bitfld.long 0x00 27. " SGMIIB_KX ,SGMIIc 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 24.--26. " SGMIIB_CFG ,SGMIIb configuration" "Disabled,X1 on lane 1,?..." newline bitfld.long 0x00 23. " SGMIIC_KX ,SGMIId 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 20.--22. " SGMIIC_CFG ,SGMIIc configuration" "Disabled,X1 on lane 2,?..." newline bitfld.long 0x00 19. " SGMIID_KX ,SGMIIe 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 16.--18. " SGMIID_CFG ,SGMIId configuration" "Disabled,X1 on lane 3,?..." newline bitfld.long 0x00 15. " SGMIIE_KX ,SGMIIf 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 12.--14. " SGMIIE_CFG ,SGMIIe configuration" "Disabled,X1 on lane 4,?..." newline bitfld.long 0x00 11. " SGMIIF_KX ,SGMIIf 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 8.--10. " SGMIIF_CFG ,SGMIIf configuration" "Disabled,X1 on lane 5,?..." newline bitfld.long 0x00 7. " SGMIIG_KX ,SGMIIg 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 4.--6. " SGMIIG_CFG ,SGMIIg configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x00 3. " SGMIIH_KX ,SGMIIh 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 0.--2. " SGMIIH_CFG ,SGMIIh configuration" "Disabled,X1 on lane 7,?..." sif !cpuis("LX2160A*")&&!cpuis("LX2120A*")&&!cpuis("LX2080A*") group.long 0x10A4++0x03 line.long 0x00 "PCC9,Protocol Configuration Register 9" bitfld.long 0x00 28.--30. " QSGMIA_CFG ,QSGMIA configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " QSGMIB_CFG ,QSGMIB configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--22. " QSGMIC_CFG ,QSGMIC configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 16.--18. " QSGMID_CFG ,QSGMID configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. " QXGMIA_CFG ,QXGMIA configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 8.--10. " QXGMIB_CFG ,QXGMIB configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 4.--6. " QXGMIC_CFG ,QXGMIC configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. " QXGMID_CFG ,QXGMID configuration" "Disabled,1,2,3,4,5,6,7" endif group.long 0x10B0++0x0B line.long 0x00 "PCCC,Protocol Configuration Register C" bitfld.long 0x00 31. " SXGMIIA_XFI ,SXGMIIA XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 28.--30. " SXGMIIA_CFG ,SXGMIIA configuration" "Disabled,X1 on lane 0,?..." newline bitfld.long 0x00 27. " SXGMIIB_XFI ,SXGMIIB XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 24.--26. " SXGMIIB_CFG ,SXGMIIB configuration" "Disabled,X1 on lane 1,?..." newline bitfld.long 0x00 23. " SXGMIIC_XFI ,SXGMIIC XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 20.--22. " SXGMIIC_CFG ,SXGMIIC configuration" "Disabled,X1 on lane 2,?..." newline bitfld.long 0x00 19. " SXGMIID_XFI ,SXGMIID XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 16.--18. " SXGMIID_CFG ,SXGMIID configuration" "Disabled,X1 on lane 3,?..." newline bitfld.long 0x00 15. " SXGMIIE_XFI ,SXGMIIE XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 12.--14. " SXGMIIE_CFG ,SXGMIIE configuration" "Disabled,X1 on lane 4,?..." newline bitfld.long 0x00 11. " SXGMIIF_XFI ,SXGMIIF XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 8.--10. " SXGMIIF_CFG ,SXGMIIF configuration" "Disabled,X1 on lane 5,?..." sif !cpuis("LX2??2A") newline bitfld.long 0x00 7. " SXGMIIG_XFI ,SXGMIIG XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 4.--6. " SXGMIIG_CFG ,SXGMIIG configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x00 3. " SXGMIIH_XFI ,SXGMIIH XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 0.--2. " SXGMIIH_CFG ,SXGMIIH configuration" "Disabled,X1 on lane 7,?..." endif line.long 0x04 "PCCD,Protocol Configuration Register D" bitfld.long 0x04 28.--30. " E25GA_CFG ,E25Ga configuration" "Disabled,X1 on lane 7,?..." bitfld.long 0x04 24.--26. " E25GB_CFG ,E25Gb configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x04 20.--22. " E25GC_CFG ,E25Gc configuration" "Disabled,X1 on lane 5,?..." bitfld.long 0x04 16.--18. " E25GD_CFG ,E25Gd configuration" "Disabled,X1 on lane 4,?..." sif !cpuis("LX2??2A") newline bitfld.long 0x04 4.--6. " E25GG_CFG ,E25Gg configuration" "Disabled,X1 on lane 1,?..." bitfld.long 0x04 0.--2. " E25GH_CFG ,E25Gh configuration" "Disabled,X1 on lane 0,?..." endif line.long 0x08 "PCCE,Protocol Configuration Register E" bitfld.long 0x08 31. " E40GA_LRV ,E40Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 28.--30. " E40GA_CFG ,E40Ga configuration" "Disabled,X4[3:0] on lanes [4:7],?..." sif !cpuis("LX2??2A") newline bitfld.long 0x08 27. " E40GB_LRV ,E40Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 24.--26. " E40GB_CFG ,E40Gb configuration" "Disabled,X4[3:0] on lanes [0:3],?..." endif newline bitfld.long 0x08 23. " E50GA_LRV ,E50Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 20.--22. " E50GA_CFG ,E50Ga configuration" "Disabled,X2[1:0] on lanes [6:7],?..." newline bitfld.long 0x08 19. " E50GB_LRV ,E50Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 16.--18. " E50GB_CFG ,E50Gb configuration" "Disabled,X2[1:0] on lanes [4:5],?..." sif !cpuis("LX2??2A") newline bitfld.long 0x08 15. " E100GA_LRV ,E100Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 12.--14. " E100GA_CFG ,E100Ga configuration" "Disabled,X4[3:0] on lanes [4:7],?..." newline bitfld.long 0x08 11. " E100GB_LRV ,E100Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 8.--10. " E100GB_CFG ,E100Gb configuration" "Disabled,X4[3:0] on lanes [0:3],?..." endif group.long 0x1100++0x03 line.long 0x00 "PEXEQ3,PCIe Equalization Gen3 Configuration Register" bitfld.long 0x00 6.--11. " FS ,PCI express FS value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--5. " LF ,PCI express LF value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x1104++0x03 line.long 0x00 "PEXEQ3P0,PCIe Equalization Gen3 Preset 0 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1108++0x03 line.long 0x00 "PEXEQ3P1,PCIe Equalization Gen3 Preset 1 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x110C++0x03 line.long 0x00 "PEXEQ3P2,PCIe Equalization Gen3 Preset 2 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1110++0x03 line.long 0x00 "PEXEQ3P3,PCIe Equalization Gen3 Preset 3 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1114++0x03 line.long 0x00 "PEXEQ3P4,PCIe Equalization Gen3 Preset 4 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1118++0x03 line.long 0x00 "PEXEQ3P5,PCIe Equalization Gen3 Preset 5 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x111C++0x03 line.long 0x00 "PEXEQ3P6,PCIe Equalization Gen3 Preset 6 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1120++0x03 line.long 0x00 "PEXEQ3P7,PCIe Equalization Gen3 Preset 7 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1124++0x03 line.long 0x00 "PEXEQ3P8,PCIe Equalization Gen3 Preset 8 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1128++0x03 line.long 0x00 "PEXEQ3P9,PCIe Equalization Gen3 Preset 9 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x112C++0x03 line.long 0x00 "PEXEQ3P10,PCIe Equalization Gen3 Preset 10 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1200++0x03 line.long 0x00 "PEXACR0,PEXA Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" group.long 0x1240++0x03 line.long 0x00 "PEXBCR0,PEXB Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" group.long 0x1280++0x03 line.long 0x00 "PEXCCR0,PEXC Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" sif cpuis("LX2160A*")||cpuis("LX2120A*")||cpuis("LX2080A*") group.long 0x12C0++0x03 line.long 0x00 "PEXDCR0,PEXD Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" endif group.long 0x1804++0x03 line.long 0x00 "SGMIIACR1,SGMIIA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1814++0x03 line.long 0x00 "SGMIIBCR1,SGMIIB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1824++0x03 line.long 0x00 "SGMIICCR1,SGMIIC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1834++0x03 line.long 0x00 "SGMIIDCR1,SGMIID Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1844++0x03 line.long 0x00 "SGMIIECR1,SGMIIE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1854++0x03 line.long 0x00 "SGMIIFCR1,SGMIIF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1864++0x03 line.long 0x00 "SGMIIGCR1,SGMIIG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1874++0x03 line.long 0x00 "SGMIIHCR1,SGMIIH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" sif cpuis("LX2??2A") group.long 0x18C0++0x07 line.long 0x00 "QXGMIIACR0,QXGMIIA Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIA reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIACR1,QXGMIIA Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18D0++0x07 line.long 0x00 "QXGMIIBCR0,QXGMIIB Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIB reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIBCR1,QXGMIIB Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18E0++0x07 line.long 0x00 "QXGMIICCR0,QXGMIIC Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIC reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIICCR1,QXGMIIC Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18F0++0x07 line.long 0x00 "QXGMIIDCR0,QXGMIID Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIID reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIDCR1,QXGMIID Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" endif group.long 0x1A04++0x03 line.long 0x00 "ANLTACR1,ANLTA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A14++0x03 line.long 0x00 "ANLTBCR1,ANLTB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A24++0x03 line.long 0x00 "ANLTCCR1,ANLTC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A34++0x03 line.long 0x00 "ANLTDCR1,ANLTD Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A44++0x03 line.long 0x00 "ANLTECR1,ANLTE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A54++0x03 line.long 0x00 "ANLTFCR1,ANLTF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A64++0x03 line.long 0x00 "ANLTGCR1,ANLTG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A74++0x03 line.long 0x00 "ANLTHCR1,ANLTH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A84++0x07 line.long 0x00 "SXGMIIACR1,SXGMIIA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIACR2,SXGMIIA Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1A84+0x08)++0x03 line.long 0x00 "SXGMIIACR3,SXGMIIA Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1A94++0x07 line.long 0x00 "SXGMIIBCR1,SXGMIIB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIBCR2,SXGMIIB Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1A94+0x08)++0x03 line.long 0x00 "SXGMIIBCR3,SXGMIIB Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AA4++0x07 line.long 0x00 "SXGMIICCR1,SXGMIIC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIICCR2,SXGMIIC Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AA4+0x08)++0x03 line.long 0x00 "SXGMIICCR3,SXGMIIC Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AB4++0x07 line.long 0x00 "SXGMIIDCR1,SXGMIID Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIDCR2,SXGMIID Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AB4+0x08)++0x03 line.long 0x00 "SXGMIIDCR3,SXGMIID Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AC4++0x07 line.long 0x00 "SXGMIIECR1,SXGMIIE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIECR2,SXGMIIE Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AC4+0x08)++0x03 line.long 0x00 "SXGMIIECR3,SXGMIIE Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AD4++0x07 line.long 0x00 "SXGMIIFCR1,SXGMIIF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIFCR2,SXGMIIF Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AD4+0x08)++0x03 line.long 0x00 "SXGMIIFCR3,SXGMIIF Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AE4++0x07 line.long 0x00 "SXGMIIGCR1,SXGMIIG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIGCR2,SXGMIIG Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AE4+0x08)++0x03 line.long 0x00 "SXGMIIGCR3,SXGMIIG Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AF4++0x07 line.long 0x00 "SXGMIIHCR1,SXGMIIH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIHCR2,SXGMIIH Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AF4+0x08)++0x03 line.long 0x00 "SXGMIIHCR3,SXGMIIH Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1B04++0x07 line.long 0x00 "E25GACR1,E25GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GACR2,E25GA Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B04+0x08)++0x03 line.long 0x00 "E25GACR3,E25GA Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B14++0x07 line.long 0x00 "E25GBCR1,E25GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GBCR2,E25GB Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B14+0x08)++0x03 line.long 0x00 "E25GBCR3,E25GB Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B24++0x07 line.long 0x00 "E25GCCR1,E25GC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GCCR2,E25GC Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B24+0x08)++0x03 line.long 0x00 "E25GCCR3,E25GC Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B34++0x07 line.long 0x00 "E25GDCR1,E25GD Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GDCR2,E25GD Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B34+0x08)++0x03 line.long 0x00 "E25GDCR3,E25GD Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B44++0x07 line.long 0x00 "E25GECR1,E25GE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GECR2,E25GE Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B44+0x08)++0x03 line.long 0x00 "E25GECR3,E25GE Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B54++0x07 line.long 0x00 "E25GFCR1,E25GF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GFCR2,E25GF Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B54+0x08)++0x03 line.long 0x00 "E25GFCR3,E25GF Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B64++0x07 line.long 0x00 "E25GGCR1,E25GG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GGCR2,E25GG Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B64+0x08)++0x03 line.long 0x00 "E25GGCR3,E25GG Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B74++0x07 line.long 0x00 "E25GHCR1,E25GH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GHCR2,E25GH Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B74+0x08)++0x03 line.long 0x00 "E25GHCR3,E25GH Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1C04++0x07 line.long 0x00 "E40GACR1,E40GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E40GACR2,E40GA Protocol Control Register 2" rbitfld.long 0x04 27. " FEC_LK[3] ,Lane 3 FEC locked" "Not locked,Locked" rbitfld.long 0x04 26. " [2] ,Lane 2 FEC locked" "Not locked,Locked" newline rbitfld.long 0x04 25. " [1] ,Lane 1 FEC locked" "Not locked,Locked" rbitfld.long 0x04 24. " [0] ,Lane 0 FEC locked" "Not locked,Locked" newline bitfld.long 0x04 15. " FEC_EN_TX[3] ,Lane 3 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 14. " [2] ,Lane 2 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 13. " [1] ,Lane 1 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 12. " [0] ,Lane 0 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 11. " FEC_EN_RX[3] ,Lane 3 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 10. " [2] ,Lane 2 FEC enable for RX" "Disabled,Enabled" newline bitfld.long 0x04 9. " [1] ,Lane 1 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 8. " [0] ,Lane 0 FEC enable for RX" "Disabled,Enabled" newline rbitfld.long 0x04 3. " BLOCK_LK[3] ,Lane 3 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 2. " [2] ,Lane 2 block synchronization indication" "Not locked,Locked" newline rbitfld.long 0x04 1. " [1] ,Lane 1 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 0. " [0] ,Lane 0 block synchronization indication" "Not locked,Locked" rgroup.long (0x1C04+0x08)++0x03 line.long 0x00 "E40GACR3,E40GA Protocol Control Register 3" bitfld.long 0x00 8. " ALIGN_DN ,Align done" "Disabled,Enabled" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" group.long 0x1C44++0x07 line.long 0x00 "E40GBCR1,E40GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E40GBCR2,E40GB Protocol Control Register 2" rbitfld.long 0x04 27. " FEC_LK[3] ,Lane 3 FEC locked" "Not locked,Locked" rbitfld.long 0x04 26. " [2] ,Lane 2 FEC locked" "Not locked,Locked" newline rbitfld.long 0x04 25. " [1] ,Lane 1 FEC locked" "Not locked,Locked" rbitfld.long 0x04 24. " [0] ,Lane 0 FEC locked" "Not locked,Locked" newline bitfld.long 0x04 15. " FEC_EN_TX[3] ,Lane 3 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 14. " [2] ,Lane 2 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 13. " [1] ,Lane 1 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 12. " [0] ,Lane 0 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 11. " FEC_EN_RX[3] ,Lane 3 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 10. " [2] ,Lane 2 FEC enable for RX" "Disabled,Enabled" newline bitfld.long 0x04 9. " [1] ,Lane 1 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 8. " [0] ,Lane 0 FEC enable for RX" "Disabled,Enabled" newline rbitfld.long 0x04 3. " BLOCK_LK[3] ,Lane 3 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 2. " [2] ,Lane 2 block synchronization indication" "Not locked,Locked" newline rbitfld.long 0x04 1. " [1] ,Lane 1 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 0. " [0] ,Lane 0 block synchronization indication" "Not locked,Locked" rgroup.long (0x1C44+0x08)++0x03 line.long 0x00 "E40GBCR3,E40GB Protocol Control Register 3" bitfld.long 0x00 8. " ALIGN_DN ,Align done" "Disabled,Enabled" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" group.long 0x1DA4++0x03 line.long 0x00 "E50GACR1,E50GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1DA4+0x08)++0x03 line.long 0x00 "E50GACR3,E50GA Protocol Control Register 3" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" bitfld.long 0x00 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1DC4++0x03 line.long 0x00 "E50GBCR1,E50GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1DC4+0x08)++0x03 line.long 0x00 "E50GBCR3,E50GB Protocol Control Register 3" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" bitfld.long 0x00 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" sif !cpuis("LX2??2A") group.long 0x1E04++0x03 line.long 0x00 "E100GACR1,E100GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1E04+0x04)++0x07 line.long 0x00 "E100GACR2,E100GA Protocol Control Register 2" bitfld.long 0x00 19. " BLOCK_LK[19] ,Block synchronization indication for (virtual) lane 19" "Not locked,Locked" bitfld.long 0x00 18. " [18] ,Block synchronization indication for (virtual) lane 18" "Not locked,Locked" newline bitfld.long 0x00 17. " [17] ,Block synchronization indication for (virtual) lane 17" "Not locked,Locked" bitfld.long 0x00 16. " [16] ,Block synchronization indication for (virtual) lane 16" "Not locked,Locked" newline bitfld.long 0x00 15. " [15] ,Block synchronization indication for (virtual) lane 15" "Not locked,Locked" bitfld.long 0x00 14. " [14] ,Block synchronization indication for (virtual) lane 14" "Not locked,Locked" newline bitfld.long 0x00 13. " [13] ,Block synchronization indication for (virtual) lane 13" "Not locked,Locked" bitfld.long 0x00 12. " [12] ,Block synchronization indication for (virtual) lane 12" "Not locked,Locked" newline bitfld.long 0x00 11. " [11] ,Block synchronization indication for (virtual) lane 11" "Not locked,Locked" bitfld.long 0x00 10. " [10] ,Block synchronization indication for (virtual) lane 10" "Not locked,Locked" newline bitfld.long 0x00 9. " [9] ,Block synchronization indication for (virtual) lane 9" "Not locked,Locked" bitfld.long 0x00 8. " [8] ,Block synchronization indication for (virtual) lane 8" "Not locked,Locked" newline bitfld.long 0x00 7. " [7] ,Block synchronization indication for (virtual) lane 7" "Not locked,Locked" bitfld.long 0x00 6. " [6] ,Block synchronization indication for (virtual) lane 6" "Not locked,Locked" newline bitfld.long 0x00 5. " [5] ,Block synchronization indication for (virtual) lane 5" "Not locked,Locked" bitfld.long 0x00 4. " [4] ,Block synchronization indication for (virtual) lane 4" "Not locked,Locked" newline bitfld.long 0x00 3. " [3] ,Block synchronization indication for (virtual) lane 3" "Not locked,Locked" bitfld.long 0x00 2. " [2] ,Block synchronization indication for (virtual) lane 2" "Not locked,Locked" newline bitfld.long 0x00 1. " [1] ,Block synchronization indication for (virtual) lane 1" "Not locked,Locked" bitfld.long 0x00 0. " [0] ,Block synchronization indication for (virtual) lane 0" "Not locked,Locked" line.long 0x04 "E100GACR3,E100GA Protocol Control Register 3" bitfld.long 0x04 15. " RSFEC_ALN[3] ,RS-FEC status 3" "0,1" bitfld.long 0x04 14. " [2] ,RS-FEC status 2" "0,1" newline bitfld.long 0x04 13. " [1] ,RS-FEC status 1" "0,1" bitfld.long 0x04 12. " [0] ,RS-FEC status 0" "0,1" newline bitfld.long 0x04 11. " AMPS_LK[3] ,Per-SerDes lane RS-FEC codeword alignment status 3" "Not detected,Detected" bitfld.long 0x04 10. " [2] ,Per-SerDes lane RS-FEC codeword alignment status 2" "Not detected,Detected" newline bitfld.long 0x04 9. " [1] ,Per-SerDes lane RS-FEC codeword alignment status 1" "Not detected,Detected" bitfld.long 0x04 8. " [0] ,Per-SerDes lane RS-FEC codeword alignment status 0" "Not detected,Detected" newline bitfld.long 0x04 4. " HI_BER ,High bit error rate indication" "Disabled,Enabled" bitfld.long 0x04 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x04 0. " LINK_ST ,Final link status indication" "Abnormal state,Normal state" group.long 0x1E24++0x03 line.long 0x00 "E100GBCR1,E100GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1E24+0x04)++0x07 line.long 0x00 "E100GBCR2,E100GB Protocol Control Register 2" bitfld.long 0x00 19. " BLOCK_LK[19] ,Block synchronization indication for (virtual) lane 19" "Not locked,Locked" bitfld.long 0x00 18. " [18] ,Block synchronization indication for (virtual) lane 18" "Not locked,Locked" newline bitfld.long 0x00 17. " [17] ,Block synchronization indication for (virtual) lane 17" "Not locked,Locked" bitfld.long 0x00 16. " [16] ,Block synchronization indication for (virtual) lane 16" "Not locked,Locked" newline bitfld.long 0x00 15. " [15] ,Block synchronization indication for (virtual) lane 15" "Not locked,Locked" bitfld.long 0x00 14. " [14] ,Block synchronization indication for (virtual) lane 14" "Not locked,Locked" newline bitfld.long 0x00 13. " [13] ,Block synchronization indication for (virtual) lane 13" "Not locked,Locked" bitfld.long 0x00 12. " [12] ,Block synchronization indication for (virtual) lane 12" "Not locked,Locked" newline bitfld.long 0x00 11. " [11] ,Block synchronization indication for (virtual) lane 11" "Not locked,Locked" bitfld.long 0x00 10. " [10] ,Block synchronization indication for (virtual) lane 10" "Not locked,Locked" newline bitfld.long 0x00 9. " [9] ,Block synchronization indication for (virtual) lane 9" "Not locked,Locked" bitfld.long 0x00 8. " [8] ,Block synchronization indication for (virtual) lane 8" "Not locked,Locked" newline bitfld.long 0x00 7. " [7] ,Block synchronization indication for (virtual) lane 7" "Not locked,Locked" bitfld.long 0x00 6. " [6] ,Block synchronization indication for (virtual) lane 6" "Not locked,Locked" newline bitfld.long 0x00 5. " [5] ,Block synchronization indication for (virtual) lane 5" "Not locked,Locked" bitfld.long 0x00 4. " [4] ,Block synchronization indication for (virtual) lane 4" "Not locked,Locked" newline bitfld.long 0x00 3. " [3] ,Block synchronization indication for (virtual) lane 3" "Not locked,Locked" bitfld.long 0x00 2. " [2] ,Block synchronization indication for (virtual) lane 2" "Not locked,Locked" newline bitfld.long 0x00 1. " [1] ,Block synchronization indication for (virtual) lane 1" "Not locked,Locked" bitfld.long 0x00 0. " [0] ,Block synchronization indication for (virtual) lane 0" "Not locked,Locked" line.long 0x04 "E100GBCR3,E100GB Protocol Control Register 3" bitfld.long 0x04 15. " RSFEC_ALN[3] ,RS-FEC status 3" "0,1" bitfld.long 0x04 14. " [2] ,RS-FEC status 2" "0,1" newline bitfld.long 0x04 13. " [1] ,RS-FEC status 1" "0,1" bitfld.long 0x04 12. " [0] ,RS-FEC status 0" "0,1" newline bitfld.long 0x04 11. " AMPS_LK[3] ,Per-SerDes lane RS-FEC codeword alignment status 3" "Not detected,Detected" bitfld.long 0x04 10. " [2] ,Per-SerDes lane RS-FEC codeword alignment status 2" "Not detected,Detected" newline bitfld.long 0x04 9. " [1] ,Per-SerDes lane RS-FEC codeword alignment status 1" "Not detected,Detected" bitfld.long 0x04 8. " [0] ,Per-SerDes lane RS-FEC codeword alignment status 0" "Not detected,Detected" newline bitfld.long 0x04 4. " HI_BER ,High bit error rate indication" "Disabled,Enabled" bitfld.long 0x04 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x04 0. " LINK_ST ,Final link status indication" "Abnormal state,Normal state" endif width 0x0B tree.end sif !cpuis("LX2??2A") tree "SerDes 3" base ad:0x01EC0000 width 13. group.long 0x00++0x03 line.long 0x00 "RSTCTL,SerDes Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,Reset error" "No error,Error" eventfld.long 0x00 26. " STP_REQ ,Stop request" "Not requested,Requested" group.long 0x2C++0x03 line.long 0x00 "LCAPCR3,SerDes Left End Cap Control Register 3" bitfld.long 0x00 28. " ANA_REFCLK_BUF_EN ,On-chip refclk input to SerDes PLLF enable" "Disabled,Enabled" group.long 0x400++0x0B line.long 0x00 "PLLFRSTCTL,SerDes PLLF Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,PLL reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,PLL reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,PLL reset error" "No error,Error" eventfld.long 0x00 27. " HLT_REQ ,PLL halt request" "Not requested,Requested" newline eventfld.long 0x00 26. " STP_REQ ,PLL stop request" "Not requested,Requested" bitfld.long 0x00 24. " DIS ,PLL disable" "No,Yes" newline rbitfld.long 0x00 23. " LOCK ,PLL lock" "Not locked,Locked" line.long 0x04 "PLLFCR0,SerDes PLLF Control/Status Register 0" bitfld.long 0x04 28.--29. " REFCLK_PTRM ,RX termination configuration on SerDes refclk inputs select" "Calibrated SVSS,Calibrated SVSS,Uncalibrated HiZ,Uncalibrated SVDD" bitfld.long 0x04 16.--20. " REFCLK_SEL ,Reference clock select" "100 MHz,125 MHz,156.25 MHz,161.1328125 MHz,?..." line.long 0x08 "PLLFCR1,SerDes PLLF Control/Status Register 1" bitfld.long 0x08 31. " SLOW_VCO_EN ,Slower of two VCOs in a given PLL enable" "Disabled,Enabled" bitfld.long 0x08 24.--28. " FRATE_SEL ,Clocknet frequency select" ",,,,,,,,,,,,,,,,5G/25G,6.25/25G,6G/24G,,,,12.890625G/25.78125,8G/24G,,8G/16G,?..." newline bitfld.long 0x08 22. " RTMR_INS_OV_EN ,Override for retimer inslew to clock driver and SSC PI enable" "Disabled,Enabled" bitfld.long 0x08 21. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" newline bitfld.long 0x08 20. " CLKD_RCAL_SLW_EN ,Resistor calibration for clock driver enable" "Disabled,Enabled" bitfld.long 0x08 8.--10. " RTMR_INS_OVD ,Override for retimer inslew to clock driver and SSC PI" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 3. " RTMR_BYP ,Retimer bypass" "Not bypassed,Bypassed" bitfld.long 0x08 0.--1. " EX_DLY_SEL ,External delay clock select" "Disabled,Freq/20,Freq/16,?..." group.long (0x400+0x10)++0x07 line.long 0x00 "PLLFCR3,SerDes PLLF Control/Status Register 3" bitfld.long 0x00 28.--29. " SSC_SEL ,PLL modulation mode select" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 16.--25. 1. " SSC_SLP_OFF ,Spread spectrum control register" line.long 0x04 "PLLFCR4,SerDes PLLF Control/Status Register 4" bitfld.long 0x04 29.--31. " SSC_BIAS_BST ,SSC bias boost" "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--25. 0x01 " SSC_SAW_MIN ,SawTooth minimum frequency offset control register" newline bitfld.long 0x04 11.--15. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x04 0.--9. 0x01 " SSC_SAW_MAX ,Maximum frequency offset of sawtooth" group.long (0x400+0x28)++0x03 line.long 0x00 "PLLFCR9,SerDes PLLF Control/Status Register 9" bitfld.long 0x00 29.--31. " BG_ADJ ,Band gap adjustment controls for PLLF" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " BGRX_ADJ ,Band gap voltage adjustment for RX in fuse use" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--21. " BGRX_TEMP_ADJ ,Band gap slope vs. temperature adjustment for RX in fuse use" "0,1,2,3" group.long (0x400+0x30)++0x0F line.long 0x00 "PLLFSSCR0,SerDes PLLF Speed Switch Control Register 0" bitfld.long 0x00 30.--31. " SSC_SEL ,PLL modulation mode select for PCIe gen3/4" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 20.--29. 1. " SSC_SLP_OFF_100 ,Spread spectrum control register for 100 MHz refclk" newline hexmask.long.word 0x00 10.--19. 1. " SSC_SLP_OFF_125 ,Spread spectrum control register for 125 MHz refclk" hexmask.long.word 0x00 0.--9. 1. " SSC_SLP_OFF_250 ,Spread spectrum control register for 250 MHz refclk" line.long 0x04 "PLLFSSCR1,SerDes PLLF Speed Switch Control Register 1" hexmask.long.word 0x04 20.--29. 0x10 " SSC_SAW_MIN_100 ,SawTooth minimum frequency offset control register for 100 MHz refclk" hexmask.long.word 0x04 10.--19. 0x04 " SSC_SAW_MIN_125 ,SawTooth minimum frequency offset control register for 125 MHz refclk" line.long 0x08 "PLLFSSCR2,SerDes PLLF Speed Switch Control Register 2" bitfld.long 0x08 6. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" bitfld.long 0x08 0.--4. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "PLLFSSCR3,SerDes PLLF Speed Switch Control Register 3" hexmask.long.word 0x0C 20.--29. 0x10 " SSC_SAW_MAX_100 ,Maximum frequency offset of sawtooth for 100 MHz refclk for PCie gen3/4" hexmask.long.word 0x0C 10.--19. 0x04 " SSC_SAW_MAX_125 ,Maximum frequency offset of sawtooth for 125 MHz refclk for PCie gen3/4" group.long 0x500++0x0B line.long 0x00 "PLLSRSTCTL,SerDes PLLS Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,PLL reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,PLL reset done" "In progress,Done" newline rbitfld.long 0x00 29. " RST_ERR ,PLL reset error" "No error,Error" eventfld.long 0x00 27. " HLT_REQ ,PLL halt request" "Not requested,Requested" newline eventfld.long 0x00 26. " STP_REQ ,PLL stop request" "Not requested,Requested" bitfld.long 0x00 24. " DIS ,PLL disable" "No,Yes" newline rbitfld.long 0x00 23. " LOCK ,PLL lock" "Not locked,Locked" line.long 0x04 "PLLSCR0,SerDes PLLS Control/Status Register 0" bitfld.long 0x04 28.--29. " REFCLK_PTRM ,RX termination configuration on SerDes refclk inputs select" "Calibrated SVSS,Calibrated SVSS,Uncalibrated HiZ,Uncalibrated SVDD" bitfld.long 0x04 16.--20. " REFCLK_SEL ,Reference clock select" "100 MHz,125 MHz,156.25 MHz,161.1328125 MHz,?..." line.long 0x08 "PLLSCR1,SerDes PLLS Control/Status Register 1" bitfld.long 0x08 31. " SLOW_VCO_EN ,Slower of two VCOs in a given PLL enable" "Disabled,Enabled" bitfld.long 0x08 24.--28. " FRATE_SEL ,Clocknet frequency select" "5G/20G,6.25G/18.75,,,,,10.3125G/20.625,,,,,,,,,,5G/25G,6.25/25G,6G/24G,,,,12.890625G/25.78125,8G/24G,?..." newline bitfld.long 0x08 22. " RTMR_INS_OV_EN ,Override for retimer inslew to clock driver and SSC PI enable" "Disabled,Enabled" bitfld.long 0x08 21. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" newline bitfld.long 0x08 20. " CLKD_RCAL_SLW_EN ,Resistor calibration for clock driver enable" "Disabled,Enabled" bitfld.long 0x08 8.--10. " RTMR_INS_OVD ,Override for retimer inslew to clock driver and SSC PI" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 3. " RTMR_BYP ,Retimer bypass" "Not bypassed,Bypassed" bitfld.long 0x08 0.--1. " EX_DLY_SEL ,External delay clock select" "Disabled,Freq/20,Freq/16,?..." group.long (0x500+0x10)++0x07 line.long 0x00 "PLLSCR3,SerDes PLLS Control/Status Register 3" bitfld.long 0x00 28.--29. " SSC_SEL ,PLL modulation mode select" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 16.--25. 1. " SSC_SLP_OFF ,Spread spectrum control register" line.long 0x04 "PLLSCR4,SerDes PLLS Control/Status Register 4" bitfld.long 0x04 29.--31. " SSC_BIAS_BST ,SSC bias boost" "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--25. 0x01 " SSC_SAW_MIN ,SawTooth minimum frequency offset control register" newline bitfld.long 0x04 11.--15. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x04 0.--9. 0x01 " SSC_SAW_MAX ,Maximum frequency offset of sawtooth" group.long (0x500+0x28)++0x03 line.long 0x00 "PLLSCR9,SerDes PLLS Control/Status Register 9" bitfld.long 0x00 29.--31. " BG_ADJ ,Band gap adjustment controls for PLLS" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " BGRX_ADJ ,Band gap voltage adjustment for RX in fuse use" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--21. " BGRX_TEMP_ADJ ,Band gap slope vs. temperature adjustment for RX in fuse use" "0,1,2,3" group.long (0x500+0x30)++0x0F line.long 0x00 "PLLSSSCR0,SerDes PLLS Speed Switch Control Register 0" bitfld.long 0x00 30.--31. " SSC_SEL ,PLL modulation mode select for PCIe gen3/4" "No PLL modulation,Slower cap and dcbias,Fixed Offset,Closer cap and dcbias" hexmask.long.word 0x00 20.--29. 1. " SSC_SLP_OFF_100 ,Spread spectrum control register for 100 MHz refclk" newline hexmask.long.word 0x00 10.--19. 1. " SSC_SLP_OFF_125 ,Spread spectrum control register for 125 MHz refclk" hexmask.long.word 0x00 0.--9. 1. " SSC_SLP_OFF_250 ,Spread spectrum control register for 250 MHz refclk" line.long 0x04 "PLLSSSCR1,SerDes PLLS Speed Switch Control Register 1" hexmask.long.word 0x04 20.--29. 0x10 " SSC_SAW_MIN_100 ,SawTooth minimum frequency offset control register for 100 MHz refclk" hexmask.long.word 0x04 10.--19. 0x04 " SSC_SAW_MIN_125 ,SawTooth minimum frequency offset control register for 125 MHz refclk" line.long 0x08 "PLLSSSCR2,SerDes PLLS Speed Switch Control Register 2" bitfld.long 0x08 6. " HI_BW_SEL ,High bandwidth select" "Normal,Higher" bitfld.long 0x08 0.--4. " SSC_PI_BST ,SSC PI Iqdiv2 boost" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "PLLSSSCR3,SerDes PLLS Speed Switch Control Register 3" hexmask.long.word 0x0C 20.--29. 0x10 " SSC_SAW_MAX_100 ,Maximum frequency offset of sawtooth for 100 MHz refclk for PCie gen3/4" hexmask.long.word 0x0C 10.--19. 0x04 " SSC_SAW_MAX_125 ,Maximum frequency offset of sawtooth for 125 MHz refclk for PCie gen3/4" group.long 0x800++0x03 line.long 0x00 "LNAGCR0,SerDes Lane A General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0x800+0x20)++0x03 line.long 0x00 "LNATRSTCTL,SerDes Lane A TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EC0000+0x800))&0xF8)==0x00) group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EC0000+0x800))&0xF8)==0x10) group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0x800+0x24)++0x03 line.long 0x00 "LNATGCR0,SerDes Lane A TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EC0000+0x800))&0xF8)==0x00) rgroup.long (0x800+0x30)++0x03 line.long 0x00 "LNATECR0,SerDes Lane A TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0x800+0x30)++0x03 line.long 0x00 "LNATECR0,SerDes Lane A TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EC0000+0x800))&0xF8)==0x00) group.long (0x800+0x34)++0x03 line.long 0x00 "LNATECR1,SerDes Lane A TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0x800+0x34)++0x03 line.long 0x00 "LNATECR1,SerDes Lane A TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0x800+0x40)++0x03 line.long 0x00 "LNARRSTCTL,SerDes Lane A RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EC0000+0x800))&0xF8)==(0x00||0x10)) group.long (0x800+0x44)++0x03 line.long 0x00 "LNARGCR0,SerDes Lane A RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0x800+0x44)++0x03 line.long 0x00 "LNARGCR0,SerDes Lane A RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0x800+0x48)++0x03 line.long 0x00 "LNARGCR1,SerDes Lane A RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0x800+0x58)++0x03 line.long 0x00 "LNARECR2,SerDes Lane A RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EC0000+0x800))&0xF8)==0x00) group.long (0x800+0x5C)++0x03 line.long 0x00 "LNARECR3,SerDes Lane A RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0x800+0x5C)++0x03 line.long 0x00 "LNARECR3,SerDes Lane A RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0x800+0x60)++0x03 line.long 0x00 "LNARECR4,SerDes Lane A RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0x800+0x80)++0x03 line.long 0x00 "LNATTLCR0,SerDes Lane A Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0x800+0xA0)++0x07 line.long 0x00 "LNATCSR0,SerDes Lane A Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNATCSR1,SerDes Lane A Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0x800+0xA8)++0x03 line.long 0x00 "LNATCSR2,SerDes Lane A Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0x800+0xD0)++0x0B line.long 0x00 "LNARXSS0,SerDes Lane A RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNARXSS1,SerDes Lane A RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNARXSS2,SerDes Lane A RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0x800+0xF0)++0x0B line.long 0x00 "LNATXSS0,SerDes Lane A TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNATXSS1,SerDes Lane A TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNATXSS2,SerDes Lane A TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0x900++0x03 line.long 0x00 "LNBGCR0,SerDes Lane B General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0x900+0x20)++0x03 line.long 0x00 "LNBTRSTCTL,SerDes Lane B TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EC0000+0x900))&0xF8)==0x00) group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EC0000+0x900))&0xF8)==0x10) group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0x900+0x24)++0x03 line.long 0x00 "LNBTGCR0,SerDes Lane B TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EC0000+0x900))&0xF8)==0x00) rgroup.long (0x900+0x30)++0x03 line.long 0x00 "LNBTECR0,SerDes Lane B TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0x900+0x30)++0x03 line.long 0x00 "LNBTECR0,SerDes Lane B TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EC0000+0x900))&0xF8)==0x00) group.long (0x900+0x34)++0x03 line.long 0x00 "LNBTECR1,SerDes Lane B TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0x900+0x34)++0x03 line.long 0x00 "LNBTECR1,SerDes Lane B TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0x900+0x40)++0x03 line.long 0x00 "LNBRRSTCTL,SerDes Lane B RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EC0000+0x900))&0xF8)==(0x00||0x10)) group.long (0x900+0x44)++0x03 line.long 0x00 "LNBRGCR0,SerDes Lane B RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0x900+0x44)++0x03 line.long 0x00 "LNBRGCR0,SerDes Lane B RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0x900+0x48)++0x03 line.long 0x00 "LNBRGCR1,SerDes Lane B RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0x900+0x58)++0x03 line.long 0x00 "LNBRECR2,SerDes Lane B RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EC0000+0x900))&0xF8)==0x00) group.long (0x900+0x5C)++0x03 line.long 0x00 "LNBRECR3,SerDes Lane B RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0x900+0x5C)++0x03 line.long 0x00 "LNBRECR3,SerDes Lane B RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0x900+0x60)++0x03 line.long 0x00 "LNBRECR4,SerDes Lane B RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0x900+0x80)++0x03 line.long 0x00 "LNBTTLCR0,SerDes Lane B Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0x900+0xA0)++0x07 line.long 0x00 "LNBTCSR0,SerDes Lane B Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNBTCSR1,SerDes Lane B Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0x900+0xA8)++0x03 line.long 0x00 "LNBTCSR2,SerDes Lane B Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0x900+0xD0)++0x0B line.long 0x00 "LNBRXSS0,SerDes Lane B RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNBRXSS1,SerDes Lane B RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNBRXSS2,SerDes Lane B RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0x900+0xF0)++0x0B line.long 0x00 "LNBTXSS0,SerDes Lane B TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNBTXSS1,SerDes Lane B TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNBTXSS2,SerDes Lane B TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xA00++0x03 line.long 0x00 "LNCGCR0,SerDes Lane C General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xA00+0x20)++0x03 line.long 0x00 "LNCTRSTCTL,SerDes Lane C TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EC0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EC0000+0xA00))&0xF8)==0x10) group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xA00+0x24)++0x03 line.long 0x00 "LNCTGCR0,SerDes Lane C TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EC0000+0xA00))&0xF8)==0x00) rgroup.long (0xA00+0x30)++0x03 line.long 0x00 "LNCTECR0,SerDes Lane C TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xA00+0x30)++0x03 line.long 0x00 "LNCTECR0,SerDes Lane C TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EC0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x34)++0x03 line.long 0x00 "LNCTECR1,SerDes Lane C TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xA00+0x34)++0x03 line.long 0x00 "LNCTECR1,SerDes Lane C TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xA00+0x40)++0x03 line.long 0x00 "LNCRRSTCTL,SerDes Lane C RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EC0000+0xA00))&0xF8)==(0x00||0x10)) group.long (0xA00+0x44)++0x03 line.long 0x00 "LNCRGCR0,SerDes Lane C RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xA00+0x44)++0x03 line.long 0x00 "LNCRGCR0,SerDes Lane C RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xA00+0x48)++0x03 line.long 0x00 "LNCRGCR1,SerDes Lane C RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xA00+0x58)++0x03 line.long 0x00 "LNCRECR2,SerDes Lane C RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EC0000+0xA00))&0xF8)==0x00) group.long (0xA00+0x5C)++0x03 line.long 0x00 "LNCRECR3,SerDes Lane C RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xA00+0x5C)++0x03 line.long 0x00 "LNCRECR3,SerDes Lane C RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xA00+0x60)++0x03 line.long 0x00 "LNCRECR4,SerDes Lane C RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xA00+0x80)++0x03 line.long 0x00 "LNCTTLCR0,SerDes Lane C Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xA00+0xA0)++0x07 line.long 0x00 "LNCTCSR0,SerDes Lane C Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNCTCSR1,SerDes Lane C Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xA00+0xA8)++0x03 line.long 0x00 "LNCTCSR2,SerDes Lane C Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xA00+0xD0)++0x0B line.long 0x00 "LNCRXSS0,SerDes Lane C RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNCRXSS1,SerDes Lane C RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNCRXSS2,SerDes Lane C RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xA00+0xF0)++0x0B line.long 0x00 "LNCTXSS0,SerDes Lane C TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNCTXSS1,SerDes Lane C TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNCTXSS2,SerDes Lane C TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xB00++0x03 line.long 0x00 "LNDGCR0,SerDes Lane D General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xB00+0x20)++0x03 line.long 0x00 "LNDTRSTCTL,SerDes Lane D TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EC0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EC0000+0xB00))&0xF8)==0x10) group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xB00+0x24)++0x03 line.long 0x00 "LNDTGCR0,SerDes Lane D TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EC0000+0xB00))&0xF8)==0x00) rgroup.long (0xB00+0x30)++0x03 line.long 0x00 "LNDTECR0,SerDes Lane D TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xB00+0x30)++0x03 line.long 0x00 "LNDTECR0,SerDes Lane D TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EC0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x34)++0x03 line.long 0x00 "LNDTECR1,SerDes Lane D TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xB00+0x34)++0x03 line.long 0x00 "LNDTECR1,SerDes Lane D TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xB00+0x40)++0x03 line.long 0x00 "LNDRRSTCTL,SerDes Lane D RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EC0000+0xB00))&0xF8)==(0x00||0x10)) group.long (0xB00+0x44)++0x03 line.long 0x00 "LNDRGCR0,SerDes Lane D RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xB00+0x44)++0x03 line.long 0x00 "LNDRGCR0,SerDes Lane D RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xB00+0x48)++0x03 line.long 0x00 "LNDRGCR1,SerDes Lane D RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xB00+0x58)++0x03 line.long 0x00 "LNDRECR2,SerDes Lane D RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EC0000+0xB00))&0xF8)==0x00) group.long (0xB00+0x5C)++0x03 line.long 0x00 "LNDRECR3,SerDes Lane D RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xB00+0x5C)++0x03 line.long 0x00 "LNDRECR3,SerDes Lane D RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xB00+0x60)++0x03 line.long 0x00 "LNDRECR4,SerDes Lane D RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xB00+0x80)++0x03 line.long 0x00 "LNDTTLCR0,SerDes Lane D Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xB00+0xA0)++0x07 line.long 0x00 "LNDTCSR0,SerDes Lane D Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNDTCSR1,SerDes Lane D Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xB00+0xA8)++0x03 line.long 0x00 "LNDTCSR2,SerDes Lane D Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xB00+0xD0)++0x0B line.long 0x00 "LNDRXSS0,SerDes Lane D RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNDRXSS1,SerDes Lane D RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNDRXSS2,SerDes Lane D RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xB00+0xF0)++0x0B line.long 0x00 "LNDTXSS0,SerDes Lane D TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNDTXSS1,SerDes Lane D TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNDTXSS2,SerDes Lane D TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xC00++0x03 line.long 0x00 "LNEGCR0,SerDes Lane E General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xC00+0x20)++0x03 line.long 0x00 "LNETRSTCTL,SerDes Lane E TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EC0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EC0000+0xC00))&0xF8)==0x10) group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xC00+0x24)++0x03 line.long 0x00 "LNETGCR0,SerDes Lane E TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EC0000+0xC00))&0xF8)==0x00) rgroup.long (0xC00+0x30)++0x03 line.long 0x00 "LNETECR0,SerDes Lane E TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xC00+0x30)++0x03 line.long 0x00 "LNETECR0,SerDes Lane E TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EC0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x34)++0x03 line.long 0x00 "LNETECR1,SerDes Lane E TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xC00+0x34)++0x03 line.long 0x00 "LNETECR1,SerDes Lane E TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xC00+0x40)++0x03 line.long 0x00 "LNERRSTCTL,SerDes Lane E RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EC0000+0xC00))&0xF8)==(0x00||0x10)) group.long (0xC00+0x44)++0x03 line.long 0x00 "LNERGCR0,SerDes Lane E RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xC00+0x44)++0x03 line.long 0x00 "LNERGCR0,SerDes Lane E RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xC00+0x48)++0x03 line.long 0x00 "LNERGCR1,SerDes Lane E RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xC00+0x58)++0x03 line.long 0x00 "LNERECR2,SerDes Lane E RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EC0000+0xC00))&0xF8)==0x00) group.long (0xC00+0x5C)++0x03 line.long 0x00 "LNERECR3,SerDes Lane E RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xC00+0x5C)++0x03 line.long 0x00 "LNERECR3,SerDes Lane E RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xC00+0x60)++0x03 line.long 0x00 "LNERECR4,SerDes Lane E RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xC00+0x80)++0x03 line.long 0x00 "LNETTLCR0,SerDes Lane E Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xC00+0xA0)++0x07 line.long 0x00 "LNETCSR0,SerDes Lane E Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNETCSR1,SerDes Lane E Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xC00+0xA8)++0x03 line.long 0x00 "LNETCSR2,SerDes Lane E Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xC00+0xD0)++0x0B line.long 0x00 "LNERXSS0,SerDes Lane E RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNERXSS1,SerDes Lane E RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNERXSS2,SerDes Lane E RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xC00+0xF0)++0x0B line.long 0x00 "LNETXSS0,SerDes Lane E TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNETXSS1,SerDes Lane E TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNETXSS2,SerDes Lane E TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xD00++0x03 line.long 0x00 "LNFGCR0,SerDes Lane F General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xD00+0x20)++0x03 line.long 0x00 "LNFTRSTCTL,SerDes Lane F TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EC0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EC0000+0xD00))&0xF8)==0x10) group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xD00+0x24)++0x03 line.long 0x00 "LNFTGCR0,SerDes Lane F TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EC0000+0xD00))&0xF8)==0x00) rgroup.long (0xD00+0x30)++0x03 line.long 0x00 "LNFTECR0,SerDes Lane F TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xD00+0x30)++0x03 line.long 0x00 "LNFTECR0,SerDes Lane F TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EC0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x34)++0x03 line.long 0x00 "LNFTECR1,SerDes Lane F TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xD00+0x34)++0x03 line.long 0x00 "LNFTECR1,SerDes Lane F TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xD00+0x40)++0x03 line.long 0x00 "LNFRRSTCTL,SerDes Lane F RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EC0000+0xD00))&0xF8)==(0x00||0x10)) group.long (0xD00+0x44)++0x03 line.long 0x00 "LNFRGCR0,SerDes Lane F RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xD00+0x44)++0x03 line.long 0x00 "LNFRGCR0,SerDes Lane F RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xD00+0x48)++0x03 line.long 0x00 "LNFRGCR1,SerDes Lane F RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xD00+0x58)++0x03 line.long 0x00 "LNFRECR2,SerDes Lane F RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EC0000+0xD00))&0xF8)==0x00) group.long (0xD00+0x5C)++0x03 line.long 0x00 "LNFRECR3,SerDes Lane F RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xD00+0x5C)++0x03 line.long 0x00 "LNFRECR3,SerDes Lane F RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xD00+0x60)++0x03 line.long 0x00 "LNFRECR4,SerDes Lane F RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xD00+0x80)++0x03 line.long 0x00 "LNFTTLCR0,SerDes Lane F Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xD00+0xA0)++0x07 line.long 0x00 "LNFTCSR0,SerDes Lane F Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNFTCSR1,SerDes Lane F Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xD00+0xA8)++0x03 line.long 0x00 "LNFTCSR2,SerDes Lane F Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xD00+0xD0)++0x0B line.long 0x00 "LNFRXSS0,SerDes Lane F RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNFRXSS1,SerDes Lane F RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNFRXSS2,SerDes Lane F RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xD00+0xF0)++0x0B line.long 0x00 "LNFTXSS0,SerDes Lane F TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNFTXSS1,SerDes Lane F TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNFTXSS2,SerDes Lane F TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xE00++0x03 line.long 0x00 "LNGGCR0,SerDes Lane G General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xE00+0x20)++0x03 line.long 0x00 "LNGTRSTCTL,SerDes Lane G TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EC0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EC0000+0xE00))&0xF8)==0x10) group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xE00+0x24)++0x03 line.long 0x00 "LNGTGCR0,SerDes Lane G TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EC0000+0xE00))&0xF8)==0x00) rgroup.long (0xE00+0x30)++0x03 line.long 0x00 "LNGTECR0,SerDes Lane G TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xE00+0x30)++0x03 line.long 0x00 "LNGTECR0,SerDes Lane G TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EC0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x34)++0x03 line.long 0x00 "LNGTECR1,SerDes Lane G TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xE00+0x34)++0x03 line.long 0x00 "LNGTECR1,SerDes Lane G TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xE00+0x40)++0x03 line.long 0x00 "LNGRRSTCTL,SerDes Lane G RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EC0000+0xE00))&0xF8)==(0x00||0x10)) group.long (0xE00+0x44)++0x03 line.long 0x00 "LNGRGCR0,SerDes Lane G RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xE00+0x44)++0x03 line.long 0x00 "LNGRGCR0,SerDes Lane G RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xE00+0x48)++0x03 line.long 0x00 "LNGRGCR1,SerDes Lane G RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xE00+0x58)++0x03 line.long 0x00 "LNGRECR2,SerDes Lane G RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EC0000+0xE00))&0xF8)==0x00) group.long (0xE00+0x5C)++0x03 line.long 0x00 "LNGRECR3,SerDes Lane G RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xE00+0x5C)++0x03 line.long 0x00 "LNGRECR3,SerDes Lane G RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xE00+0x60)++0x03 line.long 0x00 "LNGRECR4,SerDes Lane G RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xE00+0x80)++0x03 line.long 0x00 "LNGTTLCR0,SerDes Lane G Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xE00+0xA0)++0x07 line.long 0x00 "LNGTCSR0,SerDes Lane G Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNGTCSR1,SerDes Lane G Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xE00+0xA8)++0x03 line.long 0x00 "LNGTCSR2,SerDes Lane G Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xE00+0xD0)++0x0B line.long 0x00 "LNGRXSS0,SerDes Lane G RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNGRXSS1,SerDes Lane G RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNGRXSS2,SerDes Lane G RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xE00+0xF0)++0x0B line.long 0x00 "LNGTXSS0,SerDes Lane G TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNGTXSS1,SerDes Lane G TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNGTXSS2,SerDes Lane G TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0xF00++0x03 line.long 0x00 "LNHGCR0,SerDes Lane H General Control Register 0" bitfld.long 0x00 17. " PORT_RST_LEFT ,Port reset grouping direction" "Lane H,Lane A" bitfld.long 0x00 16. " PORT_LN0_B ,Port master clock lane" "0,1" newline bitfld.long 0x00 3.--7. " PORT_SEL ,Lane protocol select" "PCIe,SGMII/1000Base-KX,SATA,,,,,,,,10GBase-KR & 10G-SXGMII & 40GBase-R/KR,,,,,,,,,,,,,,,,25GBase-R/KR & 50G enet (CAUI2-C2C/C2M) & 100G enet (CAUI4-C2C/C2M),?..." bitfld.long 0x00 0.--2. " IF_WIDTH ,SerDes parallel interface width" "10-bit,16-bit,20-bit,32-bit,40-bit,?..." group.long (0xF00+0x20)++0x03 line.long 0x00 "LNHTRSTCTL,SerDes Lane H TX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane TX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane TX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane TX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane TX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane TX reset state machine disable" "No,Yes" rbitfld.long 0x00 9. " OUT_CM_STAT ,TX common mode status" "Not in mode,In mode" newline bitfld.long 0x00 8. " OUT_CM ,TX common mode control" "No common mode,Common mode" if (((per.l(ad:0x01EC0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline rbitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" elif (((per.l(ad:0x01EC0000+0xF00))&0xF8)==0x10) group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" else group.long (0xF00+0x24)++0x03 line.long 0x00 "LNHTGCR0,SerDes Lane H TX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert TX data before serialization" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL for TX half of the lane" "PLLF,PLLS" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Transmitter rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." rbitfld.long 0x00 15. " DETRX ,Transmitter detection of receiver" "Not detected,Detected" newline bitfld.long 0x00 12. " DETRX_EN ,Transmitter detection of external receiver enable" "Disabled,Enabled" bitfld.long 0x00 9. " CM_DLY_MATCH ,CM delay match" "Not delay matched,Delay matched" newline bitfld.long 0x00 8. " OUTPUT_TOGGLE ,Toggle TX data during TX reset" "Not toggled,Toggled" endif if (((per.l(ad:0x01EC0000+0xF00))&0xF8)==0x00) rgroup.long (0xF00+0x30)++0x03 line.long 0x00 "LNHTECR0,SerDes Lane H TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." else group.long (0xF00+0x30)++0x03 line.long 0x00 "LNHTECR0,SerDes Lane H TX Equalization Register 0" bitfld.long 0x00 28.--30. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 23. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 16.--19. " EQ_PREQ ,Drive strength of TX full swing transition bit to precursor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 15. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 8.--12. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." bitfld.long 0x00 0.--5. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." endif if (((per.l(ad:0x01EC0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x34)++0x03 line.long 0x00 "LNHTECR1,SerDes Lane H TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" rbitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" else group.long (0xF00+0x34)++0x03 line.long 0x00 "LNHTECR1,SerDes Lane H TX Equalization Register 1" bitfld.long 0x00 31. " EQ_ADPT_EQ_DRVR_DIS ,TX driver for adaptive equalization disable" "No,Yes" bitfld.long 0x00 24.--29. " EQ_ADPT_EQ ,TX adjustments for adaptive equalization" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" endif group.long (0xF00+0x40)++0x03 line.long 0x00 "LNHRRSTCTL,SerDes Lane H RX Reset Control Register" eventfld.long 0x00 31. " RST_REQ ,Lane RX reset request" "Not requested,Requested" rbitfld.long 0x00 30. " RST_DONE ,Reset done from lane RX reset state machine" "In progress,Done" newline eventfld.long 0x00 27. " HLT_REQ ,SerDes lane RX halt request" "Not requested,Requested" eventfld.long 0x00 26. " STP_REQ ,SerDes lane RX stop request" "Not requested,Requested" newline bitfld.long 0x00 24. " DIS ,Lane RX reset state machine disable" "No,Yes" rbitfld.long 0x00 12. " CDR_LOCK ,Clock and data recovery lock" "Invalid rx_clk,Valid rx_clk" if (((per.l(ad:0x01EC0000+0xF00))&0xF8)==(0x00||0x10)) group.long (0xF00+0x44)++0x03 line.long 0x00 "LNHRGCR0,SerDes Lane H RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline rbitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" else group.long (0xF00+0x44)++0x03 line.long 0x00 "LNHRGCR0,SerDes Lane H RX General Control Register 0" bitfld.long 0x00 31. " DAT_INV ,Invert RX data" "Not inverted,Inverted" bitfld.long 0x00 28. " USE_SLOW_PLL ,Use the slow PLL" "No slow PLL,Slow PLL" newline bitfld.long 0x00 24.--26. " BY_N_RATE_SEL ,Receiver rate selection" "Full rate,Half rate,Quarter rate,Double rate,?..." bitfld.long 0x00 7. " RX_GRP_RST_DIS ,RX group reset disable" "No,Yes" newline bitfld.long 0x00 5. " INTACCPL_DIS ,Disable internal RX AC coupling capacitor" "No,Yes" bitfld.long 0x00 4. " CMADJ_DIS ,Disable RX common mode adjust loop" "No,Yes" newline bitfld.long 0x00 0.--1. " PTRM_VCM_SEL ,Select RX termination configuration on SerDes RX inputs" "Calibrated SVSS,HiZ,Uncalibrated HiZ,Uncalibrated SVDD" endif group.long (0xF00+0x48)++0x03 line.long 0x00 "LNHRGCR1,SerDes Lane H RX General Control Register 1" bitfld.long 0x00 31. " RX_ORD_ELECIDLE ,Put lane into ordered idle state" "No idle state,Idle state" rbitfld.long 0x00 30. " DATA_LOST_FLT ,Data lost indicator (filtered)" "Not occurred,Occurred" newline bitfld.long 0x00 24.--26. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 20.--22. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 16.--18. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 8.--10. " EXT_REC_CLK_SEL ,Divider value for external recovered clock" "Off,Clock net /32,Clock net /40,Clock net /48,Clock net /64,Clock net /80,Clock net /96,Clock net /100" newline rbitfld.long 0x00 4. " PHY_RDY ,RX path ready indicator for dynamic power management" "Not ready,Ready" bitfld.long 0x00 0.--3. " PWR_MGT ,Controls for RX path dynamic power management" "Normal operation,Standby,Low power,?..." group.long (0xF00+0x58)++0x03 line.long 0x00 "LNHRECR2,SerDes Lane H RX Equalization Register 2" bitfld.long 0x00 24.--25. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." if (((per.l(ad:0x01EC0000+0xF00))&0xF8)==0x00) group.long (0xF00+0x5C)++0x03 line.long 0x00 "LNHRECR3,SerDes Lane H RX Equalization Register 3" rbitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" else group.long (0xF00+0x5C)++0x03 line.long 0x00 "LNHRECR3,SerDes Lane H RX Equalization Register 3" bitfld.long 0x00 31. " EQ_SNAP_STRT ,Initiate snapshot of EX equalization control and binning registers" "No effect,Snapshot" bitfld.long 0x00 30. " EQ_SNAP_DN ,Snapshot of RX EQ bin complete" "Not completed,Completed" endif group.long (0xF00+0x60)++0x03 line.long 0x00 "LNHRECR4,SerDes Lane H RX Equalization Register 4" bitfld.long 0x00 12.--15. " EQ_BIN_DATA_SEL ,Select the RX EQ bin that appears on EQ_BIN_DATA" "Bin 1,Bin 2,Bin 3,Bin 4,Offset data,,,,Bin BLW data,BinDataAvg data,,,BinM1,BinLong,?..." rhexmask.long.word 0x00 0.--8. 1. " EQ_BIN_DATA ,RX EQ control bins snapshot register" group.long (0xF00+0x80)++0x03 line.long 0x00 "LNHTTLCR0,SerDes Lane H Transition Tracking Loop Register 0" bitfld.long 0x00 24.--29. " TTL_FLT_SEL ,Select Kfr and Kph gains and TTL edge counting window widths in the CDR loop" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long (0xF00+0xA0)++0x07 line.long 0x00 "LNHTCSR0,SerDes Lane H Test Control/Status Register 0" bitfld.long 0x00 31. " SD_STAT_OBS_EN ,Observation of SerDes status on all status registers enable" "Disabled,Enabled" line.long 0x04 "LNHTCSR1,SerDes Lane H Test Control/Status Register 1" bitfld.long 0x04 24.--27. " SD_TST_SEL ,Lane test mode select" "Application,,Sampler test,Walking tap test,Jitter scope test,BIST checker,Pattern independent jitter scope,?..." hexmask.long.word 0x04 0.--8. 0x01 " RX_DATA_SMP_TIME_OFF ,Offset between samplers within a bit" rgroup.long (0xF00+0xA8)++0x03 line.long 0x00 "LNHTCSR2,SerDes Lane H Test Control/Status Register 2" hexmask.long.word 0x00 0.--15. 1. " RX_TST_DAT ,RX test data output and RX status" group.long (0xF00+0xD0)++0x0B line.long 0x00 "LNHRXSS0,SerDes Lane H RX Speed Switch Register 0" bitfld.long 0x00 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x00 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x00 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x00 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x04 "LNHRXSS1,SerDes Lane H RX Speed Switch Register 1" bitfld.long 0x04 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x04 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x04 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x04 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." line.long 0x08 "LNHRXSS2,SerDes Lane H RX Speed Switch Register 2" bitfld.long 0x08 29.--31. " DATA_LOST_TH_SEL ,Receiver electrical idle detection threshold" "Loss disabled,Vth_low = 30mV / Vth_high = 100mV,Vth_low = 38mV / Vth_high = 120mV,Vth_low = 50mV / Vth_high = 150mV,Vth_low = 65mV / Vth_high = 175mV,Vth_low = 75mV / Vth_high = 200mV,Vth_low = 88mV / Vth_high = 225mV,Vth_low = 100mV / Vth_high = 250mV" bitfld.long 0x08 25.--27. " EXIT_IDLE_FLT_SEL ,Exit from idle filter select" "Forced exit,20UI/glitch-free,80UI/majority,80UI/glitch-free,Forced exit,20UI/glitch-free,80UI/majority,?..." newline bitfld.long 0x08 21.--23. " ENTER_IDLE_FLT_SEL ,Enter idle filter select" "Bypass,20UI/glitch-free,80UI/majority,80UI/glitch-free,1 microsec/majority,?..." bitfld.long 0x08 16.--17. " EQ_BLW_SEL ,Baseline wander control select" "Disabled,Default BinBLW,Alternate BinBLW,?..." group.long (0xF00+0xF0)++0x0B line.long 0x00 "LNHTXSS0,SerDes Lane H TX Speed Switch Register 0" bitfld.long 0x00 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x00 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x00 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x00 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x00 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x04 "LNHTXSS1,SerDes Lane H TX Speed Switch Register 1" bitfld.long 0x04 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x04 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x04 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x04 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x04 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." line.long 0x08 "LNHTXSS2,SerDes Lane H TX Speed Switch Register 2" bitfld.long 0x08 29.--31. " EQ_TYPE ,Number of levels of TX equalization" "No equalization,2-tap equalization,3-tap equalization,?..." bitfld.long 0x08 28. " EQ_SGN_PREQ ,Precursor sign indicating direction of eye closure" "Negative,Positive" newline bitfld.long 0x08 27. " EQ_SGN_POST1Q ,First post-cursor sign indicating direction of eye closure" "Negative,Positive" bitfld.long 0x08 22.--26. " EQ_POST1Q ,Drive strength of full swing transition bit to first post-cursor" "Ratio = 1.00,Ratio = 1.04,Ratio = 1.09,Ratio = 1.14,Ratio = 1.20,Ratio = 1.26,Ratio = 1.33,Ratio = 1.40,Ratio = 1.50,Ratio = 1.60,Ratio = 1.71,Ratio = 1.84,Ratio = 2.00,?..." newline bitfld.long 0x08 16.--21. " EQ_AMP_RED ,Overall transmit amplitude reduction" "1.0,0.917,0.752,0.840,,,0.667,0.585,,,,,,,,,0.500,0.458,0.376,0.420,,,0.333,0.292,,,,,,,,0.170,1.1 * Full amplitude,?..." group.long 0x1080++0x03 line.long 0x00 "PCC0,Protocol Configuration Register 0" bitfld.long 0x00 28.--30. " PEXA_CFG ,PEXa configuration" "Disabled,X1 on lane 7 and X1 on lane 0,X2[1:0] on lanes[6:7] and X2[1:0] on lanes [1:0],X4[3:0] on lanes [4:7] and X4[3:0] on lanes [3:0],X8[7:0] on lanes [7:0],?..." newline bitfld.long 0x00 20.--22. " PEXC_CFG ,PEXc configuration" "Disabled,X1 on lane 3 and X1 on lane 4,X2[1:0] on lanes[2:3] and X2[1:0] on lanes [5:4],X4[3:0] on lanes [0:3] and X4[3:0] on lanes [7:4],?..." group.long 0x1088++0x03 line.long 0x00 "PCC2,Protocol Configuration Register 2" bitfld.long 0x00 28.--30. " SATAA_CFG ,SATAa configuration" "Disabled,X1 on lane 2,X1 on lane 6,?..." bitfld.long 0x00 24.--26. " SATAB_CFG ,SATAb configuration" "Disabled,X1 on lane 3,X1 on lane 7,?..." newline bitfld.long 0x00 20.--22. " SATAC_CFG ,SATAc configuration" "Disabled,X1 on lane 4,?..." bitfld.long 0x00 16.--18. " SATAD_CFG ,SATAd configuration" "Disabled,X1 on lane 5,?..." group.long 0x10A0++0x03 line.long 0x00 "PCC8,Protocol Configuration Register 8" bitfld.long 0x00 31. " SGMIIA_KX ,SGMIIa 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 28.--30. " SGMIIA_CFG ,SGMIIa configuration" "Disabled,X1 on lane 0,?..." newline bitfld.long 0x00 27. " SGMIIB_KX ,SGMIIc 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 24.--26. " SGMIIB_CFG ,SGMIIb configuration" "Disabled,X1 on lane 1,?..." newline bitfld.long 0x00 23. " SGMIIC_KX ,SGMIId 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 20.--22. " SGMIIC_CFG ,SGMIIc configuration" "Disabled,X1 on lane 2,?..." newline bitfld.long 0x00 19. " SGMIID_KX ,SGMIIe 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 16.--18. " SGMIID_CFG ,SGMIId configuration" "Disabled,X1 on lane 3,?..." newline bitfld.long 0x00 15. " SGMIIE_KX ,SGMIIf 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 12.--14. " SGMIIE_CFG ,SGMIIe configuration" "Disabled,X1 on lane 4,?..." newline bitfld.long 0x00 11. " SGMIIF_KX ,SGMIIf 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 8.--10. " SGMIIF_CFG ,SGMIIf configuration" "Disabled,X1 on lane 5,?..." newline bitfld.long 0x00 7. " SGMIIG_KX ,SGMIIg 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 4.--6. " SGMIIG_CFG ,SGMIIg configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x00 3. " SGMIIH_KX ,SGMIIh 1000base-KX configuration" "SGMII mode,1000Base-KX mode" bitfld.long 0x00 0.--2. " SGMIIH_CFG ,SGMIIh configuration" "Disabled,X1 on lane 7,?..." sif !cpuis("LX2160A*")&&!cpuis("LX2120A*")&&!cpuis("LX2080A*") group.long 0x10A4++0x03 line.long 0x00 "PCC9,Protocol Configuration Register 9" bitfld.long 0x00 28.--30. " QSGMIA_CFG ,QSGMIA configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 24.--26. " QSGMIB_CFG ,QSGMIB configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--22. " QSGMIC_CFG ,QSGMIC configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 16.--18. " QSGMID_CFG ,QSGMID configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 12.--14. " QXGMIA_CFG ,QXGMIA configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 8.--10. " QXGMIB_CFG ,QXGMIB configuration" "Disabled,1,2,3,4,5,6,7" newline bitfld.long 0x00 4.--6. " QXGMIC_CFG ,QXGMIC configuration" "Disabled,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. " QXGMID_CFG ,QXGMID configuration" "Disabled,1,2,3,4,5,6,7" endif group.long 0x10B0++0x0B line.long 0x00 "PCCC,Protocol Configuration Register C" bitfld.long 0x00 31. " SXGMIIA_XFI ,SXGMIIA XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 28.--30. " SXGMIIA_CFG ,SXGMIIA configuration" "Disabled,X1 on lane 0,?..." newline bitfld.long 0x00 27. " SXGMIIB_XFI ,SXGMIIB XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 24.--26. " SXGMIIB_CFG ,SXGMIIB configuration" "Disabled,X1 on lane 1,?..." newline bitfld.long 0x00 23. " SXGMIIC_XFI ,SXGMIIC XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 20.--22. " SXGMIIC_CFG ,SXGMIIC configuration" "Disabled,X1 on lane 2,?..." newline bitfld.long 0x00 19. " SXGMIID_XFI ,SXGMIID XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 16.--18. " SXGMIID_CFG ,SXGMIID configuration" "Disabled,X1 on lane 3,?..." newline bitfld.long 0x00 15. " SXGMIIE_XFI ,SXGMIIE XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 12.--14. " SXGMIIE_CFG ,SXGMIIE configuration" "Disabled,X1 on lane 4,?..." newline bitfld.long 0x00 11. " SXGMIIF_XFI ,SXGMIIF XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 8.--10. " SXGMIIF_CFG ,SXGMIIF configuration" "Disabled,X1 on lane 5,?..." sif !cpuis("LX2??2A") newline bitfld.long 0x00 7. " SXGMIIG_XFI ,SXGMIIG XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 4.--6. " SXGMIIG_CFG ,SXGMIIG configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x00 3. " SXGMIIH_XFI ,SXGMIIH XFI select" "USXGMII mode,XFI mode" bitfld.long 0x00 0.--2. " SXGMIIH_CFG ,SXGMIIH configuration" "Disabled,X1 on lane 7,?..." endif line.long 0x04 "PCCD,Protocol Configuration Register D" bitfld.long 0x04 28.--30. " E25GA_CFG ,E25Ga configuration" "Disabled,X1 on lane 7,?..." bitfld.long 0x04 24.--26. " E25GB_CFG ,E25Gb configuration" "Disabled,X1 on lane 6,?..." newline bitfld.long 0x04 20.--22. " E25GC_CFG ,E25Gc configuration" "Disabled,X1 on lane 5,?..." bitfld.long 0x04 16.--18. " E25GD_CFG ,E25Gd configuration" "Disabled,X1 on lane 4,?..." sif !cpuis("LX2??2A") newline bitfld.long 0x04 4.--6. " E25GG_CFG ,E25Gg configuration" "Disabled,X1 on lane 1,?..." bitfld.long 0x04 0.--2. " E25GH_CFG ,E25Gh configuration" "Disabled,X1 on lane 0,?..." endif line.long 0x08 "PCCE,Protocol Configuration Register E" bitfld.long 0x08 31. " E40GA_LRV ,E40Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 28.--30. " E40GA_CFG ,E40Ga configuration" "Disabled,X4[3:0] on lanes [4:7],?..." sif !cpuis("LX2??2A") newline bitfld.long 0x08 27. " E40GB_LRV ,E40Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 24.--26. " E40GB_CFG ,E40Gb configuration" "Disabled,X4[3:0] on lanes [0:3],?..." endif newline bitfld.long 0x08 23. " E50GA_LRV ,E50Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 20.--22. " E50GA_CFG ,E50Ga configuration" "Disabled,X2[1:0] on lanes [6:7],?..." newline bitfld.long 0x08 19. " E50GB_LRV ,E50Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 16.--18. " E50GB_CFG ,E50Gb configuration" "Disabled,X2[1:0] on lanes [4:5],?..." sif !cpuis("LX2??2A") newline bitfld.long 0x08 15. " E100GA_LRV ,E100Ga lane reversal" "Not reversed,Reversed" bitfld.long 0x08 12.--14. " E100GA_CFG ,E100Ga configuration" "Disabled,X4[3:0] on lanes [4:7],?..." newline bitfld.long 0x08 11. " E100GB_LRV ,E100Gb lane reversal" "Not reversed,Reversed" bitfld.long 0x08 8.--10. " E100GB_CFG ,E100Gb configuration" "Disabled,X4[3:0] on lanes [0:3],?..." endif group.long 0x1100++0x03 line.long 0x00 "PEXEQ3,PCIe Equalization Gen3 Configuration Register" bitfld.long 0x00 6.--11. " FS ,PCI express FS value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--5. " LF ,PCI express LF value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x1104++0x03 line.long 0x00 "PEXEQ3P0,PCIe Equalization Gen3 Preset 0 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1108++0x03 line.long 0x00 "PEXEQ3P1,PCIe Equalization Gen3 Preset 1 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x110C++0x03 line.long 0x00 "PEXEQ3P2,PCIe Equalization Gen3 Preset 2 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1110++0x03 line.long 0x00 "PEXEQ3P3,PCIe Equalization Gen3 Preset 3 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1114++0x03 line.long 0x00 "PEXEQ3P4,PCIe Equalization Gen3 Preset 4 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1118++0x03 line.long 0x00 "PEXEQ3P5,PCIe Equalization Gen3 Preset 5 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x111C++0x03 line.long 0x00 "PEXEQ3P6,PCIe Equalization Gen3 Preset 6 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1120++0x03 line.long 0x00 "PEXEQ3P7,PCIe Equalization Gen3 Preset 7 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1124++0x03 line.long 0x00 "PEXEQ3P8,PCIe Equalization Gen3 Preset 8 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1128++0x03 line.long 0x00 "PEXEQ3P9,PCIe Equalization Gen3 Preset 9 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x112C++0x03 line.long 0x00 "PEXEQ3P10,PCIe Equalization Gen3 Preset 10 Register" bitfld.long 0x00 12.--17. " CP1 ,C(+1) preset value" "12,8,10,6,0,0,0,9,6,0,10,?..." bitfld.long 0x00 0.--5. " CM1 ,C(-1) preset value" "0,0,0,0,0,5,6,5,6,8,6,?..." group.long 0x1200++0x03 line.long 0x00 "PEXACR0,PEXA Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" group.long 0x1240++0x03 line.long 0x00 "PEXBCR0,PEXB Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" group.long 0x1280++0x03 line.long 0x00 "PEXCCR0,PEXC Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" sif cpuis("LX2160A*")||cpuis("LX2120A*")||cpuis("LX2080A*") group.long 0x12C0++0x03 line.long 0x00 "PEXDCR0,PEXD Protocol Control Register 0" bitfld.long 0x00 27. " RD_SW ,Reduced swing operation for 8 and 16 GT/s" "Full,Reduced" endif group.long 0x1804++0x03 line.long 0x00 "SGMIIACR1,SGMIIA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1814++0x03 line.long 0x00 "SGMIIBCR1,SGMIIB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1824++0x03 line.long 0x00 "SGMIICCR1,SGMIIC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1834++0x03 line.long 0x00 "SGMIIDCR1,SGMIID Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1844++0x03 line.long 0x00 "SGMIIECR1,SGMIIE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1854++0x03 line.long 0x00 "SGMIIFCR1,SGMIIF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1864++0x03 line.long 0x00 "SGMIIGCR1,SGMIIG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" group.long 0x1874++0x03 line.long 0x00 "SGMIIHCR1,SGMIIH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 11. " SGPCS_EN ,SGMII PCS enable" "Disabled,Enabled" sif cpuis("LX2??2A") group.long 0x18C0++0x07 line.long 0x00 "QXGMIIACR0,QXGMIIA Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIA reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIACR1,QXGMIIA Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18D0++0x07 line.long 0x00 "QXGMIIBCR0,QXGMIIB Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIB reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIBCR1,QXGMIIB Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18E0++0x07 line.long 0x00 "QXGMIICCR0,QXGMIIC Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIIC reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIICCR1,QXGMIIC Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" group.long 0x18F0++0x07 line.long 0x00 "QXGMIIDCR0,QXGMIID Protocol Control Register 0" bitfld.long 0x00 31. " RST_QXGM ,QXGMIID reset" "Reset,No reset" bitfld.long 0x00 30. " PD_QXGM ,Protocol converted powerdown" "No powerdown,Powerdown" newline bitfld.long 0x00 26. " RD_OVD ,Read override for LNmTRSTCTL[OUT_CM]" "No override,Override" bitfld.long 0x00 25. " WR_OVD ,Write override for LNmTRSTCTL[OUT_CM]" "No override,Override" newline bitfld.long 0x00 0. " LPI_RST ,Reset LPI block" "No reset,Reset" line.long 0x04 "QXGMIIDCR1,QXGMIID Protocol Control Register 1" bitfld.long 0x04 29.--31. " MDEV_PORT ,Device port ID" "0,1,2,3,4,5,6,7" endif group.long 0x1A04++0x03 line.long 0x00 "ANLTACR1,ANLTA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A14++0x03 line.long 0x00 "ANLTBCR1,ANLTB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A24++0x03 line.long 0x00 "ANLTCCR1,ANLTC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A34++0x03 line.long 0x00 "ANLTDCR1,ANLTD Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A44++0x03 line.long 0x00 "ANLTECR1,ANLTE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A54++0x03 line.long 0x00 "ANLTFCR1,ANLTF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A64++0x03 line.long 0x00 "ANLTGCR1,ANLTG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A74++0x03 line.long 0x00 "ANLTHCR1,ANLTH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address for AN/LT MDIO accesses for 25/40/100G" group.long 0x1A84++0x07 line.long 0x00 "SXGMIIACR1,SXGMIIA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIACR2,SXGMIIA Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1A84+0x08)++0x03 line.long 0x00 "SXGMIIACR3,SXGMIIA Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1A94++0x07 line.long 0x00 "SXGMIIBCR1,SXGMIIB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIBCR2,SXGMIIB Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1A94+0x08)++0x03 line.long 0x00 "SXGMIIBCR3,SXGMIIB Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AA4++0x07 line.long 0x00 "SXGMIICCR1,SXGMIIC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIICCR2,SXGMIIC Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AA4+0x08)++0x03 line.long 0x00 "SXGMIICCR3,SXGMIIC Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AB4++0x07 line.long 0x00 "SXGMIIDCR1,SXGMIID Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIDCR2,SXGMIID Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AB4+0x08)++0x03 line.long 0x00 "SXGMIIDCR3,SXGMIID Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AC4++0x07 line.long 0x00 "SXGMIIECR1,SXGMIIE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIECR2,SXGMIIE Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AC4+0x08)++0x03 line.long 0x00 "SXGMIIECR3,SXGMIIE Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AD4++0x07 line.long 0x00 "SXGMIIFCR1,SXGMIIF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIFCR2,SXGMIIF Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AD4+0x08)++0x03 line.long 0x00 "SXGMIIFCR3,SXGMIIF Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AE4++0x07 line.long 0x00 "SXGMIIGCR1,SXGMIIG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIGCR2,SXGMIIG Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AE4+0x08)++0x03 line.long 0x00 "SXGMIIGCR3,SXGMIIG Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1AF4++0x07 line.long 0x00 "SXGMIIHCR1,SXGMIIH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" bitfld.long 0x00 7. " FEC_EN_TX ,Enable clause 74 FEC on TX" "Disabled,Enabled" newline bitfld.long 0x00 6. " FEC_EN_RX ,Enable clause 74 FEC on RX" "Disabled,Enabled" bitfld.long 0x00 5. " FEC_ERR_EN ,Link interrupt fault inject command to MAC" "Not linked,Linked" line.long 0x04 "SXGMIIHCR2,SXGMIIH Protocol Control Register 2" bitfld.long 0x04 24. " USX_FORCE_SPD ,Force USXGMII speed" "Auto-negotiated value,USX_SPD_TX and USX_SPD_RX" hexmask.long.word 0x04 12.--21. 1. " USX_SPD_TX ,TX speed value for 5G and 10G SXGMII" newline hexmask.long.word 0x04 0.--9. 1. " USX_SPD_RX ,Rx speed value for 5G and 10G SXGMII" rgroup.long (0x1AF4+0x08)++0x03 line.long 0x00 "SXGMIIHCR3,SXGMIIH Protocol Control Register 3" bitfld.long 0x00 28.--29. " TX_LPI_MD ,TX LPI mode" "Data state,Quiet state,Alert state,?..." bitfld.long 0x00 24.--26. " TX_LPI_ST ,TX LPI state" "TX_active,TX_sleep,TX_quiet,TX_alert,TX_wake(clause 82 only),TX_wake2 (clause 82 only),TX_SCR_bypass,?..." newline bitfld.long 0x00 20. " RX_LPI_MD ,RX LPI mode" "Data state,Quiet state" bitfld.long 0x00 19. " RX_LPI_ACT ,RX LPI active" "Not active,Active" newline bitfld.long 0x00 16.--18. " RX_LPI_ST ,RX LPI state" "RX_active,RX_timer (clause 82 only),RX_sleep,RX_FW (clause 82 only),RX_quiet,RX_wake,RX_WTF (clause 82 only),RX_link_fail (clause 82 only)" bitfld.long 0x00 7. " BLOCK_LK ,Block lock" "Not locked,Locked" newline bitfld.long 0x00 6. " FEC_LK ,FEC lock" "Not locked,Locked" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate indicator" "Disabled,Enabled" newline bitfld.long 0x00 1. " AN_PABIL_DN ,AN partner ability done" "Not done,Done" bitfld.long 0x00 0. " AN_BUSY ,AN busy" "Not busy,Busy" group.long 0x1B04++0x07 line.long 0x00 "E25GACR1,E25GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GACR2,E25GA Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B04+0x08)++0x03 line.long 0x00 "E25GACR3,E25GA Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B14++0x07 line.long 0x00 "E25GBCR1,E25GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GBCR2,E25GB Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B14+0x08)++0x03 line.long 0x00 "E25GBCR3,E25GB Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B24++0x07 line.long 0x00 "E25GCCR1,E25GC Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GCCR2,E25GC Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B24+0x08)++0x03 line.long 0x00 "E25GCCR3,E25GC Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B34++0x07 line.long 0x00 "E25GDCR1,E25GD Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GDCR2,E25GD Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B34+0x08)++0x03 line.long 0x00 "E25GDCR3,E25GD Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B44++0x07 line.long 0x00 "E25GECR1,E25GE Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GECR2,E25GE Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B44+0x08)++0x03 line.long 0x00 "E25GECR3,E25GE Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B54++0x07 line.long 0x00 "E25GFCR1,E25GF Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GFCR2,E25GF Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B54+0x08)++0x03 line.long 0x00 "E25GFCR3,E25GF Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B64++0x07 line.long 0x00 "E25GGCR1,E25GG Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GGCR2,E25GG Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B64+0x08)++0x03 line.long 0x00 "E25GGCR3,E25GG Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1B74++0x07 line.long 0x00 "E25GHCR1,E25GH Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E25GHCR2,E25GH Protocol Control Register 2" bitfld.long 0x04 23. " FEC_ENA ,FC-FEC enable" "Disabled,Enabled" bitfld.long 0x04 22. " FEC_ERR_ENA ,FEC error propagation enable" "Disabled,Enabled" newline bitfld.long 0x04 20. " FEC91_ENA ,RS_FEC enable" "Disabled,Enabled" rbitfld.long 0x04 0. " BLOCK_LK ,Block lock" "Not locked,Locked" rgroup.long (0x1B74+0x08)++0x03 line.long 0x00 "E25GHCR3,E25GH Protocol Control Register 3" bitfld.long 0x00 16. " FEC_LK ,FEC locked" "Not locked,Locked" bitfld.long 0x00 12. " RSFEC_ALN ,RS-FEC alignment status" "0,1" newline bitfld.long 0x00 8. " AMPS_LK ,RS-FEC codeword alignment status" "Not detected,Detected" bitfld.long 0x00 4. " HI_BER ,High bit-error-rate" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1C04++0x07 line.long 0x00 "E40GACR1,E40GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E40GACR2,E40GA Protocol Control Register 2" rbitfld.long 0x04 27. " FEC_LK[3] ,Lane 3 FEC locked" "Not locked,Locked" rbitfld.long 0x04 26. " [2] ,Lane 2 FEC locked" "Not locked,Locked" newline rbitfld.long 0x04 25. " [1] ,Lane 1 FEC locked" "Not locked,Locked" rbitfld.long 0x04 24. " [0] ,Lane 0 FEC locked" "Not locked,Locked" newline bitfld.long 0x04 15. " FEC_EN_TX[3] ,Lane 3 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 14. " [2] ,Lane 2 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 13. " [1] ,Lane 1 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 12. " [0] ,Lane 0 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 11. " FEC_EN_RX[3] ,Lane 3 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 10. " [2] ,Lane 2 FEC enable for RX" "Disabled,Enabled" newline bitfld.long 0x04 9. " [1] ,Lane 1 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 8. " [0] ,Lane 0 FEC enable for RX" "Disabled,Enabled" newline rbitfld.long 0x04 3. " BLOCK_LK[3] ,Lane 3 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 2. " [2] ,Lane 2 block synchronization indication" "Not locked,Locked" newline rbitfld.long 0x04 1. " [1] ,Lane 1 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 0. " [0] ,Lane 0 block synchronization indication" "Not locked,Locked" rgroup.long (0x1C04+0x08)++0x03 line.long 0x00 "E40GACR3,E40GA Protocol Control Register 3" bitfld.long 0x00 8. " ALIGN_DN ,Align done" "Disabled,Enabled" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" group.long 0x1C44++0x07 line.long 0x00 "E40GBCR1,E40GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" line.long 0x04 "E40GBCR2,E40GB Protocol Control Register 2" rbitfld.long 0x04 27. " FEC_LK[3] ,Lane 3 FEC locked" "Not locked,Locked" rbitfld.long 0x04 26. " [2] ,Lane 2 FEC locked" "Not locked,Locked" newline rbitfld.long 0x04 25. " [1] ,Lane 1 FEC locked" "Not locked,Locked" rbitfld.long 0x04 24. " [0] ,Lane 0 FEC locked" "Not locked,Locked" newline bitfld.long 0x04 15. " FEC_EN_TX[3] ,Lane 3 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 14. " [2] ,Lane 2 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 13. " [1] ,Lane 1 FEC enable for TX" "Disabled,Enabled" bitfld.long 0x04 12. " [0] ,Lane 0 FEC enable for TX" "Disabled,Enabled" newline bitfld.long 0x04 11. " FEC_EN_RX[3] ,Lane 3 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 10. " [2] ,Lane 2 FEC enable for RX" "Disabled,Enabled" newline bitfld.long 0x04 9. " [1] ,Lane 1 FEC enable for RX" "Disabled,Enabled" bitfld.long 0x04 8. " [0] ,Lane 0 FEC enable for RX" "Disabled,Enabled" newline rbitfld.long 0x04 3. " BLOCK_LK[3] ,Lane 3 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 2. " [2] ,Lane 2 block synchronization indication" "Not locked,Locked" newline rbitfld.long 0x04 1. " [1] ,Lane 1 block synchronization indication" "Not locked,Locked" rbitfld.long 0x04 0. " [0] ,Lane 0 block synchronization indication" "Not locked,Locked" rgroup.long (0x1C44+0x08)++0x03 line.long 0x00 "E40GBCR3,E40GB Protocol Control Register 3" bitfld.long 0x00 8. " ALIGN_DN ,Align done" "Disabled,Enabled" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" group.long 0x1DA4++0x03 line.long 0x00 "E50GACR1,E50GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1DA4+0x08)++0x03 line.long 0x00 "E50GACR3,E50GA Protocol Control Register 3" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" bitfld.long 0x00 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" group.long 0x1DC4++0x03 line.long 0x00 "E50GBCR1,E50GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1DC4+0x08)++0x03 line.long 0x00 "E50GBCR3,E50GB Protocol Control Register 3" bitfld.long 0x00 4. " HI_BER ,High bit error rate indication for all lanes" "Disabled,Enabled" bitfld.long 0x00 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x00 0. " LINK_ST ,Link status" "Abnormal state,Normal state" sif !cpuis("LX2??2A") group.long 0x1E04++0x03 line.long 0x00 "E100GACR1,E100GA Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1E04+0x04)++0x07 line.long 0x00 "E100GACR2,E100GA Protocol Control Register 2" bitfld.long 0x00 19. " BLOCK_LK[19] ,Block synchronization indication for (virtual) lane 19" "Not locked,Locked" bitfld.long 0x00 18. " [18] ,Block synchronization indication for (virtual) lane 18" "Not locked,Locked" newline bitfld.long 0x00 17. " [17] ,Block synchronization indication for (virtual) lane 17" "Not locked,Locked" bitfld.long 0x00 16. " [16] ,Block synchronization indication for (virtual) lane 16" "Not locked,Locked" newline bitfld.long 0x00 15. " [15] ,Block synchronization indication for (virtual) lane 15" "Not locked,Locked" bitfld.long 0x00 14. " [14] ,Block synchronization indication for (virtual) lane 14" "Not locked,Locked" newline bitfld.long 0x00 13. " [13] ,Block synchronization indication for (virtual) lane 13" "Not locked,Locked" bitfld.long 0x00 12. " [12] ,Block synchronization indication for (virtual) lane 12" "Not locked,Locked" newline bitfld.long 0x00 11. " [11] ,Block synchronization indication for (virtual) lane 11" "Not locked,Locked" bitfld.long 0x00 10. " [10] ,Block synchronization indication for (virtual) lane 10" "Not locked,Locked" newline bitfld.long 0x00 9. " [9] ,Block synchronization indication for (virtual) lane 9" "Not locked,Locked" bitfld.long 0x00 8. " [8] ,Block synchronization indication for (virtual) lane 8" "Not locked,Locked" newline bitfld.long 0x00 7. " [7] ,Block synchronization indication for (virtual) lane 7" "Not locked,Locked" bitfld.long 0x00 6. " [6] ,Block synchronization indication for (virtual) lane 6" "Not locked,Locked" newline bitfld.long 0x00 5. " [5] ,Block synchronization indication for (virtual) lane 5" "Not locked,Locked" bitfld.long 0x00 4. " [4] ,Block synchronization indication for (virtual) lane 4" "Not locked,Locked" newline bitfld.long 0x00 3. " [3] ,Block synchronization indication for (virtual) lane 3" "Not locked,Locked" bitfld.long 0x00 2. " [2] ,Block synchronization indication for (virtual) lane 2" "Not locked,Locked" newline bitfld.long 0x00 1. " [1] ,Block synchronization indication for (virtual) lane 1" "Not locked,Locked" bitfld.long 0x00 0. " [0] ,Block synchronization indication for (virtual) lane 0" "Not locked,Locked" line.long 0x04 "E100GACR3,E100GA Protocol Control Register 3" bitfld.long 0x04 15. " RSFEC_ALN[3] ,RS-FEC status 3" "0,1" bitfld.long 0x04 14. " [2] ,RS-FEC status 2" "0,1" newline bitfld.long 0x04 13. " [1] ,RS-FEC status 1" "0,1" bitfld.long 0x04 12. " [0] ,RS-FEC status 0" "0,1" newline bitfld.long 0x04 11. " AMPS_LK[3] ,Per-SerDes lane RS-FEC codeword alignment status 3" "Not detected,Detected" bitfld.long 0x04 10. " [2] ,Per-SerDes lane RS-FEC codeword alignment status 2" "Not detected,Detected" newline bitfld.long 0x04 9. " [1] ,Per-SerDes lane RS-FEC codeword alignment status 1" "Not detected,Detected" bitfld.long 0x04 8. " [0] ,Per-SerDes lane RS-FEC codeword alignment status 0" "Not detected,Detected" newline bitfld.long 0x04 4. " HI_BER ,High bit error rate indication" "Disabled,Enabled" bitfld.long 0x04 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x04 0. " LINK_ST ,Final link status indication" "Abnormal state,Normal state" group.long 0x1E24++0x03 line.long 0x00 "E100GBCR1,E100GB Protocol Control Register 1" hexmask.long.byte 0x00 27.--31. 0x08 " MDEV_PORT ,MDIO bus port address" rgroup.long (0x1E24+0x04)++0x07 line.long 0x00 "E100GBCR2,E100GB Protocol Control Register 2" bitfld.long 0x00 19. " BLOCK_LK[19] ,Block synchronization indication for (virtual) lane 19" "Not locked,Locked" bitfld.long 0x00 18. " [18] ,Block synchronization indication for (virtual) lane 18" "Not locked,Locked" newline bitfld.long 0x00 17. " [17] ,Block synchronization indication for (virtual) lane 17" "Not locked,Locked" bitfld.long 0x00 16. " [16] ,Block synchronization indication for (virtual) lane 16" "Not locked,Locked" newline bitfld.long 0x00 15. " [15] ,Block synchronization indication for (virtual) lane 15" "Not locked,Locked" bitfld.long 0x00 14. " [14] ,Block synchronization indication for (virtual) lane 14" "Not locked,Locked" newline bitfld.long 0x00 13. " [13] ,Block synchronization indication for (virtual) lane 13" "Not locked,Locked" bitfld.long 0x00 12. " [12] ,Block synchronization indication for (virtual) lane 12" "Not locked,Locked" newline bitfld.long 0x00 11. " [11] ,Block synchronization indication for (virtual) lane 11" "Not locked,Locked" bitfld.long 0x00 10. " [10] ,Block synchronization indication for (virtual) lane 10" "Not locked,Locked" newline bitfld.long 0x00 9. " [9] ,Block synchronization indication for (virtual) lane 9" "Not locked,Locked" bitfld.long 0x00 8. " [8] ,Block synchronization indication for (virtual) lane 8" "Not locked,Locked" newline bitfld.long 0x00 7. " [7] ,Block synchronization indication for (virtual) lane 7" "Not locked,Locked" bitfld.long 0x00 6. " [6] ,Block synchronization indication for (virtual) lane 6" "Not locked,Locked" newline bitfld.long 0x00 5. " [5] ,Block synchronization indication for (virtual) lane 5" "Not locked,Locked" bitfld.long 0x00 4. " [4] ,Block synchronization indication for (virtual) lane 4" "Not locked,Locked" newline bitfld.long 0x00 3. " [3] ,Block synchronization indication for (virtual) lane 3" "Not locked,Locked" bitfld.long 0x00 2. " [2] ,Block synchronization indication for (virtual) lane 2" "Not locked,Locked" newline bitfld.long 0x00 1. " [1] ,Block synchronization indication for (virtual) lane 1" "Not locked,Locked" bitfld.long 0x00 0. " [0] ,Block synchronization indication for (virtual) lane 0" "Not locked,Locked" line.long 0x04 "E100GBCR3,E100GB Protocol Control Register 3" bitfld.long 0x04 15. " RSFEC_ALN[3] ,RS-FEC status 3" "0,1" bitfld.long 0x04 14. " [2] ,RS-FEC status 2" "0,1" newline bitfld.long 0x04 13. " [1] ,RS-FEC status 1" "0,1" bitfld.long 0x04 12. " [0] ,RS-FEC status 0" "0,1" newline bitfld.long 0x04 11. " AMPS_LK[3] ,Per-SerDes lane RS-FEC codeword alignment status 3" "Not detected,Detected" bitfld.long 0x04 10. " [2] ,Per-SerDes lane RS-FEC codeword alignment status 2" "Not detected,Detected" newline bitfld.long 0x04 9. " [1] ,Per-SerDes lane RS-FEC codeword alignment status 1" "Not detected,Detected" bitfld.long 0x04 8. " [0] ,Per-SerDes lane RS-FEC codeword alignment status 0" "Not detected,Detected" newline bitfld.long 0x04 4. " HI_BER ,High bit error rate indication" "Disabled,Enabled" bitfld.long 0x04 1. " ALIGN_DN ,Alignment marker lock indication" "Disabled,Enabled" newline bitfld.long 0x04 0. " LINK_ST ,Final link status indication" "Abnormal state,Normal state" endif width 0x0B tree.end endif tree.end ; sif cpuis("LX2??2A") ; tree "25G MDIO Registers" ; tree "SerDes 1" ; tree "25 Gigabit Ethernet" ; tree "25G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/25g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/25_rs_fec.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; tree "SerDes 2" ; tree "25 Gigabit Ethernet" ; tree "25G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/25g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/25_rs_fec.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; tree.end ; else ; tree "100G MDIO Registers" ; tree "SerDes 1" ; tree "25 Gigabit Ethernet" ; tree "25G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/25g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/25_rs_fec.ph ; tree.end ; tree.end ; tree "100 Gigabit Ethernet" ; tree "100G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/100g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/100_rs_fec.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; tree "SerDes 2" ; tree "25 Gigabit Ethernet" ; tree "25G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/25g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/25_rs_fec.ph ; tree.end ; tree.end ; tree "100 Gigabit Ethernet" ; tree "100G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/100g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/100_rs_fec.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; tree "SerDes 3" ; tree "25 Gigabit Ethernet" ; tree "25G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/25g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/25_rs_fec.ph ; tree.end ; tree.end ; tree "100 Gigabit Ethernet" ; tree "100G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/100g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/100_rs_fec.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; tree.end ; endif ; tree "40G MDIO Registers" ; tree "SerDes 1" ; tree "40 Gigabit Ethernet" ; tree "40G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/40g_pcs.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; tree "SerDes 2" ; tree "40 Gigabit Ethernet" ; tree "40G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/40g_pcs.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; sif !cpuis("LX2??2A") ; tree "SerDes 3" ; tree "40 Gigabit Ethernet" ; tree "40G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/40g_pcs.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; endif ; tree.end ; tree "50G MDIO Registers" ; tree "SerDes 1" ; tree "50 Gigabit Ethernet" ; tree "50G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/50g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/50_rs_fec.ph ; tree.end ; tree.end ; tree.end ; tree "SerDes 2" ; tree "50 Gigabit Ethernet" ; tree "50G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/50g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/50_rs_fec.ph ; tree.end ; tree.end ; tree.end ; sif !cpuis("LX2??2A") ; tree "SerDes 3" ; tree "50 Gigabit Ethernet" ; tree "50G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/50g_pcs.ph ; tree.end ; tree "RS-FEC Register" ; base ad:0x00 ; %include lx2160/serdes/50_rs_fec.ph ; tree.end ; tree.end ; tree.end ; endif ; tree.end ; tree "USXGMII/XFI MDIO Registers" ; tree "SerDes 1" ; tree "USXGMII" ; base ad:0x00 ; %include lx2160/serdes/usxgmii.ph ; tree.end ; tree "10 Gigabit Ethernet" ; tree "10G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/10g_pcs.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; tree "SerDes 2" ; tree "USXGMII" ; base ad:0x00 ; %include lx2160/serdes/usxgmii.ph ; tree.end ; tree "10 Gigabit Ethernet" ; tree "10G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/10g_pcs.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; sif !cpuis("LX2??2A") ; tree "SerDes 3" ; tree "USXGMII" ; base ad:0x00 ; %include lx2160/serdes/usxgmii.ph ; tree.end ; tree "10 Gigabit Ethernet" ; tree "10G PCS Register" ; base ad:0x00 ; %include lx2160/serdes/10g_pcs.ph ; tree.end ; tree.end ; tree "Auto-Negotiation and Link Training Core" ; tree "Backplane" ; base ad:0x00 ; %include lx2160/serdes/backplane.ph ; tree.end ; tree "Link Training" ; base ad:0x400 ; %include lx2160/serdes/linkt.ph ; tree.end ; tree.end ; tree.end ; endif ; tree.end ; tree "1000Base-X/SGMII MDIO Registers" ; tree "SerDes 1" ; tree "SGMII Registers" ; base ad:0x00 ; %include lx2160/serdes/sgmii.ph ad:0x00 ; tree.end ; tree "BackPlane AN Registers" ; base ad:0x00 ; %include lx2160/serdes/bpan.ph ad:0x00 ; tree.end ; tree "Vendor Specific Registers" ; base ad:0x00 ; %include lx2160/serdes/vsr.ph ; tree.end ; tree.end ; tree "SerDes 2" ; tree "SGMII Registers" ; base ad:0x00 ; %include lx2160/serdes/sgmii.ph ad:0x00 ; tree.end ; tree "BackPlane AN Registers" ; base ad:0x00 ; %include lx2160/serdes/bpan.ph ad:0x00 ; tree.end ; tree "Vendor Specific Registers" ; base ad:0x00 ; %include lx2160/serdes/vsr.ph ; tree.end ; tree.end ; sif !cpuis("LX2??2A") ; tree "SerDes 3" ; tree "SGMII Registers" ; base ad:0x00 ; %include lx2160/serdes/sgmii.ph ad:0x00 ; tree.end ; tree "BackPlane AN Registers" ; base ad:0x00 ; %include lx2160/serdes/bpan.ph ad:0x00 ; tree.end ; tree "Vendor Specific Registers" ; base ad:0x00 ; %include lx2160/serdes/vsr.ph ; tree.end ; tree.end ; endif ; tree.end tree.end tree.open "SPI (Serial Peripheral Interface)" tree "SPI 1" base ad:0x02100000 width 13. if (((per.l(ad:0x02100000+0x2C))&0x40000000)==0x40000000) group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" bitfld.long 0x00 31. " MSTR ,Master/slave mode select" ",Master mode" bitfld.long 0x00 30. " CONT_SCKE ,Continuous SCK enable" "Disabled,Enabled" rbitfld.long 0x00 28.--29. " DCONF ,SPI configuration" "SPI,?..." bitfld.long 0x00 24. " ROOE ,Receive FIFO overflow overwrite enable" "Disabled,Enabled" bitfld.long 0x00 19. " PCSIS[0] ,Peripheral chip select 0 inactive state" "Low,High" bitfld.long 0x00 18. " [1] ,Peripheral chip select 1 inactive state" "Low,High" newline bitfld.long 0x00 17. " [2] ,Peripheral chip select 2 inactive state" "Low,High" bitfld.long 0x00 16. " [3] ,Peripheral chip select 3 inactive state" "Low,High" bitfld.long 0x00 14. " MDIS ,Module disable" "No,Yes" bitfld.long 0x00 13. " DIS_TXF ,Disable transmit FIFO" "No,Yes" bitfld.long 0x00 12. " DIS_RXF ,Disable receive FIFO" "No,Yes" bitfld.long 0x00 11. " CLR_TXF ,Clear TX FIFO" "No clear,Clear" newline bitfld.long 0x00 10. " CLR_RXF ,Clear RX FIFO" "No clear,Clear" bitfld.long 0x00 3. " XSPI ,Extended SPI mode" "Normal,Extended" bitfld.long 0x00 1. " PES ,Parity error stop" "Continued,Stopped" bitfld.long 0x00 0. " HALT ,Halt" "Start,Stop" else group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" rbitfld.long 0x00 31. " MSTR ,Master/slave mode select" ",Master mode" rbitfld.long 0x00 30. " CONT_SCKE ,Continuous SCK enable" "Disabled,Enabled" rbitfld.long 0x00 28.--29. " DCONF ,SPI configuration" "SPI,?..." rbitfld.long 0x00 24. " ROOE ,Receive FIFO overflow overwrite enable" "Disabled,Enabled" rbitfld.long 0x00 19. " PCSIS[0] ,Peripheral chip select 0 inactive state" "Low,High" rbitfld.long 0x00 18. " [1] ,Peripheral chip select 1 inactive state" "Low,High" newline rbitfld.long 0x00 17. " [2] ,Peripheral chip select 2 inactive state" "Low,High" rbitfld.long 0x00 16. " [3] ,Peripheral chip select 3 inactive state" "Low,High" bitfld.long 0x00 14. " MDIS ,Module disable" "No,Yes" rbitfld.long 0x00 13. " DIS_TXF ,Disable transmit FIFO" "No,Yes" rbitfld.long 0x00 12. " DIS_RXF ,Disable receive FIFO" "No,Yes" rbitfld.long 0x00 11. " CLR_TXF ,Clear TX FIFO" "Not cleared,Cleared" newline rbitfld.long 0x00 10. " CLR_RXF ,Clear RX FIFO" "Not cleared,Cleared" rbitfld.long 0x00 3. " XSPI ,Extended SPI mode" "Normal,Extended" rbitfld.long 0x00 1. " PES ,Parity error stop" "Continued,Stopped" bitfld.long 0x00 0. " HALT ,Halt" "Start,Stop" endif if (((per.l(ad:0x02100000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x08++0x03 line.long 0x00 "TCR,Transfer Count Register" hexmask.long.word 0x00 16.--31. 1. " TCNT ,SPI transfer counter" else group.long 0x08++0x03 line.long 0x00 "TCR,Transfer Count Register" hexmask.long.word 0x00 16.--31. 1. " TCNT ,SPI transfer counter" endif if (((per.l(ad:0x02100000+0x00))&0x80000000)==0x80000000) if (((per.l(ad:0x02100000+0x2C))&0x40000000)==0x40000000) rgroup.long 0xC++0x03 line.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" rgroup.long 0x10++0x03 line.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" else group.long 0xC++0x03 line.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" group.long 0x10++0x03 line.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" endif else hgroup.long 0xC++0x03 hide.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" hgroup.long 0x10++0x03 hide.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" endif if (((per.l(ad:0x02100000+0x00))&0x08)==0x08) group.long 0x2C++0x03 line.long 0x00 "SR,Status Register" eventfld.long 0x00 31. " TCF ,Transfer complete flag" "Not complete,Complete" rbitfld.long 0x00 30. " TXRXS ,TX and RX status" "Stopped state,Running state" eventfld.long 0x00 28. " EQQF ,End of queue flag" "Not set,Set" eventfld.long 0x00 25. " TFFF ,Transmit FIFO fill flag" "Full,Not full" rbitfld.long 0x00 24. " BSYF ,Busy flag" "Idle,Busy" eventfld.long 0x00 23. " CMDTCF ,Command transfer complete flag" "Not completed,Completed" newline eventfld.long 0x00 21. " SPEF ,SPI parity error flag" "No error,Error" eventfld.long 0x00 19. " RFOF ,Receive FIFO overflow flag" "Not overflowed,Overflowed" eventfld.long 0x00 18. " TFIWF ,Transmit FIFO invalid write flag" "No invalid data,Invalid data" rbitfld.long 0x00 17. " RFDF ,Receive FIFO drain flag" "Empty,Not empty" eventfld.long 0x00 16. " CMDFFF ,Command FIFO fill flag" "Full,Not full" newline rbitfld.long 0x00 12.--15. " TXCTR ,TX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 8.--11. " TXNXTPTR ,Transmit next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 4.--7. " RXCTR ,RX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 0.--3. " POPNXTPTR ,Pop next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" else group.long 0x2C++0x03 line.long 0x00 "SR,Status Register" eventfld.long 0x00 31. " TCF ,Transfer complete flag" "Not complete,Complete" rbitfld.long 0x00 30. " TXRXS ,TX and RX status" "Stopped state,Running state" eventfld.long 0x00 28. " EQQF ,End of queue flag" "Not set,Set" eventfld.long 0x00 25. " TFFF ,Transmit FIFO fill flag" "Full,Not full" eventfld.long 0x00 23. " CMDTCF ,Command transfer complete flag" "Not completed,Completed" newline eventfld.long 0x00 21. " SPEF ,SPI parity error flag" "No error,Error" eventfld.long 0x00 19. " RFOF ,Receive FIFO overflow flag" "Not overflowed,Overflowed" eventfld.long 0x00 18. " TFIWF ,Transmit FIFO invalid write flag" "No invalid data,Invalid data" rbitfld.long 0x00 17. " RFDF ,Receive FIFO drain flag" "Empty,Not empty" eventfld.long 0x00 16. " CMDFFF ,Command FIFO fill flag" "Full,Not full" newline rbitfld.long 0x00 12.--15. " TXCTR ,TX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 8.--11. " TXNXTPTR ,Transmit next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 4.--7. " RXCTR ,RX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 0.--3. " POPNXTPTR ,Pop next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" endif if (((per.l(ad:0x02100000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x30++0x03 line.long 0x00 "RSER,DMA/Interrupt Request Select And Enable Register" bitfld.long 0x00 31. " TCF_RE ,Transmission complete request enable" "Disabled,Enabled" bitfld.long 0x00 30. " CMDFFF_RE ,Command FIFO fill flag request enable" "Disabled,Enabled" bitfld.long 0x00 28. " EOQF_RE ,Finished request enable" "Disabled,Enabled" bitfld.long 0x00 25. " TFFF_RE ,Transmit FIFO fill request enable" "Disabled,Enabled" bitfld.long 0x00 24. " TFFF_DIRS ,Transmit FIFO fill DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 23. " CMDTCF_RE ,Command transmission complete request enable" "Disabled,Enabled" newline bitfld.long 0x00 21. " SPEF_RE ,SPI parity error request enable" "Disabled,Enabled" bitfld.long 0x00 19. " RFOF_RE ,Receive FIFO overflow request enable" "Disabled,Enabled" bitfld.long 0x00 18. " TFIWF_RE ,Transmit FIFO invalid write request enable" "Disabled,Enabled" bitfld.long 0x00 17. " RFDF_RE ,Receive FIFO drain request enable" "Disabled,Enabled" bitfld.long 0x00 16. " RFDF_DIRS ,Receive FIFO drain DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 15. " CMDFFF_DIRS ,Command FIFO fill DMA or interrupt request select" "Interrupt,DMA" else group.long 0x30++0x03 line.long 0x00 "RSER,DMA/Interrupt Request Select And Enable Register" bitfld.long 0x00 31. " TCF_RE ,Transmission complete request enable" "Disabled,Enabled" bitfld.long 0x00 30. " CMDFFF_RE ,Command FIFO fill flag request enable" "Disabled,Enabled" bitfld.long 0x00 28. " EOQF_RE ,Finished request enable" "Disabled,Enabled" bitfld.long 0x00 25. " TFFF_RE ,Transmit FIFO fill request enable" "Disabled,Enabled" bitfld.long 0x00 24. " TFFF_DIRS ,Transmit FIFO fill DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 23. " CMDTCF_RE ,Command transmission complete request enable" "Disabled,Enabled" newline bitfld.long 0x00 21. " SPEF_RE ,SPI parity error request enable" "Disabled,Enabled" bitfld.long 0x00 19. " RFOF_RE ,Receive FIFO overflow request enable" "Disabled,Enabled" bitfld.long 0x00 18. " TFIWF_RE ,Transmit FIFO invalid write request enable" "Disabled,Enabled" bitfld.long 0x00 17. " RFDF_RE ,Receive FIFO drain request enable" "Disabled,Enabled" bitfld.long 0x00 16. " RFDF_DIRS ,Receive FIFO drain DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 15. " CMDFFF_DIRS ,Command FIFO fill DMA or interrupt request select" "Interrupt,DMA" endif if (((per.l(ad:0x02100000+0x00))&0x80000000)==0x80000000) group.long 0x34++0x03 line.long 0x00 "PUSHR,PUSH TX FIFO Register (In Master Mode)" bitfld.long 0x00 31. " CONT ,Continuous peripheral chip select enable" "Disabled,Enabled" bitfld.long 0x00 28.--30. " CTAS ,Clock and transfer attributes select" "CTAR0,,CTAR1,?..." bitfld.long 0x00 27. " EOQ ,End of queue" "Not last,Last" bitfld.long 0x00 26. " CTCNT ,Clear transfer counter" "Not cleared,Cleared" bitfld.long 0x00 25. " PE ,Parity enable" "Disabled,Enabled" bitfld.long 0x00 24. " PP ,Parity polarity" "Even,Odd" newline bitfld.long 0x00 19. " PCS[0] ,PCS 0 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 18. " [1] ,PCS 1 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 17. " [2] ,PCS 2 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 16. " [3] ,PCS 3 asserted for the transfer" "Negated,Asserted" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" else hgroup.long 0x34++0x03 hide.long 0x00 "PUSHR,PUSH TX FIFO Register (In Master Mode)" endif newline hgroup.long 0x38++0x03 hide.long 0x00 "POPR,POP RX FIFO Register" in newline if (((per.l(ad:0x02100000+0x00))&0x08)==0x08) hgroup.long 0x3C++0x03 hide.long 0x00 "TXFR0,Transmit FIFO Register 0" hgroup.long 0x40++0x03 hide.long 0x00 "TXFR1,Transmit FIFO Register 1" hgroup.long 0x44++0x03 hide.long 0x00 "TXFR2,Transmit FIFO Register 2" hgroup.long 0x48++0x03 hide.long 0x00 "TXFR3,Transmit FIFO Register 3" else if (((per.l(ad:0x02100000+0x00))&0x80000000)==0x80000000) rgroup.long 0x3C++0x03 line.long 0x00 "TXFR0,Transmit FIFO Register 0" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x40++0x03 line.long 0x00 "TXFR1,Transmit FIFO Register 1" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x44++0x03 line.long 0x00 "TXFR2,Transmit FIFO Register 2" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x48++0x03 line.long 0x00 "TXFR3,Transmit FIFO Register 3" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" else hgroup.long 0x3C++0x03 hide.long 0x00 "TXFR0,Transmit FIFO Register 0" hgroup.long 0x40++0x03 hide.long 0x00 "TXFR1,Transmit FIFO Register 1" hgroup.long 0x44++0x03 hide.long 0x00 "TXFR2,Transmit FIFO Register 2" hgroup.long 0x48++0x03 hide.long 0x00 "TXFR3,Transmit FIFO Register 3" endif endif if (((per.l(ad:0x02100000+0x00))&0x4000)==0x00) rgroup.long 0x7C++0x03 line.long 0x00 "RXFR0,Receive FIFO Register" rgroup.long 0x80++0x03 line.long 0x00 "RXFR1,Receive FIFO Register" rgroup.long 0x84++0x03 line.long 0x00 "RXFR2,Receive FIFO Register" rgroup.long 0x88++0x03 line.long 0x00 "RXFR3,Receive FIFO Register" else hgroup.long 0x7C++0x03 hide.long 0x00 "RXFR0,Receive FIFO Register" hgroup.long 0x80++0x03 hide.long 0x00 "RXFR1,Receive FIFO Register" hgroup.long 0x84++0x03 hide.long 0x00 "RXFR2,Receive FIFO Register" hgroup.long 0x88++0x03 hide.long 0x00 "RXFR3,Receive FIFO Register" endif if (((per.l(ad:0x02100000+0x00))&0x08)==0x08) if (((per.l(ad:0x02100000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x11C++0x03 line.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" rgroup.long 0x120++0x03 line.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" else group.long 0x11C++0x03 line.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" group.long 0x120++0x03 line.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" endif else hgroup.long 0x11C++0x03 hide.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hgroup.long 0x120++0x03 hide.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" endif rgroup.long 0x13C++0x03 line.long 0x00 "SREX,Status Register Extended" bitfld.long 0x00 14. " TXCTR4 ,TX FIFO counter[4]" "0,1" bitfld.long 0x00 11. " RXCTR4 ,RX FIFO counter[4]" "0,1" bitfld.long 0x00 4.--8. " CMDCTR ,CMD FIFO counter" "0,16,8,24,4,20,12,28,2,18,10,26,6,22,14,30,1,17,9,25,5,21,13,29,3,19,11,27,7,23,15,31" bitfld.long 0x00 0.--3. " CMDNXTPTR ,Command next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" width 0x0B tree.end tree "SPI 2" base ad:0x02110000 width 13. if (((per.l(ad:0x02110000+0x2C))&0x40000000)==0x40000000) group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" bitfld.long 0x00 31. " MSTR ,Master/slave mode select" ",Master mode" bitfld.long 0x00 30. " CONT_SCKE ,Continuous SCK enable" "Disabled,Enabled" rbitfld.long 0x00 28.--29. " DCONF ,SPI configuration" "SPI,?..." bitfld.long 0x00 24. " ROOE ,Receive FIFO overflow overwrite enable" "Disabled,Enabled" bitfld.long 0x00 19. " PCSIS[0] ,Peripheral chip select 0 inactive state" "Low,High" bitfld.long 0x00 18. " [1] ,Peripheral chip select 1 inactive state" "Low,High" newline bitfld.long 0x00 17. " [2] ,Peripheral chip select 2 inactive state" "Low,High" bitfld.long 0x00 16. " [3] ,Peripheral chip select 3 inactive state" "Low,High" bitfld.long 0x00 14. " MDIS ,Module disable" "No,Yes" bitfld.long 0x00 13. " DIS_TXF ,Disable transmit FIFO" "No,Yes" bitfld.long 0x00 12. " DIS_RXF ,Disable receive FIFO" "No,Yes" bitfld.long 0x00 11. " CLR_TXF ,Clear TX FIFO" "No clear,Clear" newline bitfld.long 0x00 10. " CLR_RXF ,Clear RX FIFO" "No clear,Clear" bitfld.long 0x00 3. " XSPI ,Extended SPI mode" "Normal,Extended" bitfld.long 0x00 1. " PES ,Parity error stop" "Continued,Stopped" bitfld.long 0x00 0. " HALT ,Halt" "Start,Stop" else group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" rbitfld.long 0x00 31. " MSTR ,Master/slave mode select" ",Master mode" rbitfld.long 0x00 30. " CONT_SCKE ,Continuous SCK enable" "Disabled,Enabled" rbitfld.long 0x00 28.--29. " DCONF ,SPI configuration" "SPI,?..." rbitfld.long 0x00 24. " ROOE ,Receive FIFO overflow overwrite enable" "Disabled,Enabled" rbitfld.long 0x00 19. " PCSIS[0] ,Peripheral chip select 0 inactive state" "Low,High" rbitfld.long 0x00 18. " [1] ,Peripheral chip select 1 inactive state" "Low,High" newline rbitfld.long 0x00 17. " [2] ,Peripheral chip select 2 inactive state" "Low,High" rbitfld.long 0x00 16. " [3] ,Peripheral chip select 3 inactive state" "Low,High" bitfld.long 0x00 14. " MDIS ,Module disable" "No,Yes" rbitfld.long 0x00 13. " DIS_TXF ,Disable transmit FIFO" "No,Yes" rbitfld.long 0x00 12. " DIS_RXF ,Disable receive FIFO" "No,Yes" rbitfld.long 0x00 11. " CLR_TXF ,Clear TX FIFO" "Not cleared,Cleared" newline rbitfld.long 0x00 10. " CLR_RXF ,Clear RX FIFO" "Not cleared,Cleared" rbitfld.long 0x00 3. " XSPI ,Extended SPI mode" "Normal,Extended" rbitfld.long 0x00 1. " PES ,Parity error stop" "Continued,Stopped" bitfld.long 0x00 0. " HALT ,Halt" "Start,Stop" endif if (((per.l(ad:0x02110000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x08++0x03 line.long 0x00 "TCR,Transfer Count Register" hexmask.long.word 0x00 16.--31. 1. " TCNT ,SPI transfer counter" else group.long 0x08++0x03 line.long 0x00 "TCR,Transfer Count Register" hexmask.long.word 0x00 16.--31. 1. " TCNT ,SPI transfer counter" endif if (((per.l(ad:0x02110000+0x00))&0x80000000)==0x80000000) if (((per.l(ad:0x02110000+0x2C))&0x40000000)==0x40000000) rgroup.long 0xC++0x03 line.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" rgroup.long 0x10++0x03 line.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" else group.long 0xC++0x03 line.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" group.long 0x10++0x03 line.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" endif else hgroup.long 0xC++0x03 hide.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" hgroup.long 0x10++0x03 hide.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" endif if (((per.l(ad:0x02110000+0x00))&0x08)==0x08) group.long 0x2C++0x03 line.long 0x00 "SR,Status Register" eventfld.long 0x00 31. " TCF ,Transfer complete flag" "Not complete,Complete" rbitfld.long 0x00 30. " TXRXS ,TX and RX status" "Stopped state,Running state" eventfld.long 0x00 28. " EQQF ,End of queue flag" "Not set,Set" eventfld.long 0x00 25. " TFFF ,Transmit FIFO fill flag" "Full,Not full" rbitfld.long 0x00 24. " BSYF ,Busy flag" "Idle,Busy" eventfld.long 0x00 23. " CMDTCF ,Command transfer complete flag" "Not completed,Completed" newline eventfld.long 0x00 21. " SPEF ,SPI parity error flag" "No error,Error" eventfld.long 0x00 19. " RFOF ,Receive FIFO overflow flag" "Not overflowed,Overflowed" eventfld.long 0x00 18. " TFIWF ,Transmit FIFO invalid write flag" "No invalid data,Invalid data" rbitfld.long 0x00 17. " RFDF ,Receive FIFO drain flag" "Empty,Not empty" eventfld.long 0x00 16. " CMDFFF ,Command FIFO fill flag" "Full,Not full" newline rbitfld.long 0x00 12.--15. " TXCTR ,TX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 8.--11. " TXNXTPTR ,Transmit next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 4.--7. " RXCTR ,RX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 0.--3. " POPNXTPTR ,Pop next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" else group.long 0x2C++0x03 line.long 0x00 "SR,Status Register" eventfld.long 0x00 31. " TCF ,Transfer complete flag" "Not complete,Complete" rbitfld.long 0x00 30. " TXRXS ,TX and RX status" "Stopped state,Running state" eventfld.long 0x00 28. " EQQF ,End of queue flag" "Not set,Set" eventfld.long 0x00 25. " TFFF ,Transmit FIFO fill flag" "Full,Not full" eventfld.long 0x00 23. " CMDTCF ,Command transfer complete flag" "Not completed,Completed" newline eventfld.long 0x00 21. " SPEF ,SPI parity error flag" "No error,Error" eventfld.long 0x00 19. " RFOF ,Receive FIFO overflow flag" "Not overflowed,Overflowed" eventfld.long 0x00 18. " TFIWF ,Transmit FIFO invalid write flag" "No invalid data,Invalid data" rbitfld.long 0x00 17. " RFDF ,Receive FIFO drain flag" "Empty,Not empty" eventfld.long 0x00 16. " CMDFFF ,Command FIFO fill flag" "Full,Not full" newline rbitfld.long 0x00 12.--15. " TXCTR ,TX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 8.--11. " TXNXTPTR ,Transmit next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 4.--7. " RXCTR ,RX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 0.--3. " POPNXTPTR ,Pop next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" endif if (((per.l(ad:0x02110000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x30++0x03 line.long 0x00 "RSER,DMA/Interrupt Request Select And Enable Register" bitfld.long 0x00 31. " TCF_RE ,Transmission complete request enable" "Disabled,Enabled" bitfld.long 0x00 30. " CMDFFF_RE ,Command FIFO fill flag request enable" "Disabled,Enabled" bitfld.long 0x00 28. " EOQF_RE ,Finished request enable" "Disabled,Enabled" bitfld.long 0x00 25. " TFFF_RE ,Transmit FIFO fill request enable" "Disabled,Enabled" bitfld.long 0x00 24. " TFFF_DIRS ,Transmit FIFO fill DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 23. " CMDTCF_RE ,Command transmission complete request enable" "Disabled,Enabled" newline bitfld.long 0x00 21. " SPEF_RE ,SPI parity error request enable" "Disabled,Enabled" bitfld.long 0x00 19. " RFOF_RE ,Receive FIFO overflow request enable" "Disabled,Enabled" bitfld.long 0x00 18. " TFIWF_RE ,Transmit FIFO invalid write request enable" "Disabled,Enabled" bitfld.long 0x00 17. " RFDF_RE ,Receive FIFO drain request enable" "Disabled,Enabled" bitfld.long 0x00 16. " RFDF_DIRS ,Receive FIFO drain DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 15. " CMDFFF_DIRS ,Command FIFO fill DMA or interrupt request select" "Interrupt,DMA" else group.long 0x30++0x03 line.long 0x00 "RSER,DMA/Interrupt Request Select And Enable Register" bitfld.long 0x00 31. " TCF_RE ,Transmission complete request enable" "Disabled,Enabled" bitfld.long 0x00 30. " CMDFFF_RE ,Command FIFO fill flag request enable" "Disabled,Enabled" bitfld.long 0x00 28. " EOQF_RE ,Finished request enable" "Disabled,Enabled" bitfld.long 0x00 25. " TFFF_RE ,Transmit FIFO fill request enable" "Disabled,Enabled" bitfld.long 0x00 24. " TFFF_DIRS ,Transmit FIFO fill DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 23. " CMDTCF_RE ,Command transmission complete request enable" "Disabled,Enabled" newline bitfld.long 0x00 21. " SPEF_RE ,SPI parity error request enable" "Disabled,Enabled" bitfld.long 0x00 19. " RFOF_RE ,Receive FIFO overflow request enable" "Disabled,Enabled" bitfld.long 0x00 18. " TFIWF_RE ,Transmit FIFO invalid write request enable" "Disabled,Enabled" bitfld.long 0x00 17. " RFDF_RE ,Receive FIFO drain request enable" "Disabled,Enabled" bitfld.long 0x00 16. " RFDF_DIRS ,Receive FIFO drain DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 15. " CMDFFF_DIRS ,Command FIFO fill DMA or interrupt request select" "Interrupt,DMA" endif if (((per.l(ad:0x02110000+0x00))&0x80000000)==0x80000000) group.long 0x34++0x03 line.long 0x00 "PUSHR,PUSH TX FIFO Register (In Master Mode)" bitfld.long 0x00 31. " CONT ,Continuous peripheral chip select enable" "Disabled,Enabled" bitfld.long 0x00 28.--30. " CTAS ,Clock and transfer attributes select" "CTAR0,,CTAR1,?..." bitfld.long 0x00 27. " EOQ ,End of queue" "Not last,Last" bitfld.long 0x00 26. " CTCNT ,Clear transfer counter" "Not cleared,Cleared" bitfld.long 0x00 25. " PE ,Parity enable" "Disabled,Enabled" bitfld.long 0x00 24. " PP ,Parity polarity" "Even,Odd" newline bitfld.long 0x00 19. " PCS[0] ,PCS 0 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 18. " [1] ,PCS 1 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 17. " [2] ,PCS 2 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 16. " [3] ,PCS 3 asserted for the transfer" "Negated,Asserted" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" else hgroup.long 0x34++0x03 hide.long 0x00 "PUSHR,PUSH TX FIFO Register (In Master Mode)" endif newline hgroup.long 0x38++0x03 hide.long 0x00 "POPR,POP RX FIFO Register" in newline if (((per.l(ad:0x02110000+0x00))&0x08)==0x08) hgroup.long 0x3C++0x03 hide.long 0x00 "TXFR0,Transmit FIFO Register 0" hgroup.long 0x40++0x03 hide.long 0x00 "TXFR1,Transmit FIFO Register 1" hgroup.long 0x44++0x03 hide.long 0x00 "TXFR2,Transmit FIFO Register 2" hgroup.long 0x48++0x03 hide.long 0x00 "TXFR3,Transmit FIFO Register 3" else if (((per.l(ad:0x02110000+0x00))&0x80000000)==0x80000000) rgroup.long 0x3C++0x03 line.long 0x00 "TXFR0,Transmit FIFO Register 0" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x40++0x03 line.long 0x00 "TXFR1,Transmit FIFO Register 1" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x44++0x03 line.long 0x00 "TXFR2,Transmit FIFO Register 2" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x48++0x03 line.long 0x00 "TXFR3,Transmit FIFO Register 3" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" else hgroup.long 0x3C++0x03 hide.long 0x00 "TXFR0,Transmit FIFO Register 0" hgroup.long 0x40++0x03 hide.long 0x00 "TXFR1,Transmit FIFO Register 1" hgroup.long 0x44++0x03 hide.long 0x00 "TXFR2,Transmit FIFO Register 2" hgroup.long 0x48++0x03 hide.long 0x00 "TXFR3,Transmit FIFO Register 3" endif endif if (((per.l(ad:0x02110000+0x00))&0x4000)==0x00) rgroup.long 0x7C++0x03 line.long 0x00 "RXFR0,Receive FIFO Register" rgroup.long 0x80++0x03 line.long 0x00 "RXFR1,Receive FIFO Register" rgroup.long 0x84++0x03 line.long 0x00 "RXFR2,Receive FIFO Register" rgroup.long 0x88++0x03 line.long 0x00 "RXFR3,Receive FIFO Register" else hgroup.long 0x7C++0x03 hide.long 0x00 "RXFR0,Receive FIFO Register" hgroup.long 0x80++0x03 hide.long 0x00 "RXFR1,Receive FIFO Register" hgroup.long 0x84++0x03 hide.long 0x00 "RXFR2,Receive FIFO Register" hgroup.long 0x88++0x03 hide.long 0x00 "RXFR3,Receive FIFO Register" endif if (((per.l(ad:0x02110000+0x00))&0x08)==0x08) if (((per.l(ad:0x02110000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x11C++0x03 line.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" rgroup.long 0x120++0x03 line.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" else group.long 0x11C++0x03 line.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" group.long 0x120++0x03 line.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" endif else hgroup.long 0x11C++0x03 hide.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hgroup.long 0x120++0x03 hide.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" endif rgroup.long 0x13C++0x03 line.long 0x00 "SREX,Status Register Extended" bitfld.long 0x00 14. " TXCTR4 ,TX FIFO counter[4]" "0,1" bitfld.long 0x00 11. " RXCTR4 ,RX FIFO counter[4]" "0,1" bitfld.long 0x00 4.--8. " CMDCTR ,CMD FIFO counter" "0,16,8,24,4,20,12,28,2,18,10,26,6,22,14,30,1,17,9,25,5,21,13,29,3,19,11,27,7,23,15,31" bitfld.long 0x00 0.--3. " CMDNXTPTR ,Command next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" width 0x0B tree.end tree "SPI 3" base ad:0x02120000 width 13. if (((per.l(ad:0x02120000+0x2C))&0x40000000)==0x40000000) group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" bitfld.long 0x00 31. " MSTR ,Master/slave mode select" ",Master mode" bitfld.long 0x00 30. " CONT_SCKE ,Continuous SCK enable" "Disabled,Enabled" rbitfld.long 0x00 28.--29. " DCONF ,SPI configuration" "SPI,?..." bitfld.long 0x00 24. " ROOE ,Receive FIFO overflow overwrite enable" "Disabled,Enabled" bitfld.long 0x00 19. " PCSIS[0] ,Peripheral chip select 0 inactive state" "Low,High" bitfld.long 0x00 18. " [1] ,Peripheral chip select 1 inactive state" "Low,High" newline bitfld.long 0x00 17. " [2] ,Peripheral chip select 2 inactive state" "Low,High" bitfld.long 0x00 16. " [3] ,Peripheral chip select 3 inactive state" "Low,High" bitfld.long 0x00 14. " MDIS ,Module disable" "No,Yes" bitfld.long 0x00 13. " DIS_TXF ,Disable transmit FIFO" "No,Yes" bitfld.long 0x00 12. " DIS_RXF ,Disable receive FIFO" "No,Yes" bitfld.long 0x00 11. " CLR_TXF ,Clear TX FIFO" "No clear,Clear" newline bitfld.long 0x00 10. " CLR_RXF ,Clear RX FIFO" "No clear,Clear" bitfld.long 0x00 3. " XSPI ,Extended SPI mode" "Normal,Extended" bitfld.long 0x00 1. " PES ,Parity error stop" "Continued,Stopped" bitfld.long 0x00 0. " HALT ,Halt" "Start,Stop" else group.long 0x00++0x03 line.long 0x00 "MCR,Module Configuration Register" rbitfld.long 0x00 31. " MSTR ,Master/slave mode select" ",Master mode" rbitfld.long 0x00 30. " CONT_SCKE ,Continuous SCK enable" "Disabled,Enabled" rbitfld.long 0x00 28.--29. " DCONF ,SPI configuration" "SPI,?..." rbitfld.long 0x00 24. " ROOE ,Receive FIFO overflow overwrite enable" "Disabled,Enabled" rbitfld.long 0x00 19. " PCSIS[0] ,Peripheral chip select 0 inactive state" "Low,High" rbitfld.long 0x00 18. " [1] ,Peripheral chip select 1 inactive state" "Low,High" newline rbitfld.long 0x00 17. " [2] ,Peripheral chip select 2 inactive state" "Low,High" rbitfld.long 0x00 16. " [3] ,Peripheral chip select 3 inactive state" "Low,High" bitfld.long 0x00 14. " MDIS ,Module disable" "No,Yes" rbitfld.long 0x00 13. " DIS_TXF ,Disable transmit FIFO" "No,Yes" rbitfld.long 0x00 12. " DIS_RXF ,Disable receive FIFO" "No,Yes" rbitfld.long 0x00 11. " CLR_TXF ,Clear TX FIFO" "Not cleared,Cleared" newline rbitfld.long 0x00 10. " CLR_RXF ,Clear RX FIFO" "Not cleared,Cleared" rbitfld.long 0x00 3. " XSPI ,Extended SPI mode" "Normal,Extended" rbitfld.long 0x00 1. " PES ,Parity error stop" "Continued,Stopped" bitfld.long 0x00 0. " HALT ,Halt" "Start,Stop" endif if (((per.l(ad:0x02120000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x08++0x03 line.long 0x00 "TCR,Transfer Count Register" hexmask.long.word 0x00 16.--31. 1. " TCNT ,SPI transfer counter" else group.long 0x08++0x03 line.long 0x00 "TCR,Transfer Count Register" hexmask.long.word 0x00 16.--31. 1. " TCNT ,SPI transfer counter" endif if (((per.l(ad:0x02120000+0x00))&0x80000000)==0x80000000) if (((per.l(ad:0x02120000+0x2C))&0x40000000)==0x40000000) rgroup.long 0xC++0x03 line.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" rgroup.long 0x10++0x03 line.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" else group.long 0xC++0x03 line.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" group.long 0x10++0x03 line.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" bitfld.long 0x00 31. " DBR ,Double baud rate" "Normal,Doubled" bitfld.long 0x00 27.--30. " FMSZ ,Frame size" ",9,5,13,,11,7,15,,10,6,14,4,12,8,16" bitfld.long 0x00 26. " CPOL ,Clock polarity" "Inactive low,Inactive high" bitfld.long 0x00 25. " CPHA ,Clock phase (data capture/data change)" "Leading/following,Following/leading" bitfld.long 0x00 24. " LSBFE ,LSB first" "MSB,LSB" bitfld.long 0x00 22.--23. " PCSSCK ,PCS to SCK delay prescaler" "/1,/5,/3,/7" newline bitfld.long 0x00 20.--21. " PASC ,After SCK delay prescaler" "/1,/5,/3,/7" bitfld.long 0x00 18.--19. " PDT ,Delay after transfer prescaler" "/1,/5,/3,/7" bitfld.long 0x00 16.--17. " PBR ,Baud rate prescaler" "/2,/5,/3,/7" bitfld.long 0x00 12.--15. " CSSCK ,PCS to SCK delay scaler" "2,512,32,8192,8,2048,128,32768,4,1024,64,16384,16,4096,256,65536" bitfld.long 0x00 8.--11. " ASC ,After SCK delay scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" bitfld.long 0x00 4.--7. " DT ,Delay after transfer scaler" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" newline bitfld.long 0x00 0.--3. " BR ,Baud rate scaler" "2,256,16,4096,6,1024,64,16384,4,512,32,8192,8,2048,128,32768" endif else hgroup.long 0xC++0x03 hide.long 0x00 "CTAR0,Clock And Transfer Attributes Register 0 (In Master Mode)" hgroup.long 0x10++0x03 hide.long 0x00 "CTAR1,Clock And Transfer Attributes Register 1 (In Master Mode)" endif if (((per.l(ad:0x02120000+0x00))&0x08)==0x08) group.long 0x2C++0x03 line.long 0x00 "SR,Status Register" eventfld.long 0x00 31. " TCF ,Transfer complete flag" "Not complete,Complete" rbitfld.long 0x00 30. " TXRXS ,TX and RX status" "Stopped state,Running state" eventfld.long 0x00 28. " EQQF ,End of queue flag" "Not set,Set" eventfld.long 0x00 25. " TFFF ,Transmit FIFO fill flag" "Full,Not full" rbitfld.long 0x00 24. " BSYF ,Busy flag" "Idle,Busy" eventfld.long 0x00 23. " CMDTCF ,Command transfer complete flag" "Not completed,Completed" newline eventfld.long 0x00 21. " SPEF ,SPI parity error flag" "No error,Error" eventfld.long 0x00 19. " RFOF ,Receive FIFO overflow flag" "Not overflowed,Overflowed" eventfld.long 0x00 18. " TFIWF ,Transmit FIFO invalid write flag" "No invalid data,Invalid data" rbitfld.long 0x00 17. " RFDF ,Receive FIFO drain flag" "Empty,Not empty" eventfld.long 0x00 16. " CMDFFF ,Command FIFO fill flag" "Full,Not full" newline rbitfld.long 0x00 12.--15. " TXCTR ,TX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 8.--11. " TXNXTPTR ,Transmit next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 4.--7. " RXCTR ,RX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 0.--3. " POPNXTPTR ,Pop next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" else group.long 0x2C++0x03 line.long 0x00 "SR,Status Register" eventfld.long 0x00 31. " TCF ,Transfer complete flag" "Not complete,Complete" rbitfld.long 0x00 30. " TXRXS ,TX and RX status" "Stopped state,Running state" eventfld.long 0x00 28. " EQQF ,End of queue flag" "Not set,Set" eventfld.long 0x00 25. " TFFF ,Transmit FIFO fill flag" "Full,Not full" eventfld.long 0x00 23. " CMDTCF ,Command transfer complete flag" "Not completed,Completed" newline eventfld.long 0x00 21. " SPEF ,SPI parity error flag" "No error,Error" eventfld.long 0x00 19. " RFOF ,Receive FIFO overflow flag" "Not overflowed,Overflowed" eventfld.long 0x00 18. " TFIWF ,Transmit FIFO invalid write flag" "No invalid data,Invalid data" rbitfld.long 0x00 17. " RFDF ,Receive FIFO drain flag" "Empty,Not empty" eventfld.long 0x00 16. " CMDFFF ,Command FIFO fill flag" "Full,Not full" newline rbitfld.long 0x00 12.--15. " TXCTR ,TX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 8.--11. " TXNXTPTR ,Transmit next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 4.--7. " RXCTR ,RX FIFO counter" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" rbitfld.long 0x00 0.--3. " POPNXTPTR ,Pop next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" endif if (((per.l(ad:0x02120000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x30++0x03 line.long 0x00 "RSER,DMA/Interrupt Request Select And Enable Register" bitfld.long 0x00 31. " TCF_RE ,Transmission complete request enable" "Disabled,Enabled" bitfld.long 0x00 30. " CMDFFF_RE ,Command FIFO fill flag request enable" "Disabled,Enabled" bitfld.long 0x00 28. " EOQF_RE ,Finished request enable" "Disabled,Enabled" bitfld.long 0x00 25. " TFFF_RE ,Transmit FIFO fill request enable" "Disabled,Enabled" bitfld.long 0x00 24. " TFFF_DIRS ,Transmit FIFO fill DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 23. " CMDTCF_RE ,Command transmission complete request enable" "Disabled,Enabled" newline bitfld.long 0x00 21. " SPEF_RE ,SPI parity error request enable" "Disabled,Enabled" bitfld.long 0x00 19. " RFOF_RE ,Receive FIFO overflow request enable" "Disabled,Enabled" bitfld.long 0x00 18. " TFIWF_RE ,Transmit FIFO invalid write request enable" "Disabled,Enabled" bitfld.long 0x00 17. " RFDF_RE ,Receive FIFO drain request enable" "Disabled,Enabled" bitfld.long 0x00 16. " RFDF_DIRS ,Receive FIFO drain DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 15. " CMDFFF_DIRS ,Command FIFO fill DMA or interrupt request select" "Interrupt,DMA" else group.long 0x30++0x03 line.long 0x00 "RSER,DMA/Interrupt Request Select And Enable Register" bitfld.long 0x00 31. " TCF_RE ,Transmission complete request enable" "Disabled,Enabled" bitfld.long 0x00 30. " CMDFFF_RE ,Command FIFO fill flag request enable" "Disabled,Enabled" bitfld.long 0x00 28. " EOQF_RE ,Finished request enable" "Disabled,Enabled" bitfld.long 0x00 25. " TFFF_RE ,Transmit FIFO fill request enable" "Disabled,Enabled" bitfld.long 0x00 24. " TFFF_DIRS ,Transmit FIFO fill DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 23. " CMDTCF_RE ,Command transmission complete request enable" "Disabled,Enabled" newline bitfld.long 0x00 21. " SPEF_RE ,SPI parity error request enable" "Disabled,Enabled" bitfld.long 0x00 19. " RFOF_RE ,Receive FIFO overflow request enable" "Disabled,Enabled" bitfld.long 0x00 18. " TFIWF_RE ,Transmit FIFO invalid write request enable" "Disabled,Enabled" bitfld.long 0x00 17. " RFDF_RE ,Receive FIFO drain request enable" "Disabled,Enabled" bitfld.long 0x00 16. " RFDF_DIRS ,Receive FIFO drain DMA or interrupt request select" "Interrupt,DMA" bitfld.long 0x00 15. " CMDFFF_DIRS ,Command FIFO fill DMA or interrupt request select" "Interrupt,DMA" endif if (((per.l(ad:0x02120000+0x00))&0x80000000)==0x80000000) group.long 0x34++0x03 line.long 0x00 "PUSHR,PUSH TX FIFO Register (In Master Mode)" bitfld.long 0x00 31. " CONT ,Continuous peripheral chip select enable" "Disabled,Enabled" bitfld.long 0x00 28.--30. " CTAS ,Clock and transfer attributes select" "CTAR0,,CTAR1,?..." bitfld.long 0x00 27. " EOQ ,End of queue" "Not last,Last" bitfld.long 0x00 26. " CTCNT ,Clear transfer counter" "Not cleared,Cleared" bitfld.long 0x00 25. " PE ,Parity enable" "Disabled,Enabled" bitfld.long 0x00 24. " PP ,Parity polarity" "Even,Odd" newline bitfld.long 0x00 19. " PCS[0] ,PCS 0 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 18. " [1] ,PCS 1 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 17. " [2] ,PCS 2 asserted for the transfer" "Negated,Asserted" bitfld.long 0x00 16. " [3] ,PCS 3 asserted for the transfer" "Negated,Asserted" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" else hgroup.long 0x34++0x03 hide.long 0x00 "PUSHR,PUSH TX FIFO Register (In Master Mode)" endif newline hgroup.long 0x38++0x03 hide.long 0x00 "POPR,POP RX FIFO Register" in newline if (((per.l(ad:0x02120000+0x00))&0x08)==0x08) hgroup.long 0x3C++0x03 hide.long 0x00 "TXFR0,Transmit FIFO Register 0" hgroup.long 0x40++0x03 hide.long 0x00 "TXFR1,Transmit FIFO Register 1" hgroup.long 0x44++0x03 hide.long 0x00 "TXFR2,Transmit FIFO Register 2" hgroup.long 0x48++0x03 hide.long 0x00 "TXFR3,Transmit FIFO Register 3" else if (((per.l(ad:0x02120000+0x00))&0x80000000)==0x80000000) rgroup.long 0x3C++0x03 line.long 0x00 "TXFR0,Transmit FIFO Register 0" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x40++0x03 line.long 0x00 "TXFR1,Transmit FIFO Register 1" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x44++0x03 line.long 0x00 "TXFR2,Transmit FIFO Register 2" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" rgroup.long 0x48++0x03 line.long 0x00 "TXFR3,Transmit FIFO Register 3" hexmask.long.word 0x00 16.--31. 1. " TXCMD ,Transmit command" hexmask.long.word 0x00 0.--15. 1. " TXDATA ,Transmit data" else hgroup.long 0x3C++0x03 hide.long 0x00 "TXFR0,Transmit FIFO Register 0" hgroup.long 0x40++0x03 hide.long 0x00 "TXFR1,Transmit FIFO Register 1" hgroup.long 0x44++0x03 hide.long 0x00 "TXFR2,Transmit FIFO Register 2" hgroup.long 0x48++0x03 hide.long 0x00 "TXFR3,Transmit FIFO Register 3" endif endif if (((per.l(ad:0x02120000+0x00))&0x4000)==0x00) rgroup.long 0x7C++0x03 line.long 0x00 "RXFR0,Receive FIFO Register" rgroup.long 0x80++0x03 line.long 0x00 "RXFR1,Receive FIFO Register" rgroup.long 0x84++0x03 line.long 0x00 "RXFR2,Receive FIFO Register" rgroup.long 0x88++0x03 line.long 0x00 "RXFR3,Receive FIFO Register" else hgroup.long 0x7C++0x03 hide.long 0x00 "RXFR0,Receive FIFO Register" hgroup.long 0x80++0x03 hide.long 0x00 "RXFR1,Receive FIFO Register" hgroup.long 0x84++0x03 hide.long 0x00 "RXFR2,Receive FIFO Register" hgroup.long 0x88++0x03 hide.long 0x00 "RXFR3,Receive FIFO Register" endif if (((per.l(ad:0x02120000+0x00))&0x08)==0x08) if (((per.l(ad:0x02120000+0x2C))&0x40000000)==0x40000000) rgroup.long 0x11C++0x03 line.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" rgroup.long 0x120++0x03 line.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" else group.long 0x11C++0x03 line.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" group.long 0x120++0x03 line.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" hexmask.long.word 0x00 21.--31. 0x02 " DTCP ,Data transfer count preload" bitfld.long 0x00 15. " FMSZE ,Frame size extended" "Default,Extended" endif else hgroup.long 0x11C++0x03 hide.long 0x00 "CTARE0,Clock And Transfer Attributes Register Extended 0" hgroup.long 0x120++0x03 hide.long 0x00 "CTARE1,Clock And Transfer Attributes Register Extended 1" endif rgroup.long 0x13C++0x03 line.long 0x00 "SREX,Status Register Extended" bitfld.long 0x00 14. " TXCTR4 ,TX FIFO counter[4]" "0,1" bitfld.long 0x00 11. " RXCTR4 ,RX FIFO counter[4]" "0,1" bitfld.long 0x00 4.--8. " CMDCTR ,CMD FIFO counter" "0,16,8,24,4,20,12,28,2,18,10,26,6,22,14,30,1,17,9,25,5,21,13,29,3,19,11,27,7,23,15,31" bitfld.long 0x00 0.--3. " CMDNXTPTR ,Command next pointer" "0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15" width 0x0B tree.end tree.end tree "TMU (Thermal Monitoring Unit)" base ad:0x01F80000 width 10. sif cpuis("LX2??2A") if ((per.l(ad:0x01F80000)&0x80000000)==0x80000000) group.long 0x00++0x03 line.long 0x00 "TMR,TMU Mode Register" bitfld.long 0x00 31. " ME ,Monitoring mode enable" "Disabled,Enabled" rbitfld.long 0x00 26.--27. " ALPF ,Average low pass filter setting" "1.0,0.5,0.25,0.125" hexmask.long.byte 0x00 9.--15. 1. " MSITE ,Monitoring site select" else group.long 0x00++0x03 line.long 0x00 "TMR,TMU Mode Register" bitfld.long 0x00 31. " ME ,Monitoring mode enable" "Disabled,Enabled" bitfld.long 0x00 26.--27. " ALPF ,Average low pass filter setting" "1.0,0.5,0.25,0.125" hexmask.long.byte 0x00 9.--15. 1. " MSITE ,Monitoring site select" endif else if ((per.l(ad:0x01F80000)&0xC0000000)==0x80000000) group.long 0x00++0x03 line.long 0x00 "TMR,TMU Mode Register" bitfld.long 0x00 30.--31. " MODE ,Mode select" "Low power mode,,Monitoring mode,?..." bitfld.long 0x00 29. " CMD ,Central module disable" "No,Yes" rbitfld.long 0x00 24.--25. " ALPF ,Average low pass filter setting" "1.0,0.5,0.25,0.125" else group.long 0x00++0x03 line.long 0x00 "TMR,TMU Mode Register" bitfld.long 0x00 30.--31. " MODE ,Mode select" "Low power mode,,Monitoring mode,?..." bitfld.long 0x00 29. " CMD ,Central module disable" "No,Yes" bitfld.long 0x00 24.--25. " ALPF ,Average low pass filter setting" "1.0,0.5,0.25,0.125" endif endif group.long 0x04++0x03 line.long 0x00 "TSR,TMU Status Register" sif cpuis("LX2??2A") rbitfld.long 0x00 30. " MIE ,Monitoring interval exceeded" "Not exceeded,Exceeded" rbitfld.long 0x00 29. " ORL ,Out-of-range low temperature measurement detected" "Not detected,Detected" rbitfld.long 0x00 28. " ORH ,Out-of-range high temperature measurement detected" "Not detected,Detected" else eventfld.long 0x00 30. " MIE ,Monitoring interval exceeded" "Not exceeded,Exceeded" eventfld.long 0x00 29. " ORL ,Out-of-range low temperature measurement detected" "Not detected,Detected" eventfld.long 0x00 28. " ORH ,Out-of-range high temperature measurement detected" "Not detected,Detected" endif if ((per.l(ad:0x01F80000)&0xC0000000)==0x00) sif !cpuis("LX2??2A") group.long 0x08++0x03 line.long 0x00 "TMSR,TMU Monitor Site Register" bitfld.long 0x00 6. " SITE[6] ,Monitoring site 6 enable" "Disabled,Enabled" bitfld.long 0x00 5. " [5] ,Monitoring site 5 enable" "Disabled,Enabled" bitfld.long 0x00 4. " [4] ,Monitoring site 4 enable" "Disabled,Enabled" bitfld.long 0x00 3. " [3] ,Monitoring site 3 enable" "Disabled,Enabled" bitfld.long 0x00 2. " [2] ,Monitoring site 2 enable" "Disabled,Enabled" bitfld.long 0x00 1. " [1] ,Monitoring site 1 enable" "Disabled,Enabled" bitfld.long 0x00 0. " [0] ,Monitoring site 0 enable" "Disabled,Enabled" endif sif !cpuis("LX2??2A") group.long 0x0C++0x03 line.long 0x00 "TMTMIR,TMU Monitor Temperature Measurement Interval Register" bitfld.long 0x00 0.--3. " TMI ,Temperature monitoring interval in seconds [333MHz|400MHz|667MHz|800MHz]" "0.03|0.02|0.015|0.01,0.06|0.04|0.03|0.02,0.10|0.08|0.05|0.04,0.20|0.17|0.10|0.08,0.40|0.34|0.20|0.17,0.80|0.67|0.40|0.34,1.60|1.34|0.80|0.67,3.2|2.7|1.6|1.34,6.4|5.4|3.2|2.7,12.8|10.7|6.4|5.4,25.8|21.5|12.9|10.7,51.6|42.9|25.8|21.5,103|85.9|51.5|42.9,206|171.8|103.0|85.9,412.2|343.6|206.1|171.8,Disabled" else group.long 0x08++0x03 line.long 0x00 "TMTMIR,TMU Monitor Temperature Measurement Interval Register" bitfld.long 0x00 0.--3. " TMI ,Temperature monitoring interval in seconds [333MHz|400MHz|667MHz|800MHz]" "0.03|0.02|0.015|0.01,0.06|0.04|0.03|0.02,0.10|0.08|0.05|0.04,0.20|0.17|0.10|0.08,0.40|0.34|0.20|0.17,0.80|0.67|0.40|0.34,1.60|1.34|0.80|0.67,3.2|2.7|1.6|1.34,6.4|5.4|3.2|2.7,12.8|10.7|6.4|5.4,25.8|21.5|12.9|10.7,51.6|42.9|25.8|21.5,103|85.9|51.5|42.9,206|171.8|103.0|85.9,412.2|343.6|206.1|171.8,Disabled" endif else sif !cpuis("LX2??2A") rgroup.long 0x08++0x03 line.long 0x00 "TMSR,TMU Monitor Site Register" bitfld.long 0x00 6. " SITE[6] ,Monitoring site 6 enable" "Disabled,Enabled" bitfld.long 0x00 5. " [5] ,Monitoring site 5 enable" "Disabled,Enabled" bitfld.long 0x00 4. " [4] ,Monitoring site 4 enable" "Disabled,Enabled" bitfld.long 0x00 3. " [3] ,Monitoring site 3 enable" "Disabled,Enabled" bitfld.long 0x00 2. " [2] ,Monitoring site 2 enable" "Disabled,Enabled" bitfld.long 0x00 1. " [1] ,Monitoring site 1 enable" "Disabled,Enabled" bitfld.long 0x00 0. " [0] ,Monitoring site 0 enable" "Disabled,Enabled" endif sif !cpuis("LX2??2A") rgroup.long 0x0C++0x03 line.long 0x00 "TMTMIR,TMU Monitor Temperature Measurement Interval Register" bitfld.long 0x00 0.--3. " TMI ,Temperature monitoring interval in seconds [333MHz|400MHz|667MHz|800MHz]" "0.03|0.02|0.015|0.01,0.06|0.04|0.03|0.02,0.10|0.08|0.05|0.04,0.20|0.17|0.10|0.08,0.40|0.34|0.20|0.17,0.80|0.67|0.40|0.34,1.60|1.34|0.80|0.67,3.2|2.7|1.6|1.34,6.4|5.4|3.2|2.7,12.8|10.7|6.4|5.4,25.8|21.5|12.9|10.7,51.6|42.9|25.8|21.5,103|85.9|51.5|42.9,206|171.8|103.0|85.9,412.2|343.6|206.1|171.8,Disabled" else rgroup.long 0x08++0x03 line.long 0x00 "TMTMIR,TMU Monitor Temperature Measurement Interval Register" bitfld.long 0x00 0.--3. " TMI ,Temperature monitoring interval in seconds [333MHz|400MHz|667MHz|800MHz]" "0.03|0.02|0.015|0.01,0.06|0.04|0.03|0.02,0.10|0.08|0.05|0.04,0.20|0.17|0.10|0.08,0.40|0.34|0.20|0.17,0.80|0.67|0.40|0.34,1.60|1.34|0.80|0.67,3.2|2.7|1.6|1.34,6.4|5.4|3.2|2.7,12.8|10.7|6.4|5.4,25.8|21.5|12.9|10.7,51.6|42.9|25.8|21.5,103|85.9|51.5|42.9,206|171.8|103.0|85.9,412.2|343.6|206.1|171.8,Disabled" endif endif group.long 0x20++0x07 line.long 0x00 "TIER,TMU Interrupt Enable Register" bitfld.long 0x00 31. " ITTEIE ,Immediate high temperature threshold interrupt enable" "Disabled,Enabled" bitfld.long 0x00 30. " ATTEIE ,Average high temperature threshold interrupt enable" "Disabled,Enabled" bitfld.long 0x00 29. " ATCTEIE ,Average high temperature critical threshold interrupt enable" "Disabled,Enabled" sif !cpuis("LX2??2A") newline bitfld.long 0x00 28. " ILTTIE ,Immediate low temperature threshold interrupt enable" "Disabled,Enabled" bitfld.long 0x00 27. " ALTTIE ,Average low temperature threshold interrupt enable" "Disabled,Enabled" bitfld.long 0x00 26. " ALTCTIE ,Average low temperature critical threshold interrupt enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " RTRCTIE ,Rising temperature rate critical threshold interrupt enable" "Disabled,Enabled" bitfld.long 0x00 24. " FTRCTIE ,Falling temperature rate critical threshold interrupt enable" "Disabled,Enabled" endif line.long 0x04 "TIDR,TMU Interrupt Detect Register" eventfld.long 0x04 31. " IHTT ,Immediate high temperature threshold exceeded" "Not exceeded,Exceeded" eventfld.long 0x04 30. " AHTT ,Average high temperature threshold exceeded" "Not exceeded,Exceeded" eventfld.long 0x04 29. " AHTCT ,Average high temperature critical threshold exceeded" "Not exceeded,Exceeded" sif !cpuis("LX2??2A") newline eventfld.long 0x04 28. " ILTT ,Immediate low temperature threshold" "Not exceeded,Exceeded" eventfld.long 0x04 27. " ALTT ,Average low temperature threshold" "Not exceeded,Exceeded" eventfld.long 0x04 26. " ALTCT ,Average low temperature critical threshold" "Not exceeded,Exceeded" newline eventfld.long 0x04 25. " RTRCT ,Rising temperature rate critical threshold" "Not exceeded,Exceeded" eventfld.long 0x04 24. " FTRCT ,Falling temperature rate critical threshold" "Not exceeded,Exceeded" endif sif cpuis("LX2??2A") group.long 0x28++0x03 line.long 0x00 "TIISCR,TMU Interrupt Immediate Site Capture Register" hexmask.long.byte 0x00 25.--31. 1. " ISITE ,Temperature sensor site associated with the setting of TIDR[ITTE]" hexmask.long.byte 0x00 9.--15. 1. " ASITE ,Temperature sensor site associated with the setting of TIDR[ATTE]" group.long 0x2C++0x03 line.long 0x00 "TICSCR,TMU Interrupt Critical Site Capture Register" hexmask.long.byte 0x00 9.--15. 1. " CASITE ,Temperature sensor site associated with the setting of TIDR[ATCTE]" else group.long 0x30++0x03 line.long 0x00 "TIISCR,TMU Interrupt Immediate Site Capture Register" hexmask.long.byte 0x00 0.--6. 1. " SITE ,Temperature sensor site associated with the setting of TIDR[IHTT/ILTT]" group.long 0x34++0x03 line.long 0x00 "TIASCR,TMU Interrupt Average Site Capture Register" hexmask.long.byte 0x00 0.--6. 1. " SITE ,Temperature sensor site associated with the setting of TIDR[AHTT/ALTT]" group.long 0x38++0x03 line.long 0x00 "TICSCR,TMU Interrupt Critical Site Capture Register" hexmask.long.byte 0x00 0.--6. 1. " SITE ,Temperature sensor site associated with the setting of TIDR[RCTC/AHTCT/ALTCT]" endif if ((per.l(ad:0x01F80000+0x40)&0x80000000)==0x80000000) group.long 0x40++0x03 line.long 0x00 "TMHTCR,TMU Monitor High Temperature Capture Register" sif cpuis("LX2??2A") rbitfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" rhexmask.long.word 0x00 0.--7. 1. " TEMP ,Highest temperature recorded in degrees celsius by any enabled monitored site" else eventfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" rhexmask.long.word 0x00 0.--8. 1. " TEMP ,Highest temperature recorded in degrees kelvin by any enabled monitored site" endif else group.long 0x40++0x03 line.long 0x00 "TMHTCR,TMU Monitor High Temperature Capture Register" sif cpuis("LX2??2A") rbitfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" else eventfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" endif endif if ((per.l(ad:0x01F80000+0x44)&0x80000000)==0x80000000) group.long 0x44++0x03 line.long 0x00 "TMLTCR,TMU Monitor Low Temperature Capture Register" sif cpuis("LX2??2A") rbitfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" rhexmask.long.word 0x00 0.--8. 1. " TEMP ,Lowest temperature recorded in degrees celsius by any enabled monitored site" else eventfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" rhexmask.long.word 0x00 0.--8. 1. " TEMP ,Lowest temperature recorded in degrees kelvin by any enabled monitored site" endif else group.long 0x44++0x03 line.long 0x00 "TMLTCR,TMU Monitor Low Temperature Capture Register" sif cpuis("LX2??2A") rbitfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" else eventfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" endif endif sif !cpuis("LX2??2A") if ((per.l(ad:0x01F80000+0x48)&0x80000000)==0x80000000) group.long 0x48++0x03 line.long 0x00 "TMRTRCR,TMU Monitor Rising Temperature Rate Capture Register" eventfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" rhexmask.long.byte 0x00 0.--7. 1. " TEMP ,Highest rising temperature rate change recorded in degrees kelvin by any enabled monitored site" else group.long 0x48++0x03 line.long 0x00 "TMRTRCR,TMU Monitor Rising Temperature Rate Capture Register" eventfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x4C)&0x80000000)==0x80000000) group.long 0x4C++0x03 line.long 0x00 "TMFTRCR,TMU Monitor Falling Temperature Rate Capture Register" eventfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" rhexmask.long.byte 0x00 0.--7. 1. " TEMP ,Highest falling temperature rate change recorded in degrees kelvin by any enabled monitored site" else group.long 0x4C++0x03 line.long 0x00 "TMFTRCR,TMU Monitor Falling Temperature Rate Capture Register" eventfld.long 0x00 31. " V ,Valid reading" "Invalid,Valid" endif endif group.long 0x50++0x0B line.long 0x00 "TMHTITR,TMU Monitor High Temperature Immediate Threshold Register" bitfld.long 0x00 31. " EN ,Threshold enable" "Disabled,Enabled" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,High temperature immediate threshold value" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,High temperature immediate threshold value" endif line.long 0x04 "TMHTATR,TMU Monitor High Temperature Average Threshold Register" bitfld.long 0x04 31. " EN ,Threshold enable" "Disabled,Enabled" sif cpuis("LX2??2A") hexmask.long.byte 0x04 0.--7. 1. " TEMP ,High temperature average threshold value" else hexmask.long.word 0x04 0.--8. 1. " TEMP ,High temperature average threshold value" endif line.long 0x08 "TMHTACTR,TMU Monitor High Temperature Average Critical Threshold Register" bitfld.long 0x08 31. " EN ,Threshold enable" "Disabled,Enabled" sif cpuis("LX2??2A") hexmask.long.byte 0x08 0.--7. 1. " TEMP ,High temperature average critical threshold value" else hexmask.long.word 0x08 0.--8. 1. " TEMP ,High temperature average critical threshold value" endif sif !cpuis("LX2??2A") group.long 0x60++0x0B line.long 0x00 "TMLTITR,TMU Monitor Low Temperature Immediate Threshold Register" bitfld.long 0x00 31. " EN ,Threshold enable" "Disabled,Enabled" hexmask.long.word 0x00 0.--8. 1. " TEMP ,Low temperature immediate threshold value" line.long 0x04 "TMLTATR,TMU Monitor Low Temperature Average Threshold Register" bitfld.long 0x04 31. " EN ,Threshold enable" "Disabled,Enabled" hexmask.long.word 0x04 0.--8. 1. " TEMP ,Low temperature average threshold value" line.long 0x08 "TMLTACTR,TMU Monitor Low Temperature Average Critical Threshold Register" bitfld.long 0x08 31. " EN ,Threshold enable" "Disabled,Enabled" hexmask.long.word 0x08 0.--8. 1. " TEMP ,Low temperature average critical threshold value" group.long 0x70++0x07 line.long 0x00 "TMRTRCTR,TMU Monitor Rising Temperature Rate Critical Threshold Register" bitfld.long 0x00 31. " EN ,Threshold enable" "Disabled,Enabled" hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Temperature difference between two measurements from same site" line.long 0x04 "TMFTRCTR,TMU Monitor Falling Temperature Rate Critical Threshold Register" bitfld.long 0x04 31. " EN ,Threshold enable" "Disabled,Enabled" hexmask.long.byte 0x04 0.--7. 1. " TEMP ,Temperature difference between two measurements from same site" endif group.long 0x80++0x07 line.long 0x00 "TTCFGR,TMU Temperature Configuration Register" line.long 0x04 "TSCFGR,TMU Sensor Configuration Register" if ((per.l(ad:0x01F80000+0x100)&0x80000000)==0x80000000) rgroup.long 0x100++0x03 line.long 0x00 "TRITSR0,TMU Report Immediate Temperature Site Register 0" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" endif else rgroup.long 0x100++0x03 line.long 0x00 "TRITSR0,TMU Report Immediate Temperature Site Register 0" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x100+0x04)&0x80000000)==0x80000000) rgroup.long (0x100+0x04)++0x03 line.long 0x00 "TRATSR0,TMU Report Average Temperature Site Register 0" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" endif else rgroup.long (0x100+0x04)++0x03 line.long 0x00 "TRATSR0,TMU Report Average Temperature Site Register 0" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x110)&0x80000000)==0x80000000) rgroup.long 0x110++0x03 line.long 0x00 "TRITSR1,TMU Report Immediate Temperature Site Register 1" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" endif else rgroup.long 0x110++0x03 line.long 0x00 "TRITSR1,TMU Report Immediate Temperature Site Register 1" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x110+0x04)&0x80000000)==0x80000000) rgroup.long (0x110+0x04)++0x03 line.long 0x00 "TRATSR1,TMU Report Average Temperature Site Register 1" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" endif else rgroup.long (0x110+0x04)++0x03 line.long 0x00 "TRATSR1,TMU Report Average Temperature Site Register 1" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x120)&0x80000000)==0x80000000) rgroup.long 0x120++0x03 line.long 0x00 "TRITSR2,TMU Report Immediate Temperature Site Register 2" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" endif else rgroup.long 0x120++0x03 line.long 0x00 "TRITSR2,TMU Report Immediate Temperature Site Register 2" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x120+0x04)&0x80000000)==0x80000000) rgroup.long (0x120+0x04)++0x03 line.long 0x00 "TRATSR2,TMU Report Average Temperature Site Register 2" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" endif else rgroup.long (0x120+0x04)++0x03 line.long 0x00 "TRATSR2,TMU Report Average Temperature Site Register 2" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x130)&0x80000000)==0x80000000) rgroup.long 0x130++0x03 line.long 0x00 "TRITSR3,TMU Report Immediate Temperature Site Register 3" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" endif else rgroup.long 0x130++0x03 line.long 0x00 "TRITSR3,TMU Report Immediate Temperature Site Register 3" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x130+0x04)&0x80000000)==0x80000000) rgroup.long (0x130+0x04)++0x03 line.long 0x00 "TRATSR3,TMU Report Average Temperature Site Register 3" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" endif else rgroup.long (0x130+0x04)++0x03 line.long 0x00 "TRATSR3,TMU Report Average Temperature Site Register 3" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x140)&0x80000000)==0x80000000) rgroup.long 0x140++0x03 line.long 0x00 "TRITSR4,TMU Report Immediate Temperature Site Register 4" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" endif else rgroup.long 0x140++0x03 line.long 0x00 "TRITSR4,TMU Report Immediate Temperature Site Register 4" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x140+0x04)&0x80000000)==0x80000000) rgroup.long (0x140+0x04)++0x03 line.long 0x00 "TRATSR4,TMU Report Average Temperature Site Register 4" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" endif else rgroup.long (0x140+0x04)++0x03 line.long 0x00 "TRATSR4,TMU Report Average Temperature Site Register 4" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x150)&0x80000000)==0x80000000) rgroup.long 0x150++0x03 line.long 0x00 "TRITSR5,TMU Report Immediate Temperature Site Register 5" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" endif else rgroup.long 0x150++0x03 line.long 0x00 "TRITSR5,TMU Report Immediate Temperature Site Register 5" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x150+0x04)&0x80000000)==0x80000000) rgroup.long (0x150+0x04)++0x03 line.long 0x00 "TRATSR5,TMU Report Average Temperature Site Register 5" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" endif else rgroup.long (0x150+0x04)++0x03 line.long 0x00 "TRATSR5,TMU Report Average Temperature Site Register 5" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x160)&0x80000000)==0x80000000) rgroup.long 0x160++0x03 line.long 0x00 "TRITSR6,TMU Report Immediate Temperature Site Register 6" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Last temperature reading in degrees kelvin at the site" endif else rgroup.long 0x160++0x03 line.long 0x00 "TRITSR6,TMU Report Immediate Temperature Site Register 6" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif if ((per.l(ad:0x01F80000+0x160+0x04)&0x80000000)==0x80000000) rgroup.long (0x160+0x04)++0x03 line.long 0x00 "TRATSR6,TMU Report Average Temperature Site Register 6" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" sif cpuis("LX2??2A") hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" else hexmask.long.word 0x00 0.--8. 1. " TEMP ,Average temperature reading in degrees kelvin at the site" endif else rgroup.long (0x160+0x04)++0x03 line.long 0x00 "TRATSR6,TMU Report Average Temperature Site Register 6" bitfld.long 0x00 31. " V ,Measured temperature valid" "Invalid,Valid" endif sif cpuis("LX2??2A") group.long 0xF10++0x03 line.long 0x00 "TTR0CR,TMU Temperature Range 0 Control Register" bitfld.long 0x00 16.--19. " CAL_PTR ,Temperature configuration points" ",2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Starting temperature in celsius for range" group.long 0xF14++0x03 line.long 0x00 "TTR1CR,TMU Temperature Range 1 Control Register" bitfld.long 0x00 16.--19. " CAL_PTR ,Temperature configuration points" ",2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Starting temperature in celsius for range" group.long 0xF18++0x03 line.long 0x00 "TTR2CR,TMU Temperature Range 2 Control Register" bitfld.long 0x00 16.--19. " CAL_PTR ,Temperature configuration points" ",2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Starting temperature in celsius for range" group.long 0xF1C++0x03 line.long 0x00 "TTR3CR,TMU Temperature Range 3 Control Register" bitfld.long 0x00 16.--19. " CAL_PTR ,Temperature configuration points" ",2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" hexmask.long.byte 0x00 0.--7. 1. " TEMP ,Starting temperature in celsius for range" endif width 0x0B tree.end tree.open "UART (Universal Asynchronous Receiver/Transmitter)" tree "UART1" base ad:0x021C0000 width 17. group.long 0x00++0x07 line.long 0x00 "UARTDR,Data Register" bitfld.long 0x00 11. " OE ,Overrun error" "No error,Error" bitfld.long 0x00 10. " BE ,Break error" "No error,Error" bitfld.long 0x00 9. " PE ,Parity error" "No error,Error" bitfld.long 0x00 8. " FE ,Framing error" "No error,Error" newline hexmask.long.byte 0x00 0.--7. 1. " DATA ,Receive/transmit data character" line.long 0x04 "UARTRSR_UARTECR,Receive Status Register / Error Clear Register" bitfld.long 0x04 3. " OE ,Overrun error" "No error,Error" bitfld.long 0x04 2. " BE ,Break error" "No error,Error" bitfld.long 0x04 1. " PE ,Parity error" "No error,Error" bitfld.long 0x04 0. " FE ,Framing error" "No error,Error" rgroup.long 0x18++0x03 line.long 0x00 "UARTFR,Flag Register" bitfld.long 0x00 8. " RI ,Ring indicator" "Not occurred,Occurred" bitfld.long 0x00 7. " TXFE ,Transmit FIFO empty" "Not empty,Empty" bitfld.long 0x00 6. " RXFF ,Receive FIFO full" "Not full,Full" bitfld.long 0x00 5. " TXFF ,Transmit FIFO full" "Not full,Full" newline bitfld.long 0x00 4. " RXFE ,Receive FIFO empty" "Not empty,Empty" bitfld.long 0x00 3. " BUSY ,UART busy" "Not busy,Busy" bitfld.long 0x00 2. " DCD ,Data carrier detect" "Not occurred,Occurred" bitfld.long 0x00 1. " DSR ,Data set ready" "Not occurred,Occurred" newline bitfld.long 0x00 0. " CTS ,Clear to send" "Not occurred,Occurred" group.long 0x20++0x1B line.long 0x00 "UARTILPR,IrDA Low-Power Counter Register" hexmask.long.byte 0x00 0.--7. 1. " ILPDVSR ,8-bit low-power divisor value" line.long 0x04 "UARTIBRD,Integer Baud Rate Register" hexmask.long.word 0x04 0.--15. 1. " BAUD_DIVINT ,The integer baud rate divisor" line.long 0x08 "UARTFBRD,Fractional Baud Rate Register" bitfld.long 0x08 0.--5. " BAUD_DIVFRAC ,The fractional baud rate divisor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x0C "UARTLCR_H,Line Control Register" bitfld.long 0x0C 7. " SPS ,Stick parity select" "Disabled,Enabled" bitfld.long 0x0C 5.--6. " WLEN ,Word length" "5 bits,6 bits,7 bits,8 bits" bitfld.long 0x0C 4. " FEN ,FIFOs enable" "Disabled,Enabled" bitfld.long 0x0C 3. " STP2 ,Two stop bit select" "Not selected,Selected" newline bitfld.long 0x0C 2. " EPS ,Even parity select" "Odd,Even" bitfld.long 0x0C 1. " PEN ,Parity enable" "Disabled,Enabled" bitfld.long 0x0C 0. " BRK ,Send break" "Normal output,Low-level output" line.long 0x10 "UARTCR,Control Register" bitfld.long 0x10 15. " CTSE1 ,CTS hardware flow control enable" "Disabled,Enabled" bitfld.long 0x10 14. " RTSE1 ,RTS hardware flow control enable" "Disabled,Enabled" bitfld.long 0x10 13. " OUT2 ,Complement of the UART out2 (UART_out2_B) modem status output" "Not occurred,Occurred" bitfld.long 0x10 12. " OUT1 ,Complement of the UART out1 (UART_out1_B) modem status output" "Not occurred,Occurred" newline bitfld.long 0x10 11. " RTS ,Request to send" "Not occurred,Occurred" bitfld.long 0x10 10. " DTR ,Data transmit ready" "Not occurred,Occurred" bitfld.long 0x10 9. " RXE ,Receive enable" "Disabled,Enabled" bitfld.long 0x10 8. " TXE ,Transmit enable" "Disabled,Enabled" newline bitfld.long 0x10 7. " LBE ,Loopback enable" "Disabled,Enabled" bitfld.long 0x10 2. " SIRLP ,SIR low-power IrDA mode" "3/16 of the period pulse,3xIrLPBaud16 pulse" newline bitfld.long 0x10 1. " SIREN ,SIR enable" "Disabled,Enabled" bitfld.long 0x10 0. " UARTEN ,UART enable" "Disabled,Enabled" line.long 0x14 "UARTIFLS,Interrupt FIFO Level Select Register" bitfld.long 0x14 3.--5. " RXIFLSEL ,Receive interrupt FIFO level select" ">=1/8 full,>=1/4 full,>=1/2 full,>=3/4 full,>=7/8 full,?..." bitfld.long 0x14 0.--2. " TXIFLSEL ,Transmit interrupt FIFO level select" "<=1/8 full,<=1/4 full,<=1/2 full,<=3/4 full,<=7/8 full,?..." line.long 0x18 "UARTIMSC,Interrupt Mask Set/Clear Register" bitfld.long 0x18 10. " OEIM ,Overrun error interrupt mask" "Not masked,Masked" bitfld.long 0x18 9. " BEIM ,Break error interrupt mask" "Not masked,Masked" bitfld.long 0x18 8. " PEIM ,Parity error interrupt mask" "Not masked,Masked" bitfld.long 0x18 7. " FEIM ,Framing error interrupt mask" "Not masked,Masked" newline bitfld.long 0x18 6. " RTIM ,Receive timeout interrupt mask" "Not masked,Masked" bitfld.long 0x18 5. " TXIM ,Transmit interrupt mask" "Not masked,Masked" bitfld.long 0x18 4. " RXIM ,Receive interrupt mask" "Not masked,Masked" bitfld.long 0x18 3. " DSRMIM ,UART_DSR_B modem interrupt mask" "Not masked,Masked" newline bitfld.long 0x18 2. " DCDMIM ,UART_DCD_B modem interrupt mask" "Not masked,Masked" bitfld.long 0x18 1. " CTSMIM ,UART_CTS_B modem interrupt mask" "Not masked,Masked" bitfld.long 0x18 0. " RIMIM ,UART_RI_B modem interrupt mask" "Not masked,Masked" rgroup.long 0x3C++0x07 line.long 0x00 "UARTRIS,Raw Interrupt Status Register" bitfld.long 0x00 10. " OERIS ,Overrun error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 9. " BERIS ,Break error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 8. " PERIS ,Parity error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 7. " FERIS ,Framing error interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x00 6. " RTRIS ,Receive timeout interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 5. " TXRIS ,Transmit interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 4. " RXRIS ,Receive interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 3. " DSRRMIS ,UART_DSR_B modem interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DCDRMIS ,UART_DCD_B modem interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 1. " CTSRMIS ,UART_CTS_B modem interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 0. " RIRMIS ,UART_RI_B modem interrupt status" "No interrupt,Interrupt" line.long 0x04 "UARTMIS,Masked Interrupt Status Register" bitfld.long 0x04 10. " OEMIS ,Overrun error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 9. " BEMIS ,Break error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 8. " PEMIS ,Parity error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 7. " FEMIS ,Framing error masked interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x04 6. " RTMIS ,Receive timeout masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 5. " TXMIS ,Transmit masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 4. " RXMIS ,Receive masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 3. " DSRMMIS ,UART_DSR_B modem masked interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x04 2. " DCDMMIS ,UART_DCD_B modem masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 1. " CTSMMIS ,UART_CTS modem masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 0. " RIMMIS ,UART_RI_B modem masked interrupt status" "No interrupt,Interrupt" wgroup.long 0x44++0x03 line.long 0x00 "UARTICR,Interrupt Clear Register" bitfld.long 0x00 10. " OEIC ,Overrun error interrupt clear" "No effect,Clear" bitfld.long 0x00 9. " BEIC ,Break error interrupt clear" "No effect,Clear" bitfld.long 0x00 8. " PEIC ,Parity error interrupt clear" "No effect,Clear" bitfld.long 0x00 7. " FEIC ,Framing error interrupt clear" "No effect,Clear" newline bitfld.long 0x00 6. " RTIC ,Receive timeout interrupt clear" "No effect,Clear" bitfld.long 0x00 5. " TXIC ,Transmit interrupt clear" "No effect,Clear" bitfld.long 0x00 4. " RXIC ,Receive interrupt clear" "No effect,Clear" bitfld.long 0x00 3. " DSRMIC ,UART_DSR modem interrupt clear" "No effect,Clear" newline bitfld.long 0x00 2. " DCDMIC ,UART_DCD_B modem interrupt clear" "No effect,Clear" bitfld.long 0x00 1. " CTSMIC ,UART_CTS_B modem interrupt clear" "No effect,Clear" bitfld.long 0x00 0. " RIMIC ,UART_RI_B modem interrupt clear" "No effect,Clear" group.long 0x48++0x03 line.long 0x00 "UARTDMACR,DMA Control Register" bitfld.long 0x00 2. " DMAONERR ,DMA on error" "No effect,Request outputs received" bitfld.long 0x00 1. " TXDMAE ,Transmit DMA enable" "Disabled,Enabled" bitfld.long 0x00 0. " RXDMAE ,Receive DMA enable" "Disabled,Enabled" rgroup.long 0xFE0++0x0F line.long 0x00 "UARTPERIPHID0,UART Peripheral ID 0 Register" hexmask.long.byte 0x00 0.--7. 1. " PARTNUMBER0 ,Part number 0" line.long 0x04 "UARTPERIPHID1,UART Peripheral ID 1 Register" bitfld.long 0x04 4.--7. " DESIGNER0 ,Designer 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PARTNUMBER1 ,Part number 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "UARTPERIPHID2,UART Peripheral ID 2 Register" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.long 0x08 0.--3. " DESIGNER1 ,Designer 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "UARTPERIPHID3,UART Peripheral ID 3 Register" hexmask.long.byte 0x0C 0.--7. 1. " CONFIGURATION ,Configuration" rgroup.long 0xFF0++0x03 line.long 0x00 "UARTPCELLID0,UARTPCellID0 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID0 ,UART PCell ID 0" rgroup.long 0xFF4++0x03 line.long 0x00 "UARTPCELLID1,UARTPCellID1 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID1 ,UART PCell ID 1" rgroup.long 0xFF8++0x03 line.long 0x00 "UARTPCELLID2,UARTPCellID2 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID2 ,UART PCell ID 2" rgroup.long 0xFFC++0x03 line.long 0x00 "UARTPCELLID3,UARTPCellID3 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID3 ,UART PCell ID 3" width 0x0B tree.end tree "UART2" base ad:0x021D0000 width 17. group.long 0x00++0x07 line.long 0x00 "UARTDR,Data Register" bitfld.long 0x00 11. " OE ,Overrun error" "No error,Error" bitfld.long 0x00 10. " BE ,Break error" "No error,Error" bitfld.long 0x00 9. " PE ,Parity error" "No error,Error" bitfld.long 0x00 8. " FE ,Framing error" "No error,Error" newline hexmask.long.byte 0x00 0.--7. 1. " DATA ,Receive/transmit data character" line.long 0x04 "UARTRSR_UARTECR,Receive Status Register / Error Clear Register" bitfld.long 0x04 3. " OE ,Overrun error" "No error,Error" bitfld.long 0x04 2. " BE ,Break error" "No error,Error" bitfld.long 0x04 1. " PE ,Parity error" "No error,Error" bitfld.long 0x04 0. " FE ,Framing error" "No error,Error" rgroup.long 0x18++0x03 line.long 0x00 "UARTFR,Flag Register" bitfld.long 0x00 8. " RI ,Ring indicator" "Not occurred,Occurred" bitfld.long 0x00 7. " TXFE ,Transmit FIFO empty" "Not empty,Empty" bitfld.long 0x00 6. " RXFF ,Receive FIFO full" "Not full,Full" bitfld.long 0x00 5. " TXFF ,Transmit FIFO full" "Not full,Full" newline bitfld.long 0x00 4. " RXFE ,Receive FIFO empty" "Not empty,Empty" bitfld.long 0x00 3. " BUSY ,UART busy" "Not busy,Busy" bitfld.long 0x00 2. " DCD ,Data carrier detect" "Not occurred,Occurred" bitfld.long 0x00 1. " DSR ,Data set ready" "Not occurred,Occurred" newline bitfld.long 0x00 0. " CTS ,Clear to send" "Not occurred,Occurred" group.long 0x20++0x1B line.long 0x00 "UARTILPR,IrDA Low-Power Counter Register" hexmask.long.byte 0x00 0.--7. 1. " ILPDVSR ,8-bit low-power divisor value" line.long 0x04 "UARTIBRD,Integer Baud Rate Register" hexmask.long.word 0x04 0.--15. 1. " BAUD_DIVINT ,The integer baud rate divisor" line.long 0x08 "UARTFBRD,Fractional Baud Rate Register" bitfld.long 0x08 0.--5. " BAUD_DIVFRAC ,The fractional baud rate divisor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x0C "UARTLCR_H,Line Control Register" bitfld.long 0x0C 7. " SPS ,Stick parity select" "Disabled,Enabled" bitfld.long 0x0C 5.--6. " WLEN ,Word length" "5 bits,6 bits,7 bits,8 bits" bitfld.long 0x0C 4. " FEN ,FIFOs enable" "Disabled,Enabled" bitfld.long 0x0C 3. " STP2 ,Two stop bit select" "Not selected,Selected" newline bitfld.long 0x0C 2. " EPS ,Even parity select" "Odd,Even" bitfld.long 0x0C 1. " PEN ,Parity enable" "Disabled,Enabled" bitfld.long 0x0C 0. " BRK ,Send break" "Normal output,Low-level output" line.long 0x10 "UARTCR,Control Register" bitfld.long 0x10 15. " CTSE2 ,CTS hardware flow control enable" "Disabled,Enabled" bitfld.long 0x10 14. " RTSE2 ,RTS hardware flow control enable" "Disabled,Enabled" bitfld.long 0x10 13. " OUT2 ,Complement of the UART out2 (UART_out2_B) modem status output" "Not occurred,Occurred" bitfld.long 0x10 12. " OUT1 ,Complement of the UART out1 (UART_out1_B) modem status output" "Not occurred,Occurred" newline bitfld.long 0x10 11. " RTS ,Request to send" "Not occurred,Occurred" bitfld.long 0x10 10. " DTR ,Data transmit ready" "Not occurred,Occurred" bitfld.long 0x10 9. " RXE ,Receive enable" "Disabled,Enabled" bitfld.long 0x10 8. " TXE ,Transmit enable" "Disabled,Enabled" newline bitfld.long 0x10 7. " LBE ,Loopback enable" "Disabled,Enabled" bitfld.long 0x10 2. " SIRLP ,SIR low-power IrDA mode" "3/16 of the period pulse,3xIrLPBaud16 pulse" newline bitfld.long 0x10 1. " SIREN ,SIR enable" "Disabled,Enabled" bitfld.long 0x10 0. " UARTEN ,UART enable" "Disabled,Enabled" line.long 0x14 "UARTIFLS,Interrupt FIFO Level Select Register" bitfld.long 0x14 3.--5. " RXIFLSEL ,Receive interrupt FIFO level select" ">=1/8 full,>=1/4 full,>=1/2 full,>=3/4 full,>=7/8 full,?..." bitfld.long 0x14 0.--2. " TXIFLSEL ,Transmit interrupt FIFO level select" "<=1/8 full,<=1/4 full,<=1/2 full,<=3/4 full,<=7/8 full,?..." line.long 0x18 "UARTIMSC,Interrupt Mask Set/Clear Register" bitfld.long 0x18 10. " OEIM ,Overrun error interrupt mask" "Not masked,Masked" bitfld.long 0x18 9. " BEIM ,Break error interrupt mask" "Not masked,Masked" bitfld.long 0x18 8. " PEIM ,Parity error interrupt mask" "Not masked,Masked" bitfld.long 0x18 7. " FEIM ,Framing error interrupt mask" "Not masked,Masked" newline bitfld.long 0x18 6. " RTIM ,Receive timeout interrupt mask" "Not masked,Masked" bitfld.long 0x18 5. " TXIM ,Transmit interrupt mask" "Not masked,Masked" bitfld.long 0x18 4. " RXIM ,Receive interrupt mask" "Not masked,Masked" bitfld.long 0x18 3. " DSRMIM ,UART_DSR_B modem interrupt mask" "Not masked,Masked" newline bitfld.long 0x18 2. " DCDMIM ,UART_DCD_B modem interrupt mask" "Not masked,Masked" bitfld.long 0x18 1. " CTSMIM ,UART_CTS_B modem interrupt mask" "Not masked,Masked" bitfld.long 0x18 0. " RIMIM ,UART_RI_B modem interrupt mask" "Not masked,Masked" rgroup.long 0x3C++0x07 line.long 0x00 "UARTRIS,Raw Interrupt Status Register" bitfld.long 0x00 10. " OERIS ,Overrun error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 9. " BERIS ,Break error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 8. " PERIS ,Parity error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 7. " FERIS ,Framing error interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x00 6. " RTRIS ,Receive timeout interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 5. " TXRIS ,Transmit interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 4. " RXRIS ,Receive interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 3. " DSRRMIS ,UART_DSR_B modem interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DCDRMIS ,UART_DCD_B modem interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 1. " CTSRMIS ,UART_CTS_B modem interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 0. " RIRMIS ,UART_RI_B modem interrupt status" "No interrupt,Interrupt" line.long 0x04 "UARTMIS,Masked Interrupt Status Register" bitfld.long 0x04 10. " OEMIS ,Overrun error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 9. " BEMIS ,Break error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 8. " PEMIS ,Parity error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 7. " FEMIS ,Framing error masked interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x04 6. " RTMIS ,Receive timeout masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 5. " TXMIS ,Transmit masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 4. " RXMIS ,Receive masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 3. " DSRMMIS ,UART_DSR_B modem masked interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x04 2. " DCDMMIS ,UART_DCD_B modem masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 1. " CTSMMIS ,UART_CTS modem masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 0. " RIMMIS ,UART_RI_B modem masked interrupt status" "No interrupt,Interrupt" wgroup.long 0x44++0x03 line.long 0x00 "UARTICR,Interrupt Clear Register" bitfld.long 0x00 10. " OEIC ,Overrun error interrupt clear" "No effect,Clear" bitfld.long 0x00 9. " BEIC ,Break error interrupt clear" "No effect,Clear" bitfld.long 0x00 8. " PEIC ,Parity error interrupt clear" "No effect,Clear" bitfld.long 0x00 7. " FEIC ,Framing error interrupt clear" "No effect,Clear" newline bitfld.long 0x00 6. " RTIC ,Receive timeout interrupt clear" "No effect,Clear" bitfld.long 0x00 5. " TXIC ,Transmit interrupt clear" "No effect,Clear" bitfld.long 0x00 4. " RXIC ,Receive interrupt clear" "No effect,Clear" bitfld.long 0x00 3. " DSRMIC ,UART_DSR modem interrupt clear" "No effect,Clear" newline bitfld.long 0x00 2. " DCDMIC ,UART_DCD_B modem interrupt clear" "No effect,Clear" bitfld.long 0x00 1. " CTSMIC ,UART_CTS_B modem interrupt clear" "No effect,Clear" bitfld.long 0x00 0. " RIMIC ,UART_RI_B modem interrupt clear" "No effect,Clear" group.long 0x48++0x03 line.long 0x00 "UARTDMACR,DMA Control Register" bitfld.long 0x00 2. " DMAONERR ,DMA on error" "No effect,Request outputs received" bitfld.long 0x00 1. " TXDMAE ,Transmit DMA enable" "Disabled,Enabled" bitfld.long 0x00 0. " RXDMAE ,Receive DMA enable" "Disabled,Enabled" rgroup.long 0xFE0++0x0F line.long 0x00 "UARTPERIPHID0,UART Peripheral ID 0 Register" hexmask.long.byte 0x00 0.--7. 1. " PARTNUMBER0 ,Part number 0" line.long 0x04 "UARTPERIPHID1,UART Peripheral ID 1 Register" bitfld.long 0x04 4.--7. " DESIGNER0 ,Designer 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PARTNUMBER1 ,Part number 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "UARTPERIPHID2,UART Peripheral ID 2 Register" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.long 0x08 0.--3. " DESIGNER1 ,Designer 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "UARTPERIPHID3,UART Peripheral ID 3 Register" hexmask.long.byte 0x0C 0.--7. 1. " CONFIGURATION ,Configuration" rgroup.long 0xFF0++0x03 line.long 0x00 "UARTPCELLID0,UARTPCellID0 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID0 ,UART PCell ID 0" rgroup.long 0xFF4++0x03 line.long 0x00 "UARTPCELLID1,UARTPCellID1 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID1 ,UART PCell ID 1" rgroup.long 0xFF8++0x03 line.long 0x00 "UARTPCELLID2,UARTPCellID2 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID2 ,UART PCell ID 2" rgroup.long 0xFFC++0x03 line.long 0x00 "UARTPCELLID3,UARTPCellID3 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID3 ,UART PCell ID 3" width 0x0B tree.end tree "UART3" base ad:0x021E0000 width 17. group.long 0x00++0x07 line.long 0x00 "UARTDR,Data Register" bitfld.long 0x00 11. " OE ,Overrun error" "No error,Error" bitfld.long 0x00 10. " BE ,Break error" "No error,Error" bitfld.long 0x00 9. " PE ,Parity error" "No error,Error" bitfld.long 0x00 8. " FE ,Framing error" "No error,Error" newline hexmask.long.byte 0x00 0.--7. 1. " DATA ,Receive/transmit data character" line.long 0x04 "UARTRSR_UARTECR,Receive Status Register / Error Clear Register" bitfld.long 0x04 3. " OE ,Overrun error" "No error,Error" bitfld.long 0x04 2. " BE ,Break error" "No error,Error" bitfld.long 0x04 1. " PE ,Parity error" "No error,Error" bitfld.long 0x04 0. " FE ,Framing error" "No error,Error" rgroup.long 0x18++0x03 line.long 0x00 "UARTFR,Flag Register" bitfld.long 0x00 8. " RI ,Ring indicator" "Not occurred,Occurred" bitfld.long 0x00 7. " TXFE ,Transmit FIFO empty" "Not empty,Empty" bitfld.long 0x00 6. " RXFF ,Receive FIFO full" "Not full,Full" bitfld.long 0x00 5. " TXFF ,Transmit FIFO full" "Not full,Full" newline bitfld.long 0x00 4. " RXFE ,Receive FIFO empty" "Not empty,Empty" bitfld.long 0x00 3. " BUSY ,UART busy" "Not busy,Busy" bitfld.long 0x00 2. " DCD ,Data carrier detect" "Not occurred,Occurred" bitfld.long 0x00 1. " DSR ,Data set ready" "Not occurred,Occurred" newline bitfld.long 0x00 0. " CTS ,Clear to send" "Not occurred,Occurred" group.long 0x20++0x1B line.long 0x00 "UARTILPR,IrDA Low-Power Counter Register" hexmask.long.byte 0x00 0.--7. 1. " ILPDVSR ,8-bit low-power divisor value" line.long 0x04 "UARTIBRD,Integer Baud Rate Register" hexmask.long.word 0x04 0.--15. 1. " BAUD_DIVINT ,The integer baud rate divisor" line.long 0x08 "UARTFBRD,Fractional Baud Rate Register" bitfld.long 0x08 0.--5. " BAUD_DIVFRAC ,The fractional baud rate divisor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x0C "UARTLCR_H,Line Control Register" bitfld.long 0x0C 7. " SPS ,Stick parity select" "Disabled,Enabled" bitfld.long 0x0C 5.--6. " WLEN ,Word length" "5 bits,6 bits,7 bits,8 bits" bitfld.long 0x0C 4. " FEN ,FIFOs enable" "Disabled,Enabled" bitfld.long 0x0C 3. " STP2 ,Two stop bit select" "Not selected,Selected" newline bitfld.long 0x0C 2. " EPS ,Even parity select" "Odd,Even" bitfld.long 0x0C 1. " PEN ,Parity enable" "Disabled,Enabled" bitfld.long 0x0C 0. " BRK ,Send break" "Normal output,Low-level output" line.long 0x10 "UARTCR,Control Register" bitfld.long 0x10 15. " CTSE3 ,CTS hardware flow control enable" "Disabled,Enabled" bitfld.long 0x10 14. " RTSE3 ,RTS hardware flow control enable" "Disabled,Enabled" bitfld.long 0x10 13. " OUT2 ,Complement of the UART out2 (UART_out2_B) modem status output" "Not occurred,Occurred" bitfld.long 0x10 12. " OUT1 ,Complement of the UART out1 (UART_out1_B) modem status output" "Not occurred,Occurred" newline bitfld.long 0x10 11. " RTS ,Request to send" "Not occurred,Occurred" bitfld.long 0x10 10. " DTR ,Data transmit ready" "Not occurred,Occurred" bitfld.long 0x10 9. " RXE ,Receive enable" "Disabled,Enabled" bitfld.long 0x10 8. " TXE ,Transmit enable" "Disabled,Enabled" newline bitfld.long 0x10 7. " LBE ,Loopback enable" "Disabled,Enabled" bitfld.long 0x10 2. " SIRLP ,SIR low-power IrDA mode" "3/16 of the period pulse,3xIrLPBaud16 pulse" newline bitfld.long 0x10 1. " SIREN ,SIR enable" "Disabled,Enabled" bitfld.long 0x10 0. " UARTEN ,UART enable" "Disabled,Enabled" line.long 0x14 "UARTIFLS,Interrupt FIFO Level Select Register" bitfld.long 0x14 3.--5. " RXIFLSEL ,Receive interrupt FIFO level select" ">=1/8 full,>=1/4 full,>=1/2 full,>=3/4 full,>=7/8 full,?..." bitfld.long 0x14 0.--2. " TXIFLSEL ,Transmit interrupt FIFO level select" "<=1/8 full,<=1/4 full,<=1/2 full,<=3/4 full,<=7/8 full,?..." line.long 0x18 "UARTIMSC,Interrupt Mask Set/Clear Register" bitfld.long 0x18 10. " OEIM ,Overrun error interrupt mask" "Not masked,Masked" bitfld.long 0x18 9. " BEIM ,Break error interrupt mask" "Not masked,Masked" bitfld.long 0x18 8. " PEIM ,Parity error interrupt mask" "Not masked,Masked" bitfld.long 0x18 7. " FEIM ,Framing error interrupt mask" "Not masked,Masked" newline bitfld.long 0x18 6. " RTIM ,Receive timeout interrupt mask" "Not masked,Masked" bitfld.long 0x18 5. " TXIM ,Transmit interrupt mask" "Not masked,Masked" bitfld.long 0x18 4. " RXIM ,Receive interrupt mask" "Not masked,Masked" bitfld.long 0x18 3. " DSRMIM ,UART_DSR_B modem interrupt mask" "Not masked,Masked" newline bitfld.long 0x18 2. " DCDMIM ,UART_DCD_B modem interrupt mask" "Not masked,Masked" bitfld.long 0x18 1. " CTSMIM ,UART_CTS_B modem interrupt mask" "Not masked,Masked" bitfld.long 0x18 0. " RIMIM ,UART_RI_B modem interrupt mask" "Not masked,Masked" rgroup.long 0x3C++0x07 line.long 0x00 "UARTRIS,Raw Interrupt Status Register" bitfld.long 0x00 10. " OERIS ,Overrun error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 9. " BERIS ,Break error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 8. " PERIS ,Parity error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 7. " FERIS ,Framing error interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x00 6. " RTRIS ,Receive timeout interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 5. " TXRIS ,Transmit interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 4. " RXRIS ,Receive interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 3. " DSRRMIS ,UART_DSR_B modem interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DCDRMIS ,UART_DCD_B modem interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 1. " CTSRMIS ,UART_CTS_B modem interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 0. " RIRMIS ,UART_RI_B modem interrupt status" "No interrupt,Interrupt" line.long 0x04 "UARTMIS,Masked Interrupt Status Register" bitfld.long 0x04 10. " OEMIS ,Overrun error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 9. " BEMIS ,Break error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 8. " PEMIS ,Parity error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 7. " FEMIS ,Framing error masked interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x04 6. " RTMIS ,Receive timeout masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 5. " TXMIS ,Transmit masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 4. " RXMIS ,Receive masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 3. " DSRMMIS ,UART_DSR_B modem masked interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x04 2. " DCDMMIS ,UART_DCD_B modem masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 1. " CTSMMIS ,UART_CTS modem masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 0. " RIMMIS ,UART_RI_B modem masked interrupt status" "No interrupt,Interrupt" wgroup.long 0x44++0x03 line.long 0x00 "UARTICR,Interrupt Clear Register" bitfld.long 0x00 10. " OEIC ,Overrun error interrupt clear" "No effect,Clear" bitfld.long 0x00 9. " BEIC ,Break error interrupt clear" "No effect,Clear" bitfld.long 0x00 8. " PEIC ,Parity error interrupt clear" "No effect,Clear" bitfld.long 0x00 7. " FEIC ,Framing error interrupt clear" "No effect,Clear" newline bitfld.long 0x00 6. " RTIC ,Receive timeout interrupt clear" "No effect,Clear" bitfld.long 0x00 5. " TXIC ,Transmit interrupt clear" "No effect,Clear" bitfld.long 0x00 4. " RXIC ,Receive interrupt clear" "No effect,Clear" bitfld.long 0x00 3. " DSRMIC ,UART_DSR modem interrupt clear" "No effect,Clear" newline bitfld.long 0x00 2. " DCDMIC ,UART_DCD_B modem interrupt clear" "No effect,Clear" bitfld.long 0x00 1. " CTSMIC ,UART_CTS_B modem interrupt clear" "No effect,Clear" bitfld.long 0x00 0. " RIMIC ,UART_RI_B modem interrupt clear" "No effect,Clear" group.long 0x48++0x03 line.long 0x00 "UARTDMACR,DMA Control Register" bitfld.long 0x00 2. " DMAONERR ,DMA on error" "No effect,Request outputs received" bitfld.long 0x00 1. " TXDMAE ,Transmit DMA enable" "Disabled,Enabled" bitfld.long 0x00 0. " RXDMAE ,Receive DMA enable" "Disabled,Enabled" rgroup.long 0xFE0++0x0F line.long 0x00 "UARTPERIPHID0,UART Peripheral ID 0 Register" hexmask.long.byte 0x00 0.--7. 1. " PARTNUMBER0 ,Part number 0" line.long 0x04 "UARTPERIPHID1,UART Peripheral ID 1 Register" bitfld.long 0x04 4.--7. " DESIGNER0 ,Designer 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PARTNUMBER1 ,Part number 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "UARTPERIPHID2,UART Peripheral ID 2 Register" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.long 0x08 0.--3. " DESIGNER1 ,Designer 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "UARTPERIPHID3,UART Peripheral ID 3 Register" hexmask.long.byte 0x0C 0.--7. 1. " CONFIGURATION ,Configuration" rgroup.long 0xFF0++0x03 line.long 0x00 "UARTPCELLID0,UARTPCellID0 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID0 ,UART PCell ID 0" rgroup.long 0xFF4++0x03 line.long 0x00 "UARTPCELLID1,UARTPCellID1 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID1 ,UART PCell ID 1" rgroup.long 0xFF8++0x03 line.long 0x00 "UARTPCELLID2,UARTPCellID2 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID2 ,UART PCell ID 2" rgroup.long 0xFFC++0x03 line.long 0x00 "UARTPCELLID3,UARTPCellID3 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID3 ,UART PCell ID 3" width 0x0B tree.end tree "UART4" base ad:0x021F0000 width 17. group.long 0x00++0x07 line.long 0x00 "UARTDR,Data Register" bitfld.long 0x00 11. " OE ,Overrun error" "No error,Error" bitfld.long 0x00 10. " BE ,Break error" "No error,Error" bitfld.long 0x00 9. " PE ,Parity error" "No error,Error" bitfld.long 0x00 8. " FE ,Framing error" "No error,Error" newline hexmask.long.byte 0x00 0.--7. 1. " DATA ,Receive/transmit data character" line.long 0x04 "UARTRSR_UARTECR,Receive Status Register / Error Clear Register" bitfld.long 0x04 3. " OE ,Overrun error" "No error,Error" bitfld.long 0x04 2. " BE ,Break error" "No error,Error" bitfld.long 0x04 1. " PE ,Parity error" "No error,Error" bitfld.long 0x04 0. " FE ,Framing error" "No error,Error" rgroup.long 0x18++0x03 line.long 0x00 "UARTFR,Flag Register" bitfld.long 0x00 8. " RI ,Ring indicator" "Not occurred,Occurred" bitfld.long 0x00 7. " TXFE ,Transmit FIFO empty" "Not empty,Empty" bitfld.long 0x00 6. " RXFF ,Receive FIFO full" "Not full,Full" bitfld.long 0x00 5. " TXFF ,Transmit FIFO full" "Not full,Full" newline bitfld.long 0x00 4. " RXFE ,Receive FIFO empty" "Not empty,Empty" bitfld.long 0x00 3. " BUSY ,UART busy" "Not busy,Busy" bitfld.long 0x00 2. " DCD ,Data carrier detect" "Not occurred,Occurred" bitfld.long 0x00 1. " DSR ,Data set ready" "Not occurred,Occurred" newline bitfld.long 0x00 0. " CTS ,Clear to send" "Not occurred,Occurred" group.long 0x20++0x1B line.long 0x00 "UARTILPR,IrDA Low-Power Counter Register" hexmask.long.byte 0x00 0.--7. 1. " ILPDVSR ,8-bit low-power divisor value" line.long 0x04 "UARTIBRD,Integer Baud Rate Register" hexmask.long.word 0x04 0.--15. 1. " BAUD_DIVINT ,The integer baud rate divisor" line.long 0x08 "UARTFBRD,Fractional Baud Rate Register" bitfld.long 0x08 0.--5. " BAUD_DIVFRAC ,The fractional baud rate divisor" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x0C "UARTLCR_H,Line Control Register" bitfld.long 0x0C 7. " SPS ,Stick parity select" "Disabled,Enabled" bitfld.long 0x0C 5.--6. " WLEN ,Word length" "5 bits,6 bits,7 bits,8 bits" bitfld.long 0x0C 4. " FEN ,FIFOs enable" "Disabled,Enabled" bitfld.long 0x0C 3. " STP2 ,Two stop bit select" "Not selected,Selected" newline bitfld.long 0x0C 2. " EPS ,Even parity select" "Odd,Even" bitfld.long 0x0C 1. " PEN ,Parity enable" "Disabled,Enabled" bitfld.long 0x0C 0. " BRK ,Send break" "Normal output,Low-level output" line.long 0x10 "UARTCR,Control Register" bitfld.long 0x10 15. " CTSE4 ,CTS hardware flow control enable" "Disabled,Enabled" bitfld.long 0x10 14. " RTSE4 ,RTS hardware flow control enable" "Disabled,Enabled" bitfld.long 0x10 13. " OUT2 ,Complement of the UART out2 (UART_out2_B) modem status output" "Not occurred,Occurred" bitfld.long 0x10 12. " OUT1 ,Complement of the UART out1 (UART_out1_B) modem status output" "Not occurred,Occurred" newline bitfld.long 0x10 11. " RTS ,Request to send" "Not occurred,Occurred" bitfld.long 0x10 10. " DTR ,Data transmit ready" "Not occurred,Occurred" bitfld.long 0x10 9. " RXE ,Receive enable" "Disabled,Enabled" bitfld.long 0x10 8. " TXE ,Transmit enable" "Disabled,Enabled" newline bitfld.long 0x10 7. " LBE ,Loopback enable" "Disabled,Enabled" bitfld.long 0x10 2. " SIRLP ,SIR low-power IrDA mode" "3/16 of the period pulse,3xIrLPBaud16 pulse" newline bitfld.long 0x10 1. " SIREN ,SIR enable" "Disabled,Enabled" bitfld.long 0x10 0. " UARTEN ,UART enable" "Disabled,Enabled" line.long 0x14 "UARTIFLS,Interrupt FIFO Level Select Register" bitfld.long 0x14 3.--5. " RXIFLSEL ,Receive interrupt FIFO level select" ">=1/8 full,>=1/4 full,>=1/2 full,>=3/4 full,>=7/8 full,?..." bitfld.long 0x14 0.--2. " TXIFLSEL ,Transmit interrupt FIFO level select" "<=1/8 full,<=1/4 full,<=1/2 full,<=3/4 full,<=7/8 full,?..." line.long 0x18 "UARTIMSC,Interrupt Mask Set/Clear Register" bitfld.long 0x18 10. " OEIM ,Overrun error interrupt mask" "Not masked,Masked" bitfld.long 0x18 9. " BEIM ,Break error interrupt mask" "Not masked,Masked" bitfld.long 0x18 8. " PEIM ,Parity error interrupt mask" "Not masked,Masked" bitfld.long 0x18 7. " FEIM ,Framing error interrupt mask" "Not masked,Masked" newline bitfld.long 0x18 6. " RTIM ,Receive timeout interrupt mask" "Not masked,Masked" bitfld.long 0x18 5. " TXIM ,Transmit interrupt mask" "Not masked,Masked" bitfld.long 0x18 4. " RXIM ,Receive interrupt mask" "Not masked,Masked" bitfld.long 0x18 3. " DSRMIM ,UART_DSR_B modem interrupt mask" "Not masked,Masked" newline bitfld.long 0x18 2. " DCDMIM ,UART_DCD_B modem interrupt mask" "Not masked,Masked" bitfld.long 0x18 1. " CTSMIM ,UART_CTS_B modem interrupt mask" "Not masked,Masked" bitfld.long 0x18 0. " RIMIM ,UART_RI_B modem interrupt mask" "Not masked,Masked" rgroup.long 0x3C++0x07 line.long 0x00 "UARTRIS,Raw Interrupt Status Register" bitfld.long 0x00 10. " OERIS ,Overrun error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 9. " BERIS ,Break error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 8. " PERIS ,Parity error interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 7. " FERIS ,Framing error interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x00 6. " RTRIS ,Receive timeout interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 5. " TXRIS ,Transmit interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 4. " RXRIS ,Receive interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 3. " DSRRMIS ,UART_DSR_B modem interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x00 2. " DCDRMIS ,UART_DCD_B modem interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 1. " CTSRMIS ,UART_CTS_B modem interrupt status" "No interrupt,Interrupt" bitfld.long 0x00 0. " RIRMIS ,UART_RI_B modem interrupt status" "No interrupt,Interrupt" line.long 0x04 "UARTMIS,Masked Interrupt Status Register" bitfld.long 0x04 10. " OEMIS ,Overrun error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 9. " BEMIS ,Break error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 8. " PEMIS ,Parity error masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 7. " FEMIS ,Framing error masked interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x04 6. " RTMIS ,Receive timeout masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 5. " TXMIS ,Transmit masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 4. " RXMIS ,Receive masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 3. " DSRMMIS ,UART_DSR_B modem masked interrupt status" "No interrupt,Interrupt" newline bitfld.long 0x04 2. " DCDMMIS ,UART_DCD_B modem masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 1. " CTSMMIS ,UART_CTS modem masked interrupt status" "No interrupt,Interrupt" bitfld.long 0x04 0. " RIMMIS ,UART_RI_B modem masked interrupt status" "No interrupt,Interrupt" wgroup.long 0x44++0x03 line.long 0x00 "UARTICR,Interrupt Clear Register" bitfld.long 0x00 10. " OEIC ,Overrun error interrupt clear" "No effect,Clear" bitfld.long 0x00 9. " BEIC ,Break error interrupt clear" "No effect,Clear" bitfld.long 0x00 8. " PEIC ,Parity error interrupt clear" "No effect,Clear" bitfld.long 0x00 7. " FEIC ,Framing error interrupt clear" "No effect,Clear" newline bitfld.long 0x00 6. " RTIC ,Receive timeout interrupt clear" "No effect,Clear" bitfld.long 0x00 5. " TXIC ,Transmit interrupt clear" "No effect,Clear" bitfld.long 0x00 4. " RXIC ,Receive interrupt clear" "No effect,Clear" bitfld.long 0x00 3. " DSRMIC ,UART_DSR modem interrupt clear" "No effect,Clear" newline bitfld.long 0x00 2. " DCDMIC ,UART_DCD_B modem interrupt clear" "No effect,Clear" bitfld.long 0x00 1. " CTSMIC ,UART_CTS_B modem interrupt clear" "No effect,Clear" bitfld.long 0x00 0. " RIMIC ,UART_RI_B modem interrupt clear" "No effect,Clear" group.long 0x48++0x03 line.long 0x00 "UARTDMACR,DMA Control Register" bitfld.long 0x00 2. " DMAONERR ,DMA on error" "No effect,Request outputs received" bitfld.long 0x00 1. " TXDMAE ,Transmit DMA enable" "Disabled,Enabled" bitfld.long 0x00 0. " RXDMAE ,Receive DMA enable" "Disabled,Enabled" rgroup.long 0xFE0++0x0F line.long 0x00 "UARTPERIPHID0,UART Peripheral ID 0 Register" hexmask.long.byte 0x00 0.--7. 1. " PARTNUMBER0 ,Part number 0" line.long 0x04 "UARTPERIPHID1,UART Peripheral ID 1 Register" bitfld.long 0x04 4.--7. " DESIGNER0 ,Designer 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. " PARTNUMBER1 ,Part number 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "UARTPERIPHID2,UART Peripheral ID 2 Register" hexmask.long.byte 0x08 4.--7. 1. " REVISION ,Revision" bitfld.long 0x08 0.--3. " DESIGNER1 ,Designer 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "UARTPERIPHID3,UART Peripheral ID 3 Register" hexmask.long.byte 0x0C 0.--7. 1. " CONFIGURATION ,Configuration" rgroup.long 0xFF0++0x03 line.long 0x00 "UARTPCELLID0,UARTPCellID0 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID0 ,UART PCell ID 0" rgroup.long 0xFF4++0x03 line.long 0x00 "UARTPCELLID1,UARTPCellID1 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID1 ,UART PCell ID 1" rgroup.long 0xFF8++0x03 line.long 0x00 "UARTPCELLID2,UARTPCellID2 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID2 ,UART PCell ID 2" rgroup.long 0xFFC++0x03 line.long 0x00 "UARTPCELLID3,UARTPCellID3 Register" hexmask.long.byte 0x00 0.--7. 1. " UARTPCELLID3 ,UART PCell ID 3" width 0x0B tree.end tree.end tree.open "USB (Universal Serial Bus Interface 3.0)" tree.open "USB 3.0" tree "USBC1" base ad:0x03100000 width 16. rgroup.long 0x00++0x1F line.long 0x00 "CAPLENGTH,Capability Registers Length And HC Interface Version Number Register" hexmask.long.word 0x00 16.--31. 1. " HCIVERSION ,HC interface version number" hexmask.long.byte 0x00 0.--7. 1. " CAPLENGTH ,Capability registers length" line.long 0x04 "HCSPARAMS1,Structural Parameters Register 1" hexmask.long.byte 0x04 24.--31. 1. " MAXPORTS ,Number of ports" hexmask.long.word 0x04 8.--18. 1. " MAXINTRS ,Number of interrupters" newline hexmask.long.byte 0x04 0.--7. 1. " MAXSLOTS ,Number of device slots" line.long 0x08 "HCSPARAMS2,Structural Parameters Register 2" bitfld.long 0x08 27.--31. " MAXSCRATCHPADBUFS ,Max scratchpad buffers low" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 26. " SPR ,Scratchpad restore" "0,1" newline bitfld.long 0x08 21.--25. " MAXSCRATCHPADBUFS_HI ,Max scratchpad buffers high" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 4.--7. " ERSTMAX ,Event ring segment table max" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 0.--3. " IST ,Isochronous scheduling threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "HCSPARAMS3,Structural Parameters Register 3" hexmask.long.word 0x0C 16.--31. 1. " U2_DEVICE_EXIT_LAT ,U2 device exit latency" hexmask.long.byte 0x0C 0.--7. 1. " U1_DEVICE_EXIT_LAT ,U1 device exit latency" line.long 0x10 "HCCPARAMS1,Capability Parameters Register 1" hexmask.long.word 0x10 16.--31. 0x01 " XECP ,XHCI extended capabilities pointer" bitfld.long 0x10 12.--15. " MAXPSASIZE ,Maximum primary stream array size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 11. " CFC ,Contiguous frame ID capability" "0,1" bitfld.long 0x10 10. " SEC ,Stopped EDLTA capability" "0,1" newline bitfld.long 0x10 9. " SPC ,Short packet capability" "0,1" bitfld.long 0x10 8. " PAE ,Parse all event data" "0,1" newline bitfld.long 0x10 7. " NSS ,No secondary SID support" "0,1" bitfld.long 0x10 6. " LTC ,Latency tolerance messaging capability" "0,1" newline bitfld.long 0x10 5. " LHRC ,Light HC reset capability" "0,1" bitfld.long 0x10 4. " PIND ,Port indicators" "0,1" newline bitfld.long 0x10 3. " PPC ,Port power control" "0,1" bitfld.long 0x10 2. " CSZ ,Context size" "0,1" newline bitfld.long 0x10 1. " BNC ,BW negotiation capability" "0,1" bitfld.long 0x10 0. " AC64 ,64-bit addressing capability" "0,1" line.long 0x14 "DBOFF,Doorbell Offset Register" hexmask.long 0x14 2.--31. 0x04 " DOORBELLARRAYOFFSET ,Doorbell array offset" line.long 0x18 "RTSOFF,Runtime Register Space Offset Register" hexmask.long 0x18 5.--31. 0x20 " RUNTIME_REG_SPACE_OFFSET ,Runtime register space offset" line.long 0x1C "HCCPARAMS2,Capability Parameters Register 2" bitfld.long 0x1C 5. " CIC ,Configuration information capability" "0,1" bitfld.long 0x1C 4. " LEC ,Large ESIT payload capability" "0,1" newline bitfld.long 0x1C 3. " CTC ,Compliance transition capability" "0,1" bitfld.long 0x1C 2. " FSC ,Force save context capability" "0,1" newline bitfld.long 0x1C 1. " CMC ,Configure endpoint command max exit latency too large capability" "0,1" bitfld.long 0x1C 0. " U3C ,U3 entry capability" "0,1" group.long 0xC100++0x07 line.long 0x00 "GSBUSCFG0,Global SoC Bus Configuration Register 0" bitfld.long 0x00 28.--31. " DATRDREQINFO ,AHB-prot/AXI-cache/OCP-ReqInfo for data read" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " DESRDREQINFO ,AHB-prot/AXI-cache/OCP-ReqInfo for descriptor read" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. " DATWRREQINFO ,AHB-prot/AXI-cache/OCP-ReqInfo for data write" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. " DESWRREQINFO ,AHB-prot/AXI-cache/OCP-ReqInfo for descriptor write" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. " INCR256BRSTENA ,NCR256 burst type enable" "Disabled,Enabled" bitfld.long 0x00 6. " INCR128BRSTENA ,NCR128 burst type enable" "Disabled,Enabled" newline bitfld.long 0x00 5. " INCR64BRSTENA ,NCR64 burst type enable" "Disabled,Enabled" bitfld.long 0x00 4. " INCR32BRSTENA ,NCR32 burst type enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " INCR16BRSTENA ,NCR16 burst type enable" "Disabled,Enabled" bitfld.long 0x00 2. " INCR8BRSTENA ,NCR8 burst type enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " INCR4BRSTENA ,NCR4 burst type enable" "Disabled,Enabled" bitfld.long 0x00 0. " INCRBRSTENA ,Undefined length INCR burst type enable" "Disabled,Enabled" line.long 0x04 "GSBUSCFG1,Global SoC Bus Configuration Register 1" bitfld.long 0x04 12. " EN1KPAGE ,1k page boundary enable" "Disabled,Enabled" bitfld.long 0x04 8.--11. " PIPETRANSLIMIT ,AXI pipelined transfers burst request limit" "1 request,2 requests,3 requests,4 requests,5 requests,6 requests,7 requests,8 requests,9 requests,10 requests,11 requests,12 requests,13 requests,14 requests,15 requests,16 requests" if ((((per.l(ad:0x03100000+0xC118))&0x03)==0x01)&&((per.l(ad:0x03100000+0xC700))&0x07)==0x04) if (((per.l(ad:0x03100000+0xC108))&0x20000000)==0x20000000) group.long 0xC108++0x03 line.long 0x00 "GTXTHRCFG,Global TX Threshold Control Register" bitfld.long 0x00 29. " USBTXPKTCNTSEL ,USB transmit packet count enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " USBTXPKTCNT ,USB transmit packet count" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 16.--23. 1. " USBMAXTXBURSTSIZE ,USB maximum TX burst size" else group.long 0xC108++0x03 line.long 0x00 "GTXTHRCFG,Global TX Threshold Control Register" bitfld.long 0x00 29. " USBTXPKTCNTSEL ,USB transmit packet count enable" "Disabled,Enabled" endif else hgroup.long 0xC108++0x03 hide.long 0x00 "GTXTHRCFG,Global TX Threshold Control Register" endif if (((per.l(ad:0x03100000+0xC700))&0x07)==0x04) if (((per.l(ad:0x03100000+0xC10C))&0x20000000)==0x20000000) group.long 0xC10C++0x03 line.long 0x00 "GRXTHRCFG,Global RX Threshold Control Register" bitfld.long 0x00 29. " USBRXPKTCNTSEL ,USB receive packet count enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " USBRXPKTCNT ,USB receive packet count" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19.--23. " USBMAXRXBURSTSIZE ,USB maximum receive burst size" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,?..." else group.long 0xC10C++0x03 line.long 0x00 "GRXTHRCFG,Global RX Threshold Control Register" bitfld.long 0x00 29. " USBRXPKTCNTSEL ,USB receive packet count enable" "Disabled,Enabled" endif else hgroup.long 0xC10C++0x03 hide.long 0x00 "GRXTHRCFG,Global RX Threshold Control Register" endif if (((per.l(ad:0x03100000+0xC118))&0x03)==0x01) if (((per.l(ad:0x03100000+0xC700))&0x07)==(0x00||0x04)) group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "125 us,62.5 us,31.25 us,15.625 us" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,OTG" newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" rbitfld.long 0x00 10. " SOFITPSYNC ,UTMI PHY on the first port status" "Disabled,Enabled" newline bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,?..." bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" newline bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" elif (((per.l(ad:0x03100000+0xC700))&0x07)==0x01) group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "1000 us,500 us,250 us,125 us" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,OTG" newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" rbitfld.long 0x00 10. " SOFITPSYNC ,UTMI PHY on the first port status" "Disabled,Enabled" newline bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,?..." bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" newline bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" else group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "1024 bytes,512 bytes,256 bytes,128 bytes" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,OTG" newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" rbitfld.long 0x00 10. " SOFITPSYNC ,UTMI PHY on the first port status" "Disabled,Enabled" newline bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,?..." bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" newline bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" endif else if (((per.l(ad:0x03100000+0xC700))&0x07)==(0x00||0x04)) group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 17. " BYPSSETADDR ,Bypass set address in device mode" "Not bypassed,Bypassed" bitfld.long 0x00 16. " U2RSTECN ,If super speed connection fails during POLL or LMP the device connects at non-SS mode 3 more times when bit is set" "0,3 more times" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "125 us,62.5 us,31.25 us,15.625 us" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,?..." newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,Pipe clock,Pipe/2 clock,?..." newline bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" newline bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" elif (((per.l(ad:0x03100000+0xC700))&0x07)==0x01) group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 17. " BYPSSETADDR ,Bypass set address in device mode" "Not bypassed,Bypassed" bitfld.long 0x00 16. " U2RSTECN ,If super speed connection fails during POLL or LMP the device connects at non-SS mode 3 more times when bit is set" "0,3 more times" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "1000 us,500 us,250 us,125 us" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,?..." newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,Pipe clock,Pipe/2 clock,?..." newline bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" newline bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" else group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 17. " BYPSSETADDR ,Bypass set address in device mode" "Not bypassed,Bypassed" bitfld.long 0x00 16. " U2RSTECN ,If super speed connection fails during POLL or LMP the device connects at non-SS mode 3 more times when bit is set" "0,3 more times" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "1024 bytes,512 bytes,256 bytes,128 bytes" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,?..." newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,Pipe clock,Pipe/2 clock,?..." newline bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" newline bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" endif endif group.long 0xC118++0x03 line.long 0x00 "GSTS,Global Status Register" rbitfld.long 0x00 10. " OTG_IP ,OTG interrupt pending" "Not pending,Pending" rbitfld.long 0x00 7. " HOST_IP ,Host interrupt pending" "Not pending,Pending" newline rbitfld.long 0x00 6. " DEVICE_IP ,Device interrupt pending" "Not pending,Pending" bitfld.long 0x00 5. " CSRTIMEOUT ,Software write or read to a core register timeout" "No timeout,Timeout" newline bitfld.long 0x00 4. " BUSERRADDRVLD ,Bus error address valid" "Invalid,Valid" bitfld.long 0x00 0.--1. " CURMOD ,Current mode of operation" "Device,Host,?..." if (((per.l(ad:0x03100000+0xC118))&0x03)==0x01) if (((per.l(ad:0x03100000+0xC700))&0x07)==0x00) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" newline bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 16. " PARKMODE_DISABLE_HS ,Park mode disable" "No,Yes" bitfld.long 0x00 8. " L1SUSPTHRLDENFORHOST ,L1 suspend threshold for host enable" "Disabled,Enabled" newline bitfld.long 0x00 4.--7. " L1SUSPTHRLDFORHOST ,L1 suspend threshold value" ",,,,,L1 Suspend,L2 Suspend,,,,,L1 Sleep,,,,Normal" bitfld.long 0x00 3. " HELDEN ,Host ELD enable" "No,Yes" newline bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" newline bitfld.long 0x00 0. " LOA_FILTER_EN ,Enables checking USB 2.0 port babble at least three consecutive times before port is disabled" "Disabled,Enabled" elif (((per.l(ad:0x03100000+0xC700))&0x07)==0x01) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 29. " FILTER_SE0_FSLS_EOP ,SE0 filter enable for FS/LS" "Disabled,Enabled" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" newline bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 16. " PARKMODE_DISABLE_HS ,Park mode disable" "No,Yes" newline bitfld.long 0x00 8. " L1SUSPTHRLDENFORHOST ,L1 suspend threshold for host enable" "Disabled,Enabled" bitfld.long 0x00 4.--7. " L1SUSPTHRLDFORHOST ,L1 suspend threshold value" ",,,,,L1 Suspend,L2 Suspend,,,,,L1 Sleep,,,,Normal" newline bitfld.long 0x00 3. " HELDEN ,Host ELD enable" "No,Yes" bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" newline bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" bitfld.long 0x00 0. " LOA_FILTER_EN ,Enables checking USB 2.0 port babble at least three consecutive times before port is disabled" "Disabled,Enabled" elif (((per.l(ad:0x03100000+0xC700))&0x07)==0x04) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" newline bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 16. " PARKMODE_DISABLE_HS ,Park mode disable" "No,Yes" bitfld.long 0x00 8. " L1SUSPTHRLDENFORHOST ,L1 suspend threshold for host enable" "Disabled,Enabled" newline bitfld.long 0x00 4.--7. " L1SUSPTHRLDFORHOST ,L1 suspend threshold value" ",,,,,L1 Suspend,L2 Suspend,,,,,L1 Sleep,,,,Normal" bitfld.long 0x00 3. " HELDEN ,Host ELD enable" "No,Yes" newline bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" newline bitfld.long 0x00 0. " LOA_FILTER_EN ,Enables checking USB 2.0 port babble at least three consecutive times before port is disabled" "Disabled,Enabled" else hgroup.long 0xC11C++0x03 hide.long 0x00 "GUCTL1,Global User Control Register 1" endif elif (((per.l(ad:0x03100000+0xC118))&0x03)==0x00) if (((per.l(ad:0x03100000+0xC700))&0x07)==0x00) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" bitfld.long 0x00 27. " DEV_TRB_OUT_SPR_IND ,OUT TRB short packet indication enable" "Disabled,Enabled" newline bitfld.long 0x00 26. " DEV_FORCE_20_CLK_FOR_30_CLK ,Force 2.0 clk as 3.0 clk enable" "Disabled,Enabled" bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 24. " DEV_L1_EXIT_BY_HW ,Remote wakeup for L1 enable" "Disabled,Enabled" bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" newline bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" elif (((per.l(ad:0x03100000+0xC700))&0x07)==0x01) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 29. " FILTER_SE0_FSLS_EOP ,SE0 filter enable for FS/LS" "Disabled,Enabled" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" newline bitfld.long 0x00 27. " DEV_TRB_OUT_SPR_IND ,OUT TRB short packet indication enable" "Disabled,Enabled" bitfld.long 0x00 26. " DEV_FORCE_20_CLK_FOR_30_CLK ,Force 2.0 clk as 3.0 clk enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" bitfld.long 0x00 24. " DEV_L1_EXIT_BY_HW ,Remote wakeup for L1 enable" "Disabled,Enabled" newline bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" newline bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" elif (((per.l(ad:0x03100000+0xC700))&0x07)==0x04) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" bitfld.long 0x00 27. " DEV_TRB_OUT_SPR_IND ,OUT TRB short packet indication enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" bitfld.long 0x00 24. " DEV_L1_EXIT_BY_HW ,Remote wakeup for L1 enable" "Disabled,Enabled" newline bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" newline bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" else hgroup.long 0xC11C++0x03 hide.long 0x00 "GUCTL1,Global User Control Register 1" endif else hgroup.long 0xC11C++0x03 hide.long 0x00 "GUCTL1,Global User Control Register 1" endif group.long 0xC128++0x03 line.long 0x00 "GUID,Global User ID Register" if (((per.l(ad:0x03100000+0xC118))&0x03)==0x01) group.long 0xC12C++0x03 line.long 0x00 "GUCTL,Global User Control Register" hexmask.long.word 0x00 22.--31. 1. " REFCLKPER ,Period of ref_clk in ns" bitfld.long 0x00 21. " NOEXTRDL ,No extra delay between SOF and the first packet" "Waits 2 us,Doesn't wait" newline bitfld.long 0x00 17. " SPRSCTRLTRANSEN ,Sparse control transaction enable" "Disabled,Enabled" bitfld.long 0x00 16. " RESBWHSEPS ,Indicates whether reserve 80% or 85% of bandwidth for HS periodic EPs" "80%,85%" newline bitfld.long 0x00 15. " CMDEVADDR ,Compliance mode for device address" "Equal to Slot ID,Increment address" bitfld.long 0x00 14. " USBHSTINAUTORETRYEN ,Host IN auto retry" "Disabled,Enabled" newline bitfld.long 0x00 13. " ENOVERLAPCHK ,Enable check for LFPS overlap during remote UX exit" "Disabled,Enabled" bitfld.long 0x00 12. " EXTCAPSUPTEN ,External extended capability support enable" "Disabled,Enabled" newline bitfld.long 0x00 11. " INSRTEXTRFSBODL ,Insert extra delay between FS bulk OUT transactions" "Not inserted,Inserted" bitfld.long 0x00 9.--10. " DTCT ,Device timeout coarse tuning" "DTFT,500 us,1.5 ms,6.5 ms" newline hexmask.long.word 0x00 0.--8. 1. " DTFT ,Device timeout fine tuning" elif (((per.l(ad:0x03100000+0xC118))&0x03)==0x00) group.long 0xC12C++0x03 line.long 0x00 "GUCTL,Global User Control Register" hexmask.long.word 0x00 22.--31. 1. " REFCLKPER ,Period of ref_clk in ns" bitfld.long 0x00 21. " NOEXTRDL ,No extra delay between SOF and the first packet" "Waits 2 us,Doesn't wait" newline bitfld.long 0x00 17. " SPRSCTRLTRANSEN ,Sparse control transaction enable" "Disabled,Enabled" bitfld.long 0x00 14. " USBHSTINAUTORETRYEN ,Host IN auto retry" "Disabled,Enabled" newline bitfld.long 0x00 13. " ENOVERLAPCHK ,Enable check for LFPS overlap during remote UX exit" "Disabled,Enabled" bitfld.long 0x00 12. " EXTCAPSUPTEN ,External extended capability support enable" "Disabled,Enabled" newline bitfld.long 0x00 11. " INSRTEXTRFSBODL ,Insert extra delay between FS bulk OUT transactions" "Not inserted,Inserted" else hgroup.long 0xC12C++0x03 hide.long 0x00 "GUCTL,Global User Control Register" endif if (((per.l(ad:0x03100000+0xC118))&0x10)==0x10) rgroup.long 0xC130++0x07 line.long 0x00 "GBUSERRADDRLO,Global SoC Bus Error Address Register Low" line.long 0x04 "GBUSERRADDRHI,Global SoC Bus Error Address Register High" else hgroup.long 0xC130++0x03 hide.long 0x00 "GBUSERRADDRLO,Global SoC Bus Error Address Register Low" hgroup.long 0xC134++0x03 hide.long 0x00 "GBUSERRADDRHI,Global SoC Bus Error Address Register High" endif group.long 0xC138++0x07 line.long 0x00 "GPRTBIMAPLO,SuperSpeed Port To Bus Instance Mapping Register" bitfld.long 0x00 0.--3. " BINUM1 ,SS USB instance number for port" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "GPRTBIMAPHI,High-Speed Port To Bus Instance Mapping Register" bitfld.long 0x04 0.--3. " BINUM9 ,SS USB instance number for port 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xC140++0x1F line.long 0x00 "GHWPARAMS0,Global Hardware Parameters Register 0" hexmask.long.byte 0x00 24.--31. 1. " DWC_USB3_AWIDTH ,Master/slave address bus width" hexmask.long.byte 0x00 16.--23. 1. " DWC_USB3_SDWIDTH ,Slave bus (register access bus) data bus width" newline hexmask.long.byte 0x00 8.--15. 1. " DWC_USB3_MDWIDTH ,Master bus (DMA bus) data bus width" bitfld.long 0x00 6.--7. " DWC_USB3_SBUS_TYPE ,Slave bus (register access bus) interface type" "AHB,?..." newline bitfld.long 0x00 3.--5. " DWC_USB3_MBUS_TYPE ,Master bus (DMA bus) interface type" ",AXI,?..." bitfld.long 0x00 0.--2. " DWC_USB3_MODE ,Mode of operation" ",,DRD,?..." line.long 0x04 "GHWPARAMS1,Global Hardware Parameters Register 1" bitfld.long 0x04 31. " DWC_USB3_EN_DBC ,Enables xHCI debug capability" "Disabled,Enabled" bitfld.long 0x04 30. " DWC_USB3_RM_OPT_FEATURES ,Optional features removal enable" "Disabled,Enabled" newline bitfld.long 0x04 28. " DWC_USB3_RAM_BUS_CLKS_SYNC ,Specifies whether the RAM clock and the bus clock are synchronous to each other" "Asynchronous,Synchronous" bitfld.long 0x04 27. " DWC_USB3_MAC_RAM_CLKS_SYNC ,Specifies whether the MAC clock and the RAM clock are synchronous to each other" "Asynchronous,Synchronous" newline bitfld.long 0x04 26. " DWC_USB3_MAC_PHY_CLKS_SYNC ,Specifies whether the MAC clock and the PHY clock are synchronous to each other" "Asynchronous,Synchronous" bitfld.long 0x04 24.--25. " DWC_USB3_EN_PWROPT ,Specifies the power optimization mode" "No power optimization,Clock gating only,?..." newline bitfld.long 0x04 23. " DWC_USB3_SPRAM_TYP ,Selects the FIFO synchronous static RAM type" "2-Port-RAM,SPRAM" bitfld.long 0x04 21.--22. " DWC_USB3_NUM_RAMS ,Selects the number of RAMs" ",1,2,3" newline bitfld.long 0x04 15.--20. " DWC_USB3_DEVICE_NUM_INT ,Selects the number of event buffers in device mode" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,?..." bitfld.long 0x04 12.--14. " DWC_USB3_ASPACEWIDTH ,Selects the address space port width of the master and slave bus interfaces" ",1,2,3,4,5,6,?..." newline bitfld.long 0x04 9.--11. " DWC_USB3_REQINFOWIDTH ,Selects the request/response info port width of the master and slave bus interfaces" ",,,,4,5,6,?..." bitfld.long 0x04 6.--8. " DWC_USB3_DATAINFOWIDTH ,Selects the data info port width of the master and slave bus interfaces" ",1,2,3,4,5,6,?..." newline bitfld.long 0x04 3.--5. " DWC_USB3_BURSTWIDTH ,Selects the burst port width of the master and slave bus interfaces - 1" "1,2,3,4,5,6,7,8" bitfld.long 0x04 0.--2. " DWC_USB3_IDWIDTH1 ,Master ID port width" ",,,4,5,6,7,8" line.long 0x08 "GHWPARAMS2,Global Hardware Parameters Register 2" line.long 0x0C "GHWPARAMS3,Global Hardware Parameters Register 3" hexmask.long.word 0x0C 23.--30. 1. " DWC_USB3_CACHE_TOTAL_XFER_RESOURCES ,Selects the maximum number of transfer resources in the core" bitfld.long 0x0C 18.--22. " DWC_USB3_NUM_IN_EPS ,Specifies the maximum number of device mode IN endpoints active at any time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 12.--17. " DWC_USB3_NUM_EPS ,Specifies the number of device mode single directional endpoints" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 10. " DWC_USB3_VENDOR_CTL_INTERFACE ,Enables the UTMI+ PHY vendor control interface" "Disabled,Enabled" newline bitfld.long 0x0C 6.--7. " DWC_USB3_HSPHY_DWIDTH ,Specifies the data width of the UTMI+ PHY interface" ",,8/16-bits,?..." bitfld.long 0x0C 2.--3. " DWC_USB3_HSPHY_INTERFACE ,Specifies the high-speed PHY interface" "0,1,2,3" newline bitfld.long 0x0C 0.--1. " DWC_USB3_SSPHY_INTERFACE ,Specifies the SuperSpeed PHY interface" "0,1,2,3" line.long 0x10 "GHWPARAMS4,Global Hardware Parameters Register 4" bitfld.long 0x10 28.--31. " DWC_USB3_BMU_LSP_DEPTH ,Specifies the depth of the BMU-LSP status buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 24.--27. " DWC_USB3_BMU_PTL_DEPTH ,Specifies the depth of the BMU-PTL source/sink buffers-1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 23. " DWC_USB3_EN_ISOC_SUPT ,Enables isochronous endpoint capability" "Disabled,Enabled" bitfld.long 0x10 17.--20. " DWC_USB3_NUM_SS_USB_INSTANCES ,Specifies the number of SuperSpeed USB bus instances" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 0.--5. " DWC_USB3_CACHE_TRBS_PER_TRANSFER ,Selects the number of transfer request blocks" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x14 "GHWPARAMS5,Global Hardware Parameters Register 5" bitfld.long 0x14 22.--27. " DWC_USB3_DFQ_FIFO_DEPTH ,Specifies the size of the BMU descriptor fetch request queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x14 16.--21. " DWC_USB3_DWQ_FIFO_DEPTH ,Specifies the size of the BMU descriptor write queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 10.--15. " DWC_USB3_TXQ_FIFO_DEPTH ,Specifies the size of the BMU TX request queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x14 4.--9. " DWC_USB3_RXQ_FIFO_DEPTH ,Specifies the size of the BMU RX request queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 0.--3. " DWC_USB3_BMU_BUSGM_DEPTH ,Specifies the depth of the BMU-BUSGM source/sink buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x18 "GHWPARAMS6,Global Hardware Parameters Register 6" hexmask.long.word 0x18 16.--31. 1. " DWC_USB3_RAM0_DEPTH ,Specifies the depth of RAM0" bitfld.long 0x18 15. " BUSFLTRSSUPPORT ,Specifies whether to add a filter for VBUS and ID related control inputs from the PHY" "Not added,Added" newline bitfld.long 0x18 12. " ADPSUPPORT ,Enables internal ADP capability of the USB 3.0 core" "Disabled,Enabled" bitfld.long 0x18 11. " HNPSUPPORT ,HNP support enable" "Disabled,Enabled" newline bitfld.long 0x18 10. " SRPSUPPORT ,SRP support enable" "Disabled,Enabled" bitfld.long 0x18 7. " DWC_USB3_EN_FPGA ,Hardware validation/driver development with an FPGA platform" "No,Yes" newline bitfld.long 0x18 6. " DWC_USB3_EN_DBG_PORTS ,Used for FPGA hardware validation of the core" "Not used,Used" bitfld.long 0x18 0.--5. " DWC_USB3_PSQ_FIFO_DEPTH ,Specifies the size of the BMU protocol status queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x1C "GHWPARAMS7,Global Hardware Parameters Register 7" hexmask.long.word 0x1C 16.--31. 1. " DWC_USB3_RAM2_DEPTH ,Specifies the depth of RAM2" hexmask.long.word 0x1C 0.--15. 1. " DWC_USB3_RAM1_DEPTH ,Specifies the depth of RAM1" group.long 0xC180++0x07 line.long 0x00 "GPRTBIMAP_HSLO,Global High-Speed Port To Bus Instance Mapping Low Register" bitfld.long 0x00 0.--3. " BINUM1 ,HS USB instance number for port 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "GPRTBIMAP_HSHI,Global High-Speed Port To Bus Instance Mapping High Register" bitfld.long 0x04 0.--3. " BINUM9 ,HS USB instance number for port 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" if (((per.l(ad:0x03100000+0xC118))&0x03)==0x01) group.long 0xC200++0x03 line.long 0x00 "GUSB2PHYCFGN,Global USB2 PHY Configuration Register" bitfld.long 0x00 31. " UTMI_PHYSOFTRST ,UTMI PHY soft reset" "No reset,Reset" rbitfld.long 0x00 30. " U2_FREECLK_EXISTS ,Specifies whether USB 2.0 PHY provides free-running PHY clock" "No,Yes" newline bitfld.long 0x00 22.--24. " LSTRD ,LS turnaround time" "2 bit times,2.5 bit times,3 bit times,3.5 bit times,4 bit times,4.5 bit times,5 bit times,5.5 bit times" bitfld.long 0x00 19.--21. " LSIPD ,LS inter-packet time" "2 bit times,2.5 bit times,3 bit times,3.5 bit times,4 bit times,4.5 bit times,5 bit times,5.5 bit times" newline bitfld.long 0x00 8. " ENBLSLPM ,Enables utmi_sleep_n and utmi_l1_suspend_n" "Disabled,Enabled" bitfld.long 0x00 6. " SUSPENDUSB20 ,Suspend USB 2.0 HS/FS/LS PHY" "Not suspended,Suspended" newline bitfld.long 0x00 3. " PHYIF ,Uses this bit to configure the core to support a UTMI+ PHY with an 8- or 16-bit interface" "8-bits,16-bits" else group.long 0xC200++0x03 line.long 0x00 "GUSB2PHYCFGN,Global USB2 PHY Configuration Register" bitfld.long 0x00 31. " UTMI_PHYSOFTRST ,UTMI PHY soft reset" "No reset,Reset" rbitfld.long 0x00 30. " U2_FREECLK_EXISTS ,Specifies whether USB 2.0 PHY provides free-running PHY clock" "No,Yes" newline bitfld.long 0x00 8. " ENBLSLPM ,Enables utmi_sleep_n and utmi_l1_suspend_n" "Disabled,Enabled" bitfld.long 0x00 6. " SUSPHY ,Suspend USB 2.0 HS/FS/LS PHY" "Not suspended,Suspended" newline bitfld.long 0x00 3. " PHYIF ,Uses this bit to configure the core to support a UTMI+ PHY with an 8- or 16-bit interface" "8-bits,16-bits" endif group.long 0xC2C0++0x03 line.long 0x00 "GUSB3PIPECTL,Global USB 3.0 PIPE Control Register" bitfld.long 0x00 31. " PHYSOFTRST ,USB3 PHY soft reset" "No reset,Reset" bitfld.long 0x00 29. " U2SSINACTP3OK ,P3 OK for U2/SSInactive" "P2,P3" newline bitfld.long 0x00 28. " DISRXDETP3 ,Receiver detection in P3 state" "Stays in P3,Goes to P2 then back P3" bitfld.long 0x00 25. " U1U2EXITFAIL_TO_RECOV ,Selects transition from U1/U2 to recovery or SS inactive (when U1/U2 LFPS handshake fails)" "No effect,Failed" newline bitfld.long 0x00 17. " SUSPENDENABLE ,Suspend USB3.0 SS PHY" "Not suspended,Suspended" rbitfld.long 0x00 15.--16. " DATWIDTH ,PIPE data width" "32 bits,16 bits,8 bits,?..." newline bitfld.long 0x00 6. " TXSWING ,TXSWING field" "0,1" bitfld.long 0x00 3.--5. " TXMARGIN ,TXMARGIN field" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 1.--2. " TXDEEMPHASIS ,Value driven to the PHY is controlled by LTSSM during USB3 compliance mode" "0,1,2,3" bitfld.long 0x00 0. " ELASTICBUFFERMODE ,Elastic buffer mode" "0,1" group.long 0xC300++0x03 line.long 0x00 "GTXFIFOSIZ_0,Global Transmit FIFO Size Register 0" hexmask.long.word 0x00 16.--31. 0x01 " TXFSTADDR_0 ,Transmit FIFO_0 RAM start address" hexmask.long.word 0x00 0.--15. 1. " TXFDEP_0 ,TXFIFO 0 depth" group.long 0xC310++0x03 line.long 0x00 "GTXFIFOSIZ_1,Global Transmit FIFO Size Register 1" hexmask.long.word 0x00 16.--31. 0x01 " TXFSTADDR_1 ,Transmit FIFO_1 RAM start address" hexmask.long.word 0x00 0.--15. 1. " TXFDEP_1 ,TXFIFO 1 depth" group.long 0xC320++0x03 line.long 0x00 "GTXFIFOSIZ_2,Global Transmit FIFO Size Register 2" hexmask.long.word 0x00 16.--31. 0x01 " TXFSTADDR_2 ,Transmit FIFO_2 RAM start address" hexmask.long.word 0x00 0.--15. 1. " TXFDEP_2 ,TXFIFO 2 depth" group.long 0xC330++0x03 line.long 0x00 "GTXFIFOSIZ_3,Global Transmit FIFO Size Register 3" hexmask.long.word 0x00 16.--31. 0x01 " TXFSTADDR_3 ,Transmit FIFO_3 RAM start address" hexmask.long.word 0x00 0.--15. 1. " TXFDEP_3 ,TXFIFO 3 depth" group.long 0xC380++0x03 line.long 0x00 "GRXFIFOSIZ_0,Global Receive FIFO Size Register 0" hexmask.long.word 0x00 16.--31. 0x01 " RXFSTADDR_0 ,Receive FIFO_0 RAM start address" hexmask.long.word 0x00 0.--15. 1. " RXFDEP_0 ,RXFIFO 0 depth" group.long 0xC390++0x03 line.long 0x00 "GRXFIFOSIZ_1,Global Receive FIFO Size Register 1" hexmask.long.word 0x00 16.--31. 0x01 " RXFSTADDR_1 ,Receive FIFO_1 RAM start address" hexmask.long.word 0x00 0.--15. 1. " RXFDEP_1 ,RXFIFO 1 depth" group.long 0xC3A0++0x03 line.long 0x00 "GRXFIFOSIZ_2,Global Receive FIFO Size Register 2" hexmask.long.word 0x00 16.--31. 0x01 " RXFSTADDR_2 ,Receive FIFO_2 RAM start address" hexmask.long.word 0x00 0.--15. 1. " RXFDEP_2 ,RXFIFO 2 depth" group.long 0xC400++0x0F line.long 0x00 "GEVNTADRLO,Global Event Buffer Address Register Low" line.long 0x04 "GEVNTADRHI,Global Event Buffer Address Register High" line.long 0x08 "GEVNTSIZ,Global Event Buffer Size Register" bitfld.long 0x08 31. " EVNTINTRPTMASK ,Event interrupt mask" "Not masked,Masked" hexmask.long.word 0x08 0.--15. 1. " EVNTSIZ ,Event buffer size in bytes" line.long 0x0C "GEVNTCOUNT,Global Event Buffer Count Register" hexmask.long.word 0x0C 0.--15. 1. " EVNTCOUNT ,Event count" rgroup.long 0xC600++0x03 line.long 0x00 "GHWPARAMS8,Global Hardware Parameters Register 8" group.long 0xC610++0x03 line.long 0x00 "GTXFIFOPRIDEV,Global Device TX FIFO DMA Priority Register" bitfld.long 0x00 3. " GTXFIFOPRIDEV[3] ,Device TXFIFO 3 priority" "Low,High" bitfld.long 0x00 2. " [2] ,Device TXFIFO 2 priority" "Low,High" newline bitfld.long 0x00 1. " [1] ,Device TXFIFO 1 priority" "Low,High" bitfld.long 0x00 0. " [0] ,Device TXFIFO 0 priority" "Low,High" group.long 0xC618++0x07 line.long 0x00 "GTXFIFOPRIHST,Global Host TX FIFO DMA Priority Register" bitfld.long 0x00 2. " GTXFIFOPRIHST[2] ,Host TXFIFO 2 priority" "Low,High" bitfld.long 0x00 1. " [1] ,Host TXFIFO 1 priority" "Low,High" newline bitfld.long 0x00 0. " [0] ,Host TXFIFO 0 priority" "Low,High" line.long 0x04 "GRXFIFOPRIHST,Global Host RX FIFO DMA Priority Register" bitfld.long 0x04 2. " GRXFIFOPRIHST[2] ,Host RXFIFO 2 priority" "Low,High" bitfld.long 0x04 1. " [1] ,Host RXFIFO 1 priority" "Low,High" newline bitfld.long 0x04 0. " [0] ,Host RXFIFO 0 priority" "Low,High" group.long 0xC624++0x03 line.long 0x00 "GDMAHLRATIO,Global Host FIFO DMA High-Low Priority Ratio Register" bitfld.long 0x00 8.--12. " HSTRXFIFO ,Host RXFIFO DMA high-low priority ratio" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. " HSTTXFIFO ,Host TXFIFO DMA high-low priority ratio" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xC630++0x03 line.long 0x00 "GFLADJ,Global Frame Length Adjustment Register" hexmask.long.word 0x00 8.--21. 1. " GFLADJ_REFCLK_FLADJ ,Indicates the frame length adjustment to be applied when SOF/ITP counter is running on the ref_clk" bitfld.long 0x00 7. " GFLADJ_30MHZ_REG_SEL ,Selects whether to use a hard-coded value of 20h (32 decimal) or the value in GFLADJ[GFLADJ_30MHZ] to adjust the frame length for the SOF/ITP" "32,GFLADJ.GFLADJ_30MHZ" newline bitfld.long 0x00 0.--5. " GFLADJ_30MHZ ,Value used for frame length adjustment" "59488,59504,59520,59536,59552,59568,59584,59600,59616,59632,59648,59664,59680,59696,59712,59728,59744,59760,59776,59792,59808,59824,59840,59856,59872,59888,59904,59920,59936,59952,59968,59984,60000,60016,60032,60048,60064,60080,60096,60112,60128,60144,60160,60176,60192,60208,60224,60240,60256,60272,60288,60304,60320,60336,60352,60368,60384,60400,60416,60432,60448,60464,60480,60496" group.long 0xC700++0x03 line.long 0x00 "DCFG,Device Configuration Register" bitfld.long 0x00 23. " IGNORESTREAMPP ,Receive or ignore PP bit" "Received,Ignored" bitfld.long 0x00 22. " LPMCAP ,LPM capability enable" "Disabled,Enabled" newline bitfld.long 0x00 17.--21. " NUMP ,Number of receive buffers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. " INTRNUM ,Interrupt number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 3.--9. 0x08 " DEVADDR ,Device address" bitfld.long 0x00 0.--2. " DEVSPD ,Device speed" "High-speed,Full-speed,,,SuperSpeed,?..." if (((per.l(ad:0x03100000+0xC700))&0x07)==0x04) group.long 0xC704++0x03 line.long 0x00 "DCTL,Device Control Register" bitfld.long 0x00 31. " RUN_STOP ,Run/stop" "Stopped,Started" eventfld.long 0x00 30. " CSFTRST ,Core soft reset" "No reset,Reset" newline bitfld.long 0x00 24.--28. " HIRD_THRES ,HIRD threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 20.--23. " LMP_NYET_THRES ,LPM NYET response threshold handshake response" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17. " CRS ,Controller restore state" "Not restored,Restored" bitfld.long 0x00 16. " CSS ,Controller save state" "Not saved,Saved" newline bitfld.long 0x00 12. " INITU2ENA ,Initiate U2 enable" "Disabled,Enabled" bitfld.long 0x00 11. " ACCEPTU2ENA ,Accept U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " INITU1ENA ,Initiate U1 enable" "Disabled,Enabled" bitfld.long 0x00 9. " ACCEPTU1ENA ,Accept U1 enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--8. " ULSTCHNGREQ ,USB/link state change request" "No action,,,,SS.Disabled,Rx.Detect,SS.Inactive,,Recovery,?..." bitfld.long 0x00 1.--4. " TSTCTL ,Test control mode" "Disabled,Test_J,Test_K,Test_SE0_NAK,Test_Packet,Test_Force_Enable,?..." else group.long 0xC704++0x03 line.long 0x00 "DCTL,Device Control Register" bitfld.long 0x00 31. " RUN_STOP ,Run/stop" "Stopped,Started" eventfld.long 0x00 30. " CSFTRST ,Core soft reset" "No reset,Reset" newline bitfld.long 0x00 24.--28. " HIRD_THRES ,HIRD threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 20.--23. " LMP_NYET_THRES ,LPM NYET response threshold handshake response" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17. " CRS ,Controller restore state" "Not restored,Restored" bitfld.long 0x00 16. " CSS ,Controller save state" "Not saved,Saved" newline bitfld.long 0x00 12. " INITU2ENA ,Initiate U2 enable" "Disabled,Enabled" bitfld.long 0x00 11. " ACCEPTU2ENA ,Accept U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " INITU1ENA ,Initiate U1 enable" "Disabled,Enabled" bitfld.long 0x00 9. " ACCEPTU1ENA ,Accept U1 enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--8. " ULSTCHNGREQ ,USB/link state change request" ",,,,,,,,Remote wakeup request,?..." bitfld.long 0x00 1.--4. " TSTCTL ,Test control mode" "Disabled,Test_J,Test_K,Test_SE0_NAK,Test_Packet,Test_Force_Enable,?..." endif group.long 0xC708++0x03 line.long 0x00 "DEVTEN,Device Event Enable Register" bitfld.long 0x00 12. " VENDEVTSTRCVDEN ,Vendor device test LMP received event enable" "Disabled,Enabled" bitfld.long 0x00 9. " ERRTICERREVTEN ,Erratic error event enable" "Disabled,Enabled" newline bitfld.long 0x00 7. " SOFTEVTEN ,Start of frame enable" "Disabled,Enabled" bitfld.long 0x00 6. " U3L2L1SUSPEN ,U3/L2-L1 suspend event enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " WKUPEVTEN ,Resume/remote wakeup detected event enable" "Disabled,Enabled" bitfld.long 0x00 3. " ULSTCNGEN ,USB/link state change event enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " CONNECTDONEEVTEN ,Connection done enable" "Disabled,Enabled" bitfld.long 0x00 1. " USBRSTEVTEN ,USB reset enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " DISSCONNEVTEN ,Disconnect detected event enable" "Disabled,Enabled" if (((per.l(ad:0x03100000+0xC70C))&0x07)==0x04) rgroup.long 0xC70C++0x03 line.long 0x00 "DSTS,Device Status Register" bitfld.long 0x00 25. " RSS ,Restore state status" "Completed,Not completed" bitfld.long 0x00 24. " SSS ,Save state status" "Completed,Not completed" newline bitfld.long 0x00 23. " COREIDLE ,Core idle" "Busy,Idle" bitfld.long 0x00 22. " DEVCTRLHLT ,Device controller halted" "Not halted,Halted" newline bitfld.long 0x00 18.--21. " USBLNKST ,USB/link state in SS mode" "U0,U1,U2,U3,SS_DIS,RX_DET,SS_INACT,POLL,RECOV,HRESET,CMPLY,LPBK,,,,Resume/Reset" bitfld.long 0x00 17. " RXFIFOEMPTY ,RXFIFO empty" "Not empty,Empty" newline hexmask.long.tbyte 0x00 3.--16. 1. " SOFFN ,Frame/microframe number of the received SOF" bitfld.long 0x00 0.--2. " CONNECTSPD ,Connected speed" "High-speed,Full-speed,Low-speed,Full-speed,SuperSpeed,?..." else rgroup.long 0xC70C++0x03 line.long 0x00 "DSTS,Device Status Register" bitfld.long 0x00 25. " RSS ,Restore state status" "Completed,Not completed" bitfld.long 0x00 24. " SSS ,Save state status" "Completed,Not completed" newline bitfld.long 0x00 23. " COREIDLE ,Core idle" "Busy,Idle" bitfld.long 0x00 22. " DEVCTRLHLT ,Device controller halted" "Not halted,Halted" newline bitfld.long 0x00 18.--21. " USBLNKST ,USB/link state in SS mode" "On state,,Sleep,Suspend,Disconnected,?..." bitfld.long 0x00 17. " RXFIFOEMPTY ,RXFIFO empty" "Not empty,Empty" newline hexmask.long.tbyte 0x00 3.--16. 1. " SOFFN ,Frame/microframe number of the received SOF" bitfld.long 0x00 0.--2. " CONNECTSPD ,Connected speed" "High-speed,Full-speed,Low-speed,Full-speed,SuperSpeed,?..." endif group.long 0xC710++0x07 line.long 0x00 "DGCMDPAR,Device Generic Command Parameter Register" line.long 0x04 "DGCMD,Device Generic Command Register" rbitfld.long 0x04 15. " CMDSTATUS[3] ,Command status 3" "Success,Error" rbitfld.long 0x04 14. " [2] ,Command status 2" "Success,Error" newline rbitfld.long 0x04 13. " [1] ,Command status 1" "Success,Error" rbitfld.long 0x04 12. " [0] ,Command status 0" "Success,Error" newline bitfld.long 0x04 10. " CMDACT ,Command active" "Not active,Active" bitfld.long 0x04 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" newline hexmask.long.byte 0x04 0.--7. 1. " CMDTYP ,Command type" group.long 0xC720++0x03 line.long 0x00 "DALEPENA,Device Active USB Endpoint Enable Register" bitfld.long 0x00 7. " USBACTEP[7] ,USB EP3-IN" "Not active,Active" bitfld.long 0x00 6. " [6] ,USB EP3-OUT" "Not active,Active" newline bitfld.long 0x00 5. " [5] ,USB EP2-IN" "Not active,Active" bitfld.long 0x00 4. " [4] ,USB EP2-OUT" "Not active,Active" newline bitfld.long 0x00 3. " [3] ,USB EP1-IN" "Not active,Active" bitfld.long 0x00 2. " [2] ,USB EP1-OUT" "Not active,Active" newline bitfld.long 0x00 1. " [1] ,USB EP0-IN" "Not active,Active" bitfld.long 0x00 0. " [0] ,USB EP0-OUT" "Not active,Active" group.long (0xC800+0x0)++0x0F line.long 0x00 "DEPCMDPAR2_0,Device Physical Endpoint-0 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_0,Device Physical Endpoint-0 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_0,Device Physical Endpoint-0 Command Parameter 0 Register" line.long 0x0C "DEPCMD_0,Device Physical Endpoint-0 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x10)++0x0F line.long 0x00 "DEPCMDPAR2_1,Device Physical Endpoint-1 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_1,Device Physical Endpoint-1 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_1,Device Physical Endpoint-1 Command Parameter 0 Register" line.long 0x0C "DEPCMD_1,Device Physical Endpoint-1 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x20)++0x0F line.long 0x00 "DEPCMDPAR2_2,Device Physical Endpoint-2 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_2,Device Physical Endpoint-2 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_2,Device Physical Endpoint-2 Command Parameter 0 Register" line.long 0x0C "DEPCMD_2,Device Physical Endpoint-2 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x30)++0x0F line.long 0x00 "DEPCMDPAR2_3,Device Physical Endpoint-3 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_3,Device Physical Endpoint-3 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_3,Device Physical Endpoint-3 Command Parameter 0 Register" line.long 0x0C "DEPCMD_3,Device Physical Endpoint-3 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x40)++0x0F line.long 0x00 "DEPCMDPAR2_4,Device Physical Endpoint-4 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_4,Device Physical Endpoint-4 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_4,Device Physical Endpoint-4 Command Parameter 0 Register" line.long 0x0C "DEPCMD_4,Device Physical Endpoint-4 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x50)++0x0F line.long 0x00 "DEPCMDPAR2_5,Device Physical Endpoint-5 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_5,Device Physical Endpoint-5 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_5,Device Physical Endpoint-5 Command Parameter 0 Register" line.long 0x0C "DEPCMD_5,Device Physical Endpoint-5 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x60)++0x0F line.long 0x00 "DEPCMDPAR2_6,Device Physical Endpoint-6 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_6,Device Physical Endpoint-6 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_6,Device Physical Endpoint-6 Command Parameter 0 Register" line.long 0x0C "DEPCMD_6,Device Physical Endpoint-6 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x70)++0x0F line.long 0x00 "DEPCMDPAR2_7,Device Physical Endpoint-7 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_7,Device Physical Endpoint-7 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_7,Device Physical Endpoint-7 Command Parameter 0 Register" line.long 0x0C "DEPCMD_7,Device Physical Endpoint-7 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long 0xCC00++0x0F line.long 0x00 "OCFG,OTG Configuration Register" bitfld.long 0x00 5. " DISPRTPWRCUTOFF ,OTG disable port power cut off" "No,Yes" bitfld.long 0x00 3. " OTGSFTRSTMSK ,OTG soft reset mask" "Not masked,Masked" newline bitfld.long 0x00 1. " HNPCAP ,HNP capability enable" "Disabled,Enabled" bitfld.long 0x00 0. " SRPCAP ,SRP capability enable" "Disabled,Enabled" line.long 0x04 "OCTL,OTG Control Register" bitfld.long 0x04 6. " PERIMODE ,Peripheral mode" "Host,Peripheral" bitfld.long 0x04 5. " PRTPWRCTL ,Port power control" "B-device,A-device" newline bitfld.long 0x04 4. " HNPREQ ,HNP request" "Not requested,Requested" bitfld.long 0x04 3. " SESREQ ,Session request" "Not requested,Requested" newline bitfld.long 0x04 2. " TERMSELDLPULSE ,TermSel DLine pulsing selection" "UTMI_TXVALID,UTMI_TERMSEL" bitfld.long 0x04 1. " DEVSETHNPEN ,Device set RSP/HNP enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " HSTSETHNPEN ,Host set RSP/HNP enable" "Disabled,Enabled" line.long 0x08 "OEVT,OTG Events Register" rbitfld.long 0x08 31. " DEVICEMODE ,Device mode" "A-Device,B-Device" bitfld.long 0x08 27. " OTGXHCIRUNSTPSETEVNT ,OTG host run stop set event" "Not occurred,Occurred" newline bitfld.long 0x08 26. " OTGDEVRUNSTPSETEVNT ,OTG device run stop set event" "Not occurred,Occurred" bitfld.long 0x08 24. " OTGCONIDSTSCHNGEVNT ,Connector ID status change event" "Not occurred,Occurred" newline bitfld.long 0x08 21. " OTGADEVIDLEEVNT ,A-device A-IDLE event" "Not occurred,Occurred" bitfld.long 0x08 20. " OTGADEVBHOSTENDEVNT ,A-device B-Host end event" "Not occurred,Occurred" newline bitfld.long 0x08 19. " OTGADEVHOSTEVNT ,A-device host event" "Not occurred,Occurred" bitfld.long 0x08 18. " OTGADEVHNPCHNGEVNT ,A-Dev HNP change event" "Not occurred,Occurred" newline bitfld.long 0x08 17. " OTGADEVSRPDETEVNT ,SRP detect event" "Not occurred,Occurred" bitfld.long 0x08 16. " OTGADEVSESSENDDETEVNT ,Session end detected event" "Not occurred,Occurred" newline bitfld.long 0x08 11. " OTGBDEVBHOSTENDEVNT ,B-Device B-Host end event" "Not occurred,Occurred" bitfld.long 0x08 10. " OTGBDEVHNPCHNGEVNT ,B-device HNP change event" "Not occurred,Occurred" newline bitfld.long 0x08 9. " OTGBDEVSESSVLDDETEVNT ,Session valid detected event" "Not occurred,Occurred" bitfld.long 0x08 8. " OTGBDEVVBUSCHNGEVNT ,VBUS change event" "Not occurred,Occurred" newline rbitfld.long 0x08 3. " BSESVLD ,B-Session valid" "Not valid,Valid" rbitfld.long 0x08 2. " HSTNEGSTS ,Host negotiation status" "Failure,Success" newline rbitfld.long 0x08 1. " SESREQSTS ,Session request status" "Not requested,Requested" bitfld.long 0x08 0. " OEVTERROR ,OTG event error" "No error,Error" line.long 0x0C "OEVTEN,OTG Events Enable Register" bitfld.long 0x0C 27. " TGXHCIRUNSTPSETEVNTEN ,OTG host run stop set event enable" "Disabled,Enabled" bitfld.long 0x0C 26. " OTGDEVRUNSTPSETEVNTEN ,OTG device run stop set event enable" "Disabled,Enabled" newline bitfld.long 0x0C 24. " OTGCONIDSTSCHNGEVNTEN ,Connector ID status change event enable" "Disabled,Enabled" bitfld.long 0x0C 23. " HRRCONFNOTIFEVNTEN ,HRRConfNotif event enable" "Disabled,Enabled" newline bitfld.long 0x0C 22. " HRRINITNOTIFEVNTEN ,HRRInitNotif event enable" "Disabled,Enabled" bitfld.long 0x0C 21. " OTGADEVIDLEEVNTEN ,A-device A-IDLE event enable" "Disabled,Enabled" newline bitfld.long 0x0C 20. " OTGADEVBHOSTENDEVNTEN ,A-device B-Host end event enable" "Disabled,Enabled" bitfld.long 0x0C 19. " OTGADEVHOSTEVNTEN ,A-device host event enable" "Disabled,Enabled" newline bitfld.long 0x0C 18. " OTGADEVHNPCHNGEVNTEN ,A-Dev HNP change event enable" "Disabled,Enabled" bitfld.long 0x0C 17. " OTGADEVSRPDETEVNTEN ,SRP detect event enable" "Disabled,Enabled" newline bitfld.long 0x0C 16. " OTGADEVSESSENDDETEVNTEN ,Session end detected event enable" "Disabled,Enabled" bitfld.long 0x0C 11. " OTGBDEVBHOSTENDEVNTEN ,B-device B-Host end event enable" "Disabled,Enabled" newline bitfld.long 0x0C 10. " OTGBDEVHNPCHNGEVNTEN ,B-Dev HNP change event enable" "Disabled,Enabled" bitfld.long 0x0C 9. " OTGBDEVSESSVLDDETEVNTEN ,Session valid detected event enable" "Disabled,Enabled" newline bitfld.long 0x0C 8. " OTGBDEVVBUSCHNGEVNTEN ,VBUS change event enable" "Disabled,Enabled" rgroup.long 0xCC10++0x03 line.long 0x00 "OSTS,OTG Status Register" bitfld.long 0x00 13. " DEVRUNSTP ,Reflects the status of the device run/stop bit in the DCTL device register" "Stopped,Started" bitfld.long 0x00 12. " XHCIRUNSTP ,OTG host run stop set event" "Low,High" newline bitfld.long 0x00 4. " PERIPHERALSTATE ,Indicates whether the core is acting as a peripheral or host" "Host,Peripheral" bitfld.long 0x00 3. " XHCIPRTPOWER ,Reflects the PORTSC.PP bit in the xHCI register" "Low,High" newline bitfld.long 0x00 2. " BSESVLD ,B-session valid" "Not valid,Valid" bitfld.long 0x00 1. " ASESVLD ,A-session valid" "Not valid,Valid" newline bitfld.long 0x00 0. " CONIDSTS ,Connector ID status" "A-device,B-device" group.long 0xCC20++0x0F line.long 0x00 "ADPCFG,ADP Configuration Register" bitfld.long 0x00 30.--31. " PRBPER ,Probe period/scale down probe period" "0.775 s/12.5 ms,1.55 s/18.75 ms,2.275 s/25 ms,-/31.25 ms" bitfld.long 0x00 28.--29. " PRBDELTA ,Sets the resolution for RTIM value" "1 cycle,2 cycles,3 cycles,4 cycles" newline bitfld.long 0x00 26.--27. " PRBDSCHG ,Probe discharge/scale down probe discharge" "4 ms/62.5 us,8 ms/125 us,16 ms/250 us,32 ms/500 us" line.long 0x04 "ADPCTL,ADP Control Register" bitfld.long 0x04 28. " ENAPRB ,Enable probe" "Disabled,Enabled" bitfld.long 0x04 27. " ENASNS ,Enable sense" "Disabled,Enabled" newline bitfld.long 0x04 26. " ADPEN ,ADP enable" "Disabled,Enabled" bitfld.long 0x04 25. " ADPRES ,ADP reset" "No reset,Reset" newline rbitfld.long 0x04 24. " WB ,Write busy" "Completed,In progress" line.long 0x08 "ADPEVT,ADP Event Register" bitfld.long 0x08 28. " ADPPRBEVNT ,ADP probe event" "Not occurred,Occurred" bitfld.long 0x08 27. " ADPSNSEVNT ,ADP sense event" "Not occurred,Occurred" newline bitfld.long 0x08 26. " ADPTMOUTEVNT ,ADP timeout event" "Not occurred,Occurred" bitfld.long 0x08 25. " ADPRSTCMPLTEVNT ,ADP reset complete event" "Not occurred,Occurred" newline hexmask.long.word 0x08 0.--15. 1. " RTIM ,Captures the latest time it took for VBUS to ramp from VADP_SINK to VADP_PRB" line.long 0x0C "ADPEVTEN,ADP Event Enable Register" eventfld.long 0x0C 28. " ADPPRBEVNTEN ,ADP probe event enable" "Disabled,Enabled" eventfld.long 0x0C 27. " ADPSNSEVNTEN ,ADP sense event enable" "Disabled,Enabled" newline eventfld.long 0x0C 26. " ADPTMOUTEVNTEN ,ADP timeout event enable" "Disabled,Enabled" eventfld.long 0x0C 25. " ADPRSTCMPLTEVNTEN ,ADP reset complete event enable" "Disabled,Enabled" width 0x0B tree.end sif !cpuis("LX2160A*")&&!cpuis("LX2120A*")&&!cpuis("LX2080A*") tree "USBC2" base ad:0x03110000 width 16. rgroup.long 0x00++0x1F line.long 0x00 "CAPLENGTH,Capability Registers Length And HC Interface Version Number Register" hexmask.long.word 0x00 16.--31. 1. " HCIVERSION ,HC interface version number" hexmask.long.byte 0x00 0.--7. 1. " CAPLENGTH ,Capability registers length" line.long 0x04 "HCSPARAMS1,Structural Parameters Register 1" hexmask.long.byte 0x04 24.--31. 1. " MAXPORTS ,Number of ports" hexmask.long.word 0x04 8.--18. 1. " MAXINTRS ,Number of interrupters" newline hexmask.long.byte 0x04 0.--7. 1. " MAXSLOTS ,Number of device slots" line.long 0x08 "HCSPARAMS2,Structural Parameters Register 2" bitfld.long 0x08 27.--31. " MAXSCRATCHPADBUFS ,Max scratchpad buffers low" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 26. " SPR ,Scratchpad restore" "0,1" newline bitfld.long 0x08 21.--25. " MAXSCRATCHPADBUFS_HI ,Max scratchpad buffers high" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 4.--7. " ERSTMAX ,Event ring segment table max" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 0.--3. " IST ,Isochronous scheduling threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "HCSPARAMS3,Structural Parameters Register 3" hexmask.long.word 0x0C 16.--31. 1. " U2_DEVICE_EXIT_LAT ,U2 device exit latency" hexmask.long.byte 0x0C 0.--7. 1. " U1_DEVICE_EXIT_LAT ,U1 device exit latency" line.long 0x10 "HCCPARAMS1,Capability Parameters Register 1" hexmask.long.word 0x10 16.--31. 0x01 " XECP ,XHCI extended capabilities pointer" bitfld.long 0x10 12.--15. " MAXPSASIZE ,Maximum primary stream array size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 11. " CFC ,Contiguous frame ID capability" "0,1" bitfld.long 0x10 10. " SEC ,Stopped EDLTA capability" "0,1" newline bitfld.long 0x10 9. " SPC ,Short packet capability" "0,1" bitfld.long 0x10 8. " PAE ,Parse all event data" "0,1" newline bitfld.long 0x10 7. " NSS ,No secondary SID support" "0,1" bitfld.long 0x10 6. " LTC ,Latency tolerance messaging capability" "0,1" newline bitfld.long 0x10 5. " LHRC ,Light HC reset capability" "0,1" bitfld.long 0x10 4. " PIND ,Port indicators" "0,1" newline bitfld.long 0x10 3. " PPC ,Port power control" "0,1" bitfld.long 0x10 2. " CSZ ,Context size" "0,1" newline bitfld.long 0x10 1. " BNC ,BW negotiation capability" "0,1" bitfld.long 0x10 0. " AC64 ,64-bit addressing capability" "0,1" line.long 0x14 "DBOFF,Doorbell Offset Register" hexmask.long 0x14 2.--31. 0x04 " DOORBELLARRAYOFFSET ,Doorbell array offset" line.long 0x18 "RTSOFF,Runtime Register Space Offset Register" hexmask.long 0x18 5.--31. 0x20 " RUNTIME_REG_SPACE_OFFSET ,Runtime register space offset" line.long 0x1C "HCCPARAMS2,Capability Parameters Register 2" bitfld.long 0x1C 5. " CIC ,Configuration information capability" "0,1" bitfld.long 0x1C 4. " LEC ,Large ESIT payload capability" "0,1" newline bitfld.long 0x1C 3. " CTC ,Compliance transition capability" "0,1" bitfld.long 0x1C 2. " FSC ,Force save context capability" "0,1" newline bitfld.long 0x1C 1. " CMC ,Configure endpoint command max exit latency too large capability" "0,1" bitfld.long 0x1C 0. " U3C ,U3 entry capability" "0,1" group.long 0xC100++0x07 line.long 0x00 "GSBUSCFG0,Global SoC Bus Configuration Register 0" bitfld.long 0x00 28.--31. " DATRDREQINFO ,AHB-prot/AXI-cache/OCP-ReqInfo for data read" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. " DESRDREQINFO ,AHB-prot/AXI-cache/OCP-ReqInfo for descriptor read" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. " DATWRREQINFO ,AHB-prot/AXI-cache/OCP-ReqInfo for data write" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. " DESWRREQINFO ,AHB-prot/AXI-cache/OCP-ReqInfo for descriptor write" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. " INCR256BRSTENA ,NCR256 burst type enable" "Disabled,Enabled" bitfld.long 0x00 6. " INCR128BRSTENA ,NCR128 burst type enable" "Disabled,Enabled" newline bitfld.long 0x00 5. " INCR64BRSTENA ,NCR64 burst type enable" "Disabled,Enabled" bitfld.long 0x00 4. " INCR32BRSTENA ,NCR32 burst type enable" "Disabled,Enabled" newline bitfld.long 0x00 3. " INCR16BRSTENA ,NCR16 burst type enable" "Disabled,Enabled" bitfld.long 0x00 2. " INCR8BRSTENA ,NCR8 burst type enable" "Disabled,Enabled" newline bitfld.long 0x00 1. " INCR4BRSTENA ,NCR4 burst type enable" "Disabled,Enabled" bitfld.long 0x00 0. " INCRBRSTENA ,Undefined length INCR burst type enable" "Disabled,Enabled" line.long 0x04 "GSBUSCFG1,Global SoC Bus Configuration Register 1" bitfld.long 0x04 12. " EN1KPAGE ,1k page boundary enable" "Disabled,Enabled" bitfld.long 0x04 8.--11. " PIPETRANSLIMIT ,AXI pipelined transfers burst request limit" "1 request,2 requests,3 requests,4 requests,5 requests,6 requests,7 requests,8 requests,9 requests,10 requests,11 requests,12 requests,13 requests,14 requests,15 requests,16 requests" if ((((per.l(ad:0x03110000+0xC118))&0x03)==0x01)&&((per.l(ad:0x03110000+0xC700))&0x07)==0x04) if (((per.l(ad:0x03110000+0xC108))&0x20000000)==0x20000000) group.long 0xC108++0x03 line.long 0x00 "GTXTHRCFG,Global TX Threshold Control Register" bitfld.long 0x00 29. " USBTXPKTCNTSEL ,USB transmit packet count enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " USBTXPKTCNT ,USB transmit packet count" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x00 16.--23. 1. " USBMAXTXBURSTSIZE ,USB maximum TX burst size" else group.long 0xC108++0x03 line.long 0x00 "GTXTHRCFG,Global TX Threshold Control Register" bitfld.long 0x00 29. " USBTXPKTCNTSEL ,USB transmit packet count enable" "Disabled,Enabled" endif else hgroup.long 0xC108++0x03 hide.long 0x00 "GTXTHRCFG,Global TX Threshold Control Register" endif if (((per.l(ad:0x03110000+0xC700))&0x07)==0x04) if (((per.l(ad:0x03110000+0xC10C))&0x20000000)==0x20000000) group.long 0xC10C++0x03 line.long 0x00 "GRXTHRCFG,Global RX Threshold Control Register" bitfld.long 0x00 29. " USBRXPKTCNTSEL ,USB receive packet count enable" "Disabled,Enabled" bitfld.long 0x00 24.--27. " USBRXPKTCNT ,USB receive packet count" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19.--23. " USBMAXRXBURSTSIZE ,USB maximum receive burst size" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,?..." else group.long 0xC10C++0x03 line.long 0x00 "GRXTHRCFG,Global RX Threshold Control Register" bitfld.long 0x00 29. " USBRXPKTCNTSEL ,USB receive packet count enable" "Disabled,Enabled" endif else hgroup.long 0xC10C++0x03 hide.long 0x00 "GRXTHRCFG,Global RX Threshold Control Register" endif if (((per.l(ad:0x03110000+0xC118))&0x03)==0x01) if (((per.l(ad:0x03110000+0xC700))&0x07)==(0x00||0x04)) group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "125 us,62.5 us,31.25 us,15.625 us" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,OTG" newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" rbitfld.long 0x00 10. " SOFITPSYNC ,UTMI PHY on the first port status" "Disabled,Enabled" newline bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,?..." bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" newline bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" elif (((per.l(ad:0x03110000+0xC700))&0x07)==0x01) group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "1000 us,500 us,250 us,125 us" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,OTG" newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" rbitfld.long 0x00 10. " SOFITPSYNC ,UTMI PHY on the first port status" "Disabled,Enabled" newline bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,?..." bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" newline bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" else group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "1024 bytes,512 bytes,256 bytes,128 bytes" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,OTG" newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" rbitfld.long 0x00 10. " SOFITPSYNC ,UTMI PHY on the first port status" "Disabled,Enabled" newline bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,?..." bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" newline bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" endif else if (((per.l(ad:0x03110000+0xC700))&0x07)==(0x00||0x04)) group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 17. " BYPSSETADDR ,Bypass set address in device mode" "Not bypassed,Bypassed" bitfld.long 0x00 16. " U2RSTECN ,If super speed connection fails during POLL or LMP the device connects at non-SS mode 3 more times when bit is set" "0,3 more times" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "125 us,62.5 us,31.25 us,15.625 us" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,?..." newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,Pipe clock,Pipe/2 clock,?..." newline bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" newline bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" elif (((per.l(ad:0x03110000+0xC700))&0x07)==0x01) group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 17. " BYPSSETADDR ,Bypass set address in device mode" "Not bypassed,Bypassed" bitfld.long 0x00 16. " U2RSTECN ,If super speed connection fails during POLL or LMP the device connects at non-SS mode 3 more times when bit is set" "0,3 more times" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "1000 us,500 us,250 us,125 us" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,?..." newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,Pipe clock,Pipe/2 clock,?..." newline bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" newline bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" else group.long 0xC110++0x03 line.long 0x00 "GCTL,Global Core Control Register" hexmask.long.word 0x00 19.--31. 1. " DWC_USB3_GCTL_INIT ,Power down scale" bitfld.long 0x00 18. " MASTERFILTBYPASS ,Master filter bypass" "Not bypassed,Bypassed" newline bitfld.long 0x00 17. " BYPSSETADDR ,Bypass set address in device mode" "Not bypassed,Bypassed" bitfld.long 0x00 16. " U2RSTECN ,If super speed connection fails during POLL or LMP the device connects at non-SS mode 3 more times when bit is set" "0,3 more times" newline bitfld.long 0x00 14.--15. " FRMSCLDWN ,Scales down device view of SOF/USOF/ITP duration" "1024 bytes,512 bytes,256 bytes,128 bytes" bitfld.long 0x00 12.--13. " PRTCAPDIR ,Port capability direction" ",Host,Device,?..." newline bitfld.long 0x00 11. " CORESOFTRESET ,Core soft reset (debug only)" "No soft reset,Soft reset" bitfld.long 0x00 6.--7. " RAMCLKSEL ,RAM clock select" "Bus clock,Pipe clock,Pipe/2 clock,?..." newline bitfld.long 0x00 3. " DISSCRAMBLE ,Disable scrambling" "No,Yes" bitfld.long 0x00 2. " U2EXIT_LFPS ,Sets LFPS filter for dealing with LFPS glitches" "248ns LFPS valid,Waits for 8us" newline bitfld.long 0x00 0. " DSBLCLKGTNG ,Disable clock gating" "No,Yes" endif endif group.long 0xC118++0x03 line.long 0x00 "GSTS,Global Status Register" rbitfld.long 0x00 10. " OTG_IP ,OTG interrupt pending" "Not pending,Pending" rbitfld.long 0x00 7. " HOST_IP ,Host interrupt pending" "Not pending,Pending" newline rbitfld.long 0x00 6. " DEVICE_IP ,Device interrupt pending" "Not pending,Pending" bitfld.long 0x00 5. " CSRTIMEOUT ,Software write or read to a core register timeout" "No timeout,Timeout" newline bitfld.long 0x00 4. " BUSERRADDRVLD ,Bus error address valid" "Invalid,Valid" bitfld.long 0x00 0.--1. " CURMOD ,Current mode of operation" "Device,Host,?..." if (((per.l(ad:0x03110000+0xC118))&0x03)==0x01) if (((per.l(ad:0x03110000+0xC700))&0x07)==0x00) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" newline bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 16. " PARKMODE_DISABLE_HS ,Park mode disable" "No,Yes" bitfld.long 0x00 8. " L1SUSPTHRLDENFORHOST ,L1 suspend threshold for host enable" "Disabled,Enabled" newline bitfld.long 0x00 4.--7. " L1SUSPTHRLDFORHOST ,L1 suspend threshold value" ",,,,,L1 Suspend,L2 Suspend,,,,,L1 Sleep,,,,Normal" bitfld.long 0x00 3. " HELDEN ,Host ELD enable" "No,Yes" newline bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" newline bitfld.long 0x00 0. " LOA_FILTER_EN ,Enables checking USB 2.0 port babble at least three consecutive times before port is disabled" "Disabled,Enabled" elif (((per.l(ad:0x03110000+0xC700))&0x07)==0x01) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 29. " FILTER_SE0_FSLS_EOP ,SE0 filter enable for FS/LS" "Disabled,Enabled" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" newline bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 16. " PARKMODE_DISABLE_HS ,Park mode disable" "No,Yes" newline bitfld.long 0x00 8. " L1SUSPTHRLDENFORHOST ,L1 suspend threshold for host enable" "Disabled,Enabled" bitfld.long 0x00 4.--7. " L1SUSPTHRLDFORHOST ,L1 suspend threshold value" ",,,,,L1 Suspend,L2 Suspend,,,,,L1 Sleep,,,,Normal" newline bitfld.long 0x00 3. " HELDEN ,Host ELD enable" "No,Yes" bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" newline bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" bitfld.long 0x00 0. " LOA_FILTER_EN ,Enables checking USB 2.0 port babble at least three consecutive times before port is disabled" "Disabled,Enabled" elif (((per.l(ad:0x03110000+0xC700))&0x07)==0x04) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" newline bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 16. " PARKMODE_DISABLE_HS ,Park mode disable" "No,Yes" bitfld.long 0x00 8. " L1SUSPTHRLDENFORHOST ,L1 suspend threshold for host enable" "Disabled,Enabled" newline bitfld.long 0x00 4.--7. " L1SUSPTHRLDFORHOST ,L1 suspend threshold value" ",,,,,L1 Suspend,L2 Suspend,,,,,L1 Sleep,,,,Normal" bitfld.long 0x00 3. " HELDEN ,Host ELD enable" "No,Yes" newline bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" newline bitfld.long 0x00 0. " LOA_FILTER_EN ,Enables checking USB 2.0 port babble at least three consecutive times before port is disabled" "Disabled,Enabled" else hgroup.long 0xC11C++0x03 hide.long 0x00 "GUCTL1,Global User Control Register 1" endif elif (((per.l(ad:0x03110000+0xC118))&0x03)==0x00) if (((per.l(ad:0x03110000+0xC700))&0x07)==0x00) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" bitfld.long 0x00 27. " DEV_TRB_OUT_SPR_IND ,OUT TRB short packet indication enable" "Disabled,Enabled" newline bitfld.long 0x00 26. " DEV_FORCE_20_CLK_FOR_30_CLK ,Force 2.0 clk as 3.0 clk enable" "Disabled,Enabled" bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 24. " DEV_L1_EXIT_BY_HW ,Remote wakeup for L1 enable" "Disabled,Enabled" bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" newline bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" elif (((per.l(ad:0x03110000+0xC700))&0x07)==0x01) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 29. " FILTER_SE0_FSLS_EOP ,SE0 filter enable for FS/LS" "Disabled,Enabled" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" newline bitfld.long 0x00 27. " DEV_TRB_OUT_SPR_IND ,OUT TRB short packet indication enable" "Disabled,Enabled" bitfld.long 0x00 26. " DEV_FORCE_20_CLK_FOR_30_CLK ,Force 2.0 clk as 3.0 clk enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" bitfld.long 0x00 24. " DEV_L1_EXIT_BY_HW ,Remote wakeup for L1 enable" "Disabled,Enabled" newline bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" newline bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" elif (((per.l(ad:0x03110000+0xC700))&0x07)==0x04) group.long 0xC11C++0x03 line.long 0x00 "GUCTL1,Global User Control Register 1" bitfld.long 0x00 28. " TX_IPGAP_LINECHECK_DIS ,Linecheck disable" "No,Yes" bitfld.long 0x00 27. " DEV_TRB_OUT_SPR_IND ,OUT TRB short packet indication enable" "Disabled,Enabled" newline bitfld.long 0x00 25. " P3_IN_U2 ,P3 power state when the SuperSpeed link is in U2 enable" "Disabled,Enabled" bitfld.long 0x00 24. " DEV_L1_EXIT_BY_HW ,Remote wakeup for L1 enable" "Disabled,Enabled" newline bitfld.long 0x00 21.--23. " IP_GAP_ADD_ON ,Adds on to the default inter packet gap setting in the USB 2.0 MAC" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. " DEV_LSP_TAIL_LOCK_DIS ,Bug fix for STAR 9000716195 that affects the CSP mode for OUT endpoints in device mode disable" "No,Yes" newline bitfld.long 0x00 19. " NAK_PER_ENH_FS ,Enables performance enhancement for FS async endpoints in the presence of NAKs" "Disabled,Enabled" bitfld.long 0x00 18. " NAK_PER_ENH_HS ,Enables performance enhancement for HS async endpoints in the presence of NAKs" "Disabled,Enabled" newline bitfld.long 0x00 2. " HPARCHKDISABLE ,Host parameter check disable" "No,Yes" bitfld.long 0x00 1. " OVRLD_L1_SUSP_COM ,Overloads utmi_l1_suspend_com_n with the utmi_sleep_n signal" "Not overloaded,Overloaded" else hgroup.long 0xC11C++0x03 hide.long 0x00 "GUCTL1,Global User Control Register 1" endif else hgroup.long 0xC11C++0x03 hide.long 0x00 "GUCTL1,Global User Control Register 1" endif group.long 0xC128++0x03 line.long 0x00 "GUID,Global User ID Register" if (((per.l(ad:0x03110000+0xC118))&0x03)==0x01) group.long 0xC12C++0x03 line.long 0x00 "GUCTL,Global User Control Register" hexmask.long.word 0x00 22.--31. 1. " REFCLKPER ,Period of ref_clk in ns" bitfld.long 0x00 21. " NOEXTRDL ,No extra delay between SOF and the first packet" "Waits 2 us,Doesn't wait" newline bitfld.long 0x00 17. " SPRSCTRLTRANSEN ,Sparse control transaction enable" "Disabled,Enabled" bitfld.long 0x00 16. " RESBWHSEPS ,Indicates whether reserve 80% or 85% of bandwidth for HS periodic EPs" "80%,85%" newline bitfld.long 0x00 15. " CMDEVADDR ,Compliance mode for device address" "Equal to Slot ID,Increment address" bitfld.long 0x00 14. " USBHSTINAUTORETRYEN ,Host IN auto retry" "Disabled,Enabled" newline bitfld.long 0x00 13. " ENOVERLAPCHK ,Enable check for LFPS overlap during remote UX exit" "Disabled,Enabled" bitfld.long 0x00 12. " EXTCAPSUPTEN ,External extended capability support enable" "Disabled,Enabled" newline bitfld.long 0x00 11. " INSRTEXTRFSBODL ,Insert extra delay between FS bulk OUT transactions" "Not inserted,Inserted" bitfld.long 0x00 9.--10. " DTCT ,Device timeout coarse tuning" "DTFT,500 us,1.5 ms,6.5 ms" newline hexmask.long.word 0x00 0.--8. 1. " DTFT ,Device timeout fine tuning" elif (((per.l(ad:0x03110000+0xC118))&0x03)==0x00) group.long 0xC12C++0x03 line.long 0x00 "GUCTL,Global User Control Register" hexmask.long.word 0x00 22.--31. 1. " REFCLKPER ,Period of ref_clk in ns" bitfld.long 0x00 21. " NOEXTRDL ,No extra delay between SOF and the first packet" "Waits 2 us,Doesn't wait" newline bitfld.long 0x00 17. " SPRSCTRLTRANSEN ,Sparse control transaction enable" "Disabled,Enabled" bitfld.long 0x00 14. " USBHSTINAUTORETRYEN ,Host IN auto retry" "Disabled,Enabled" newline bitfld.long 0x00 13. " ENOVERLAPCHK ,Enable check for LFPS overlap during remote UX exit" "Disabled,Enabled" bitfld.long 0x00 12. " EXTCAPSUPTEN ,External extended capability support enable" "Disabled,Enabled" newline bitfld.long 0x00 11. " INSRTEXTRFSBODL ,Insert extra delay between FS bulk OUT transactions" "Not inserted,Inserted" else hgroup.long 0xC12C++0x03 hide.long 0x00 "GUCTL,Global User Control Register" endif if (((per.l(ad:0x03110000+0xC118))&0x10)==0x10) rgroup.long 0xC130++0x07 line.long 0x00 "GBUSERRADDRLO,Global SoC Bus Error Address Register Low" line.long 0x04 "GBUSERRADDRHI,Global SoC Bus Error Address Register High" else hgroup.long 0xC130++0x03 hide.long 0x00 "GBUSERRADDRLO,Global SoC Bus Error Address Register Low" hgroup.long 0xC134++0x03 hide.long 0x00 "GBUSERRADDRHI,Global SoC Bus Error Address Register High" endif group.long 0xC138++0x07 line.long 0x00 "GPRTBIMAPLO,SuperSpeed Port To Bus Instance Mapping Register" bitfld.long 0x00 0.--3. " BINUM1 ,SS USB instance number for port" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "GPRTBIMAPHI,High-Speed Port To Bus Instance Mapping Register" bitfld.long 0x04 0.--3. " BINUM9 ,SS USB instance number for port 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0xC140++0x1F line.long 0x00 "GHWPARAMS0,Global Hardware Parameters Register 0" hexmask.long.byte 0x00 24.--31. 1. " DWC_USB3_AWIDTH ,Master/slave address bus width" hexmask.long.byte 0x00 16.--23. 1. " DWC_USB3_SDWIDTH ,Slave bus (register access bus) data bus width" newline hexmask.long.byte 0x00 8.--15. 1. " DWC_USB3_MDWIDTH ,Master bus (DMA bus) data bus width" bitfld.long 0x00 6.--7. " DWC_USB3_SBUS_TYPE ,Slave bus (register access bus) interface type" "AHB,?..." newline bitfld.long 0x00 3.--5. " DWC_USB3_MBUS_TYPE ,Master bus (DMA bus) interface type" ",AXI,?..." bitfld.long 0x00 0.--2. " DWC_USB3_MODE ,Mode of operation" ",,DRD,?..." line.long 0x04 "GHWPARAMS1,Global Hardware Parameters Register 1" bitfld.long 0x04 31. " DWC_USB3_EN_DBC ,Enables xHCI debug capability" "Disabled,Enabled" bitfld.long 0x04 30. " DWC_USB3_RM_OPT_FEATURES ,Optional features removal enable" "Disabled,Enabled" newline bitfld.long 0x04 28. " DWC_USB3_RAM_BUS_CLKS_SYNC ,Specifies whether the RAM clock and the bus clock are synchronous to each other" "Asynchronous,Synchronous" bitfld.long 0x04 27. " DWC_USB3_MAC_RAM_CLKS_SYNC ,Specifies whether the MAC clock and the RAM clock are synchronous to each other" "Asynchronous,Synchronous" newline bitfld.long 0x04 26. " DWC_USB3_MAC_PHY_CLKS_SYNC ,Specifies whether the MAC clock and the PHY clock are synchronous to each other" "Asynchronous,Synchronous" bitfld.long 0x04 24.--25. " DWC_USB3_EN_PWROPT ,Specifies the power optimization mode" "No power optimization,Clock gating only,?..." newline bitfld.long 0x04 23. " DWC_USB3_SPRAM_TYP ,Selects the FIFO synchronous static RAM type" "2-Port-RAM,SPRAM" bitfld.long 0x04 21.--22. " DWC_USB3_NUM_RAMS ,Selects the number of RAMs" ",1,2,3" newline bitfld.long 0x04 15.--20. " DWC_USB3_DEVICE_NUM_INT ,Selects the number of event buffers in device mode" ",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,?..." bitfld.long 0x04 12.--14. " DWC_USB3_ASPACEWIDTH ,Selects the address space port width of the master and slave bus interfaces" ",1,2,3,4,5,6,?..." newline bitfld.long 0x04 9.--11. " DWC_USB3_REQINFOWIDTH ,Selects the request/response info port width of the master and slave bus interfaces" ",,,,4,5,6,?..." bitfld.long 0x04 6.--8. " DWC_USB3_DATAINFOWIDTH ,Selects the data info port width of the master and slave bus interfaces" ",1,2,3,4,5,6,?..." newline bitfld.long 0x04 3.--5. " DWC_USB3_BURSTWIDTH ,Selects the burst port width of the master and slave bus interfaces - 1" "1,2,3,4,5,6,7,8" bitfld.long 0x04 0.--2. " DWC_USB3_IDWIDTH1 ,Master ID port width" ",,,4,5,6,7,8" line.long 0x08 "GHWPARAMS2,Global Hardware Parameters Register 2" line.long 0x0C "GHWPARAMS3,Global Hardware Parameters Register 3" hexmask.long.word 0x0C 23.--30. 1. " DWC_USB3_CACHE_TOTAL_XFER_RESOURCES ,Selects the maximum number of transfer resources in the core" bitfld.long 0x0C 18.--22. " DWC_USB3_NUM_IN_EPS ,Specifies the maximum number of device mode IN endpoints active at any time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 12.--17. " DWC_USB3_NUM_EPS ,Specifies the number of device mode single directional endpoints" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 10. " DWC_USB3_VENDOR_CTL_INTERFACE ,Enables the UTMI+ PHY vendor control interface" "Disabled,Enabled" newline bitfld.long 0x0C 6.--7. " DWC_USB3_HSPHY_DWIDTH ,Specifies the data width of the UTMI+ PHY interface" ",,8/16-bits,?..." bitfld.long 0x0C 2.--3. " DWC_USB3_HSPHY_INTERFACE ,Specifies the high-speed PHY interface" "0,1,2,3" newline bitfld.long 0x0C 0.--1. " DWC_USB3_SSPHY_INTERFACE ,Specifies the SuperSpeed PHY interface" "0,1,2,3" line.long 0x10 "GHWPARAMS4,Global Hardware Parameters Register 4" bitfld.long 0x10 28.--31. " DWC_USB3_BMU_LSP_DEPTH ,Specifies the depth of the BMU-LSP status buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 24.--27. " DWC_USB3_BMU_PTL_DEPTH ,Specifies the depth of the BMU-PTL source/sink buffers-1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 23. " DWC_USB3_EN_ISOC_SUPT ,Enables isochronous endpoint capability" "Disabled,Enabled" bitfld.long 0x10 17.--20. " DWC_USB3_NUM_SS_USB_INSTANCES ,Specifies the number of SuperSpeed USB bus instances" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 0.--5. " DWC_USB3_CACHE_TRBS_PER_TRANSFER ,Selects the number of transfer request blocks" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x14 "GHWPARAMS5,Global Hardware Parameters Register 5" bitfld.long 0x14 22.--27. " DWC_USB3_DFQ_FIFO_DEPTH ,Specifies the size of the BMU descriptor fetch request queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x14 16.--21. " DWC_USB3_DWQ_FIFO_DEPTH ,Specifies the size of the BMU descriptor write queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 10.--15. " DWC_USB3_TXQ_FIFO_DEPTH ,Specifies the size of the BMU TX request queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x14 4.--9. " DWC_USB3_RXQ_FIFO_DEPTH ,Specifies the size of the BMU RX request queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 0.--3. " DWC_USB3_BMU_BUSGM_DEPTH ,Specifies the depth of the BMU-BUSGM source/sink buffer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x18 "GHWPARAMS6,Global Hardware Parameters Register 6" hexmask.long.word 0x18 16.--31. 1. " DWC_USB3_RAM0_DEPTH ,Specifies the depth of RAM0" bitfld.long 0x18 15. " BUSFLTRSSUPPORT ,Specifies whether to add a filter for VBUS and ID related control inputs from the PHY" "Not added,Added" newline bitfld.long 0x18 12. " ADPSUPPORT ,Enables internal ADP capability of the USB 3.0 core" "Disabled,Enabled" bitfld.long 0x18 11. " HNPSUPPORT ,HNP support enable" "Disabled,Enabled" newline bitfld.long 0x18 10. " SRPSUPPORT ,SRP support enable" "Disabled,Enabled" bitfld.long 0x18 7. " DWC_USB3_EN_FPGA ,Hardware validation/driver development with an FPGA platform" "No,Yes" newline bitfld.long 0x18 6. " DWC_USB3_EN_DBG_PORTS ,Used for FPGA hardware validation of the core" "Not used,Used" bitfld.long 0x18 0.--5. " DWC_USB3_PSQ_FIFO_DEPTH ,Specifies the size of the BMU protocol status queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x1C "GHWPARAMS7,Global Hardware Parameters Register 7" hexmask.long.word 0x1C 16.--31. 1. " DWC_USB3_RAM2_DEPTH ,Specifies the depth of RAM2" hexmask.long.word 0x1C 0.--15. 1. " DWC_USB3_RAM1_DEPTH ,Specifies the depth of RAM1" group.long 0xC180++0x07 line.long 0x00 "GPRTBIMAP_HSLO,Global High-Speed Port To Bus Instance Mapping Low Register" bitfld.long 0x00 0.--3. " BINUM1 ,HS USB instance number for port 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "GPRTBIMAP_HSHI,Global High-Speed Port To Bus Instance Mapping High Register" bitfld.long 0x04 0.--3. " BINUM9 ,HS USB instance number for port 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" if (((per.l(ad:0x03110000+0xC118))&0x03)==0x01) group.long 0xC200++0x03 line.long 0x00 "GUSB2PHYCFGN,Global USB2 PHY Configuration Register" bitfld.long 0x00 31. " UTMI_PHYSOFTRST ,UTMI PHY soft reset" "No reset,Reset" rbitfld.long 0x00 30. " U2_FREECLK_EXISTS ,Specifies whether USB 2.0 PHY provides free-running PHY clock" "No,Yes" newline bitfld.long 0x00 22.--24. " LSTRD ,LS turnaround time" "2 bit times,2.5 bit times,3 bit times,3.5 bit times,4 bit times,4.5 bit times,5 bit times,5.5 bit times" bitfld.long 0x00 19.--21. " LSIPD ,LS inter-packet time" "2 bit times,2.5 bit times,3 bit times,3.5 bit times,4 bit times,4.5 bit times,5 bit times,5.5 bit times" newline bitfld.long 0x00 8. " ENBLSLPM ,Enables utmi_sleep_n and utmi_l1_suspend_n" "Disabled,Enabled" bitfld.long 0x00 6. " SUSPENDUSB20 ,Suspend USB 2.0 HS/FS/LS PHY" "Not suspended,Suspended" newline bitfld.long 0x00 3. " PHYIF ,Uses this bit to configure the core to support a UTMI+ PHY with an 8- or 16-bit interface" "8-bits,16-bits" else group.long 0xC200++0x03 line.long 0x00 "GUSB2PHYCFGN,Global USB2 PHY Configuration Register" bitfld.long 0x00 31. " UTMI_PHYSOFTRST ,UTMI PHY soft reset" "No reset,Reset" rbitfld.long 0x00 30. " U2_FREECLK_EXISTS ,Specifies whether USB 2.0 PHY provides free-running PHY clock" "No,Yes" newline bitfld.long 0x00 8. " ENBLSLPM ,Enables utmi_sleep_n and utmi_l1_suspend_n" "Disabled,Enabled" bitfld.long 0x00 6. " SUSPHY ,Suspend USB 2.0 HS/FS/LS PHY" "Not suspended,Suspended" newline bitfld.long 0x00 3. " PHYIF ,Uses this bit to configure the core to support a UTMI+ PHY with an 8- or 16-bit interface" "8-bits,16-bits" endif group.long 0xC2C0++0x03 line.long 0x00 "GUSB3PIPECTL,Global USB 3.0 PIPE Control Register" bitfld.long 0x00 31. " PHYSOFTRST ,USB3 PHY soft reset" "No reset,Reset" bitfld.long 0x00 29. " U2SSINACTP3OK ,P3 OK for U2/SSInactive" "P2,P3" newline bitfld.long 0x00 28. " DISRXDETP3 ,Receiver detection in P3 state" "Stays in P3,Goes to P2 then back P3" bitfld.long 0x00 25. " U1U2EXITFAIL_TO_RECOV ,Selects transition from U1/U2 to recovery or SS inactive (when U1/U2 LFPS handshake fails)" "No effect,Failed" newline bitfld.long 0x00 17. " SUSPENDENABLE ,Suspend USB3.0 SS PHY" "Not suspended,Suspended" rbitfld.long 0x00 15.--16. " DATWIDTH ,PIPE data width" "32 bits,16 bits,8 bits,?..." newline bitfld.long 0x00 6. " TXSWING ,TXSWING field" "0,1" bitfld.long 0x00 3.--5. " TXMARGIN ,TXMARGIN field" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 1.--2. " TXDEEMPHASIS ,Value driven to the PHY is controlled by LTSSM during USB3 compliance mode" "0,1,2,3" bitfld.long 0x00 0. " ELASTICBUFFERMODE ,Elastic buffer mode" "0,1" group.long 0xC300++0x03 line.long 0x00 "GTXFIFOSIZ_0,Global Transmit FIFO Size Register 0" hexmask.long.word 0x00 16.--31. 0x01 " TXFSTADDR_0 ,Transmit FIFO_0 RAM start address" hexmask.long.word 0x00 0.--15. 1. " TXFDEP_0 ,TXFIFO 0 depth" group.long 0xC310++0x03 line.long 0x00 "GTXFIFOSIZ_1,Global Transmit FIFO Size Register 1" hexmask.long.word 0x00 16.--31. 0x01 " TXFSTADDR_1 ,Transmit FIFO_1 RAM start address" hexmask.long.word 0x00 0.--15. 1. " TXFDEP_1 ,TXFIFO 1 depth" group.long 0xC320++0x03 line.long 0x00 "GTXFIFOSIZ_2,Global Transmit FIFO Size Register 2" hexmask.long.word 0x00 16.--31. 0x01 " TXFSTADDR_2 ,Transmit FIFO_2 RAM start address" hexmask.long.word 0x00 0.--15. 1. " TXFDEP_2 ,TXFIFO 2 depth" group.long 0xC330++0x03 line.long 0x00 "GTXFIFOSIZ_3,Global Transmit FIFO Size Register 3" hexmask.long.word 0x00 16.--31. 0x01 " TXFSTADDR_3 ,Transmit FIFO_3 RAM start address" hexmask.long.word 0x00 0.--15. 1. " TXFDEP_3 ,TXFIFO 3 depth" group.long 0xC380++0x03 line.long 0x00 "GRXFIFOSIZ_0,Global Receive FIFO Size Register 0" hexmask.long.word 0x00 16.--31. 0x01 " RXFSTADDR_0 ,Receive FIFO_0 RAM start address" hexmask.long.word 0x00 0.--15. 1. " RXFDEP_0 ,RXFIFO 0 depth" group.long 0xC390++0x03 line.long 0x00 "GRXFIFOSIZ_1,Global Receive FIFO Size Register 1" hexmask.long.word 0x00 16.--31. 0x01 " RXFSTADDR_1 ,Receive FIFO_1 RAM start address" hexmask.long.word 0x00 0.--15. 1. " RXFDEP_1 ,RXFIFO 1 depth" group.long 0xC3A0++0x03 line.long 0x00 "GRXFIFOSIZ_2,Global Receive FIFO Size Register 2" hexmask.long.word 0x00 16.--31. 0x01 " RXFSTADDR_2 ,Receive FIFO_2 RAM start address" hexmask.long.word 0x00 0.--15. 1. " RXFDEP_2 ,RXFIFO 2 depth" group.long 0xC400++0x0F line.long 0x00 "GEVNTADRLO,Global Event Buffer Address Register Low" line.long 0x04 "GEVNTADRHI,Global Event Buffer Address Register High" line.long 0x08 "GEVNTSIZ,Global Event Buffer Size Register" bitfld.long 0x08 31. " EVNTINTRPTMASK ,Event interrupt mask" "Not masked,Masked" hexmask.long.word 0x08 0.--15. 1. " EVNTSIZ ,Event buffer size in bytes" line.long 0x0C "GEVNTCOUNT,Global Event Buffer Count Register" hexmask.long.word 0x0C 0.--15. 1. " EVNTCOUNT ,Event count" rgroup.long 0xC600++0x03 line.long 0x00 "GHWPARAMS8,Global Hardware Parameters Register 8" group.long 0xC610++0x03 line.long 0x00 "GTXFIFOPRIDEV,Global Device TX FIFO DMA Priority Register" bitfld.long 0x00 3. " GTXFIFOPRIDEV[3] ,Device TXFIFO 3 priority" "Low,High" bitfld.long 0x00 2. " [2] ,Device TXFIFO 2 priority" "Low,High" newline bitfld.long 0x00 1. " [1] ,Device TXFIFO 1 priority" "Low,High" bitfld.long 0x00 0. " [0] ,Device TXFIFO 0 priority" "Low,High" group.long 0xC618++0x07 line.long 0x00 "GTXFIFOPRIHST,Global Host TX FIFO DMA Priority Register" bitfld.long 0x00 2. " GTXFIFOPRIHST[2] ,Host TXFIFO 2 priority" "Low,High" bitfld.long 0x00 1. " [1] ,Host TXFIFO 1 priority" "Low,High" newline bitfld.long 0x00 0. " [0] ,Host TXFIFO 0 priority" "Low,High" line.long 0x04 "GRXFIFOPRIHST,Global Host RX FIFO DMA Priority Register" bitfld.long 0x04 2. " GRXFIFOPRIHST[2] ,Host RXFIFO 2 priority" "Low,High" bitfld.long 0x04 1. " [1] ,Host RXFIFO 1 priority" "Low,High" newline bitfld.long 0x04 0. " [0] ,Host RXFIFO 0 priority" "Low,High" group.long 0xC624++0x03 line.long 0x00 "GDMAHLRATIO,Global Host FIFO DMA High-Low Priority Ratio Register" bitfld.long 0x00 8.--12. " HSTRXFIFO ,Host RXFIFO DMA high-low priority ratio" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--4. " HSTTXFIFO ,Host TXFIFO DMA high-low priority ratio" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xC630++0x03 line.long 0x00 "GFLADJ,Global Frame Length Adjustment Register" hexmask.long.word 0x00 8.--21. 1. " GFLADJ_REFCLK_FLADJ ,Indicates the frame length adjustment to be applied when SOF/ITP counter is running on the ref_clk" bitfld.long 0x00 7. " GFLADJ_30MHZ_REG_SEL ,Selects whether to use a hard-coded value of 20h (32 decimal) or the value in GFLADJ[GFLADJ_30MHZ] to adjust the frame length for the SOF/ITP" "32,GFLADJ.GFLADJ_30MHZ" newline bitfld.long 0x00 0.--5. " GFLADJ_30MHZ ,Value used for frame length adjustment" "59488,59504,59520,59536,59552,59568,59584,59600,59616,59632,59648,59664,59680,59696,59712,59728,59744,59760,59776,59792,59808,59824,59840,59856,59872,59888,59904,59920,59936,59952,59968,59984,60000,60016,60032,60048,60064,60080,60096,60112,60128,60144,60160,60176,60192,60208,60224,60240,60256,60272,60288,60304,60320,60336,60352,60368,60384,60400,60416,60432,60448,60464,60480,60496" group.long 0xC700++0x03 line.long 0x00 "DCFG,Device Configuration Register" bitfld.long 0x00 23. " IGNORESTREAMPP ,Receive or ignore PP bit" "Received,Ignored" bitfld.long 0x00 22. " LPMCAP ,LPM capability enable" "Disabled,Enabled" newline bitfld.long 0x00 17.--21. " NUMP ,Number of receive buffers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. " INTRNUM ,Interrupt number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 3.--9. 0x08 " DEVADDR ,Device address" bitfld.long 0x00 0.--2. " DEVSPD ,Device speed" "High-speed,Full-speed,,,SuperSpeed,?..." if (((per.l(ad:0x03110000+0xC700))&0x07)==0x04) group.long 0xC704++0x03 line.long 0x00 "DCTL,Device Control Register" bitfld.long 0x00 31. " RUN_STOP ,Run/stop" "Stopped,Started" eventfld.long 0x00 30. " CSFTRST ,Core soft reset" "No reset,Reset" newline bitfld.long 0x00 24.--28. " HIRD_THRES ,HIRD threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 20.--23. " LMP_NYET_THRES ,LPM NYET response threshold handshake response" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17. " CRS ,Controller restore state" "Not restored,Restored" bitfld.long 0x00 16. " CSS ,Controller save state" "Not saved,Saved" newline bitfld.long 0x00 12. " INITU2ENA ,Initiate U2 enable" "Disabled,Enabled" bitfld.long 0x00 11. " ACCEPTU2ENA ,Accept U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " INITU1ENA ,Initiate U1 enable" "Disabled,Enabled" bitfld.long 0x00 9. " ACCEPTU1ENA ,Accept U1 enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--8. " ULSTCHNGREQ ,USB/link state change request" "No action,,,,SS.Disabled,Rx.Detect,SS.Inactive,,Recovery,?..." bitfld.long 0x00 1.--4. " TSTCTL ,Test control mode" "Disabled,Test_J,Test_K,Test_SE0_NAK,Test_Packet,Test_Force_Enable,?..." else group.long 0xC704++0x03 line.long 0x00 "DCTL,Device Control Register" bitfld.long 0x00 31. " RUN_STOP ,Run/stop" "Stopped,Started" eventfld.long 0x00 30. " CSFTRST ,Core soft reset" "No reset,Reset" newline bitfld.long 0x00 24.--28. " HIRD_THRES ,HIRD threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 20.--23. " LMP_NYET_THRES ,LPM NYET response threshold handshake response" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 17. " CRS ,Controller restore state" "Not restored,Restored" bitfld.long 0x00 16. " CSS ,Controller save state" "Not saved,Saved" newline bitfld.long 0x00 12. " INITU2ENA ,Initiate U2 enable" "Disabled,Enabled" bitfld.long 0x00 11. " ACCEPTU2ENA ,Accept U2 enable" "Disabled,Enabled" newline bitfld.long 0x00 10. " INITU1ENA ,Initiate U1 enable" "Disabled,Enabled" bitfld.long 0x00 9. " ACCEPTU1ENA ,Accept U1 enable" "Disabled,Enabled" newline bitfld.long 0x00 5.--8. " ULSTCHNGREQ ,USB/link state change request" ",,,,,,,,Remote wakeup request,?..." bitfld.long 0x00 1.--4. " TSTCTL ,Test control mode" "Disabled,Test_J,Test_K,Test_SE0_NAK,Test_Packet,Test_Force_Enable,?..." endif group.long 0xC708++0x03 line.long 0x00 "DEVTEN,Device Event Enable Register" bitfld.long 0x00 12. " VENDEVTSTRCVDEN ,Vendor device test LMP received event enable" "Disabled,Enabled" bitfld.long 0x00 9. " ERRTICERREVTEN ,Erratic error event enable" "Disabled,Enabled" newline bitfld.long 0x00 7. " SOFTEVTEN ,Start of frame enable" "Disabled,Enabled" bitfld.long 0x00 6. " U3L2L1SUSPEN ,U3/L2-L1 suspend event enable" "Disabled,Enabled" newline bitfld.long 0x00 4. " WKUPEVTEN ,Resume/remote wakeup detected event enable" "Disabled,Enabled" bitfld.long 0x00 3. " ULSTCNGEN ,USB/link state change event enable" "Disabled,Enabled" newline bitfld.long 0x00 2. " CONNECTDONEEVTEN ,Connection done enable" "Disabled,Enabled" bitfld.long 0x00 1. " USBRSTEVTEN ,USB reset enable" "Disabled,Enabled" newline bitfld.long 0x00 0. " DISSCONNEVTEN ,Disconnect detected event enable" "Disabled,Enabled" if (((per.l(ad:0x03110000+0xC70C))&0x07)==0x04) rgroup.long 0xC70C++0x03 line.long 0x00 "DSTS,Device Status Register" bitfld.long 0x00 25. " RSS ,Restore state status" "Completed,Not completed" bitfld.long 0x00 24. " SSS ,Save state status" "Completed,Not completed" newline bitfld.long 0x00 23. " COREIDLE ,Core idle" "Busy,Idle" bitfld.long 0x00 22. " DEVCTRLHLT ,Device controller halted" "Not halted,Halted" newline bitfld.long 0x00 18.--21. " USBLNKST ,USB/link state in SS mode" "U0,U1,U2,U3,SS_DIS,RX_DET,SS_INACT,POLL,RECOV,HRESET,CMPLY,LPBK,,,,Resume/Reset" bitfld.long 0x00 17. " RXFIFOEMPTY ,RXFIFO empty" "Not empty,Empty" newline hexmask.long.tbyte 0x00 3.--16. 1. " SOFFN ,Frame/microframe number of the received SOF" bitfld.long 0x00 0.--2. " CONNECTSPD ,Connected speed" "High-speed,Full-speed,Low-speed,Full-speed,SuperSpeed,?..." else rgroup.long 0xC70C++0x03 line.long 0x00 "DSTS,Device Status Register" bitfld.long 0x00 25. " RSS ,Restore state status" "Completed,Not completed" bitfld.long 0x00 24. " SSS ,Save state status" "Completed,Not completed" newline bitfld.long 0x00 23. " COREIDLE ,Core idle" "Busy,Idle" bitfld.long 0x00 22. " DEVCTRLHLT ,Device controller halted" "Not halted,Halted" newline bitfld.long 0x00 18.--21. " USBLNKST ,USB/link state in SS mode" "On state,,Sleep,Suspend,Disconnected,?..." bitfld.long 0x00 17. " RXFIFOEMPTY ,RXFIFO empty" "Not empty,Empty" newline hexmask.long.tbyte 0x00 3.--16. 1. " SOFFN ,Frame/microframe number of the received SOF" bitfld.long 0x00 0.--2. " CONNECTSPD ,Connected speed" "High-speed,Full-speed,Low-speed,Full-speed,SuperSpeed,?..." endif group.long 0xC710++0x07 line.long 0x00 "DGCMDPAR,Device Generic Command Parameter Register" line.long 0x04 "DGCMD,Device Generic Command Register" rbitfld.long 0x04 15. " CMDSTATUS[3] ,Command status 3" "Success,Error" rbitfld.long 0x04 14. " [2] ,Command status 2" "Success,Error" newline rbitfld.long 0x04 13. " [1] ,Command status 1" "Success,Error" rbitfld.long 0x04 12. " [0] ,Command status 0" "Success,Error" newline bitfld.long 0x04 10. " CMDACT ,Command active" "Not active,Active" bitfld.long 0x04 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" newline hexmask.long.byte 0x04 0.--7. 1. " CMDTYP ,Command type" group.long 0xC720++0x03 line.long 0x00 "DALEPENA,Device Active USB Endpoint Enable Register" bitfld.long 0x00 7. " USBACTEP[7] ,USB EP3-IN" "Not active,Active" bitfld.long 0x00 6. " [6] ,USB EP3-OUT" "Not active,Active" newline bitfld.long 0x00 5. " [5] ,USB EP2-IN" "Not active,Active" bitfld.long 0x00 4. " [4] ,USB EP2-OUT" "Not active,Active" newline bitfld.long 0x00 3. " [3] ,USB EP1-IN" "Not active,Active" bitfld.long 0x00 2. " [2] ,USB EP1-OUT" "Not active,Active" newline bitfld.long 0x00 1. " [1] ,USB EP0-IN" "Not active,Active" bitfld.long 0x00 0. " [0] ,USB EP0-OUT" "Not active,Active" group.long (0xC800+0x0)++0x0F line.long 0x00 "DEPCMDPAR2_0,Device Physical Endpoint-0 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_0,Device Physical Endpoint-0 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_0,Device Physical Endpoint-0 Command Parameter 0 Register" line.long 0x0C "DEPCMD_0,Device Physical Endpoint-0 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x10)++0x0F line.long 0x00 "DEPCMDPAR2_1,Device Physical Endpoint-1 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_1,Device Physical Endpoint-1 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_1,Device Physical Endpoint-1 Command Parameter 0 Register" line.long 0x0C "DEPCMD_1,Device Physical Endpoint-1 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x20)++0x0F line.long 0x00 "DEPCMDPAR2_2,Device Physical Endpoint-2 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_2,Device Physical Endpoint-2 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_2,Device Physical Endpoint-2 Command Parameter 0 Register" line.long 0x0C "DEPCMD_2,Device Physical Endpoint-2 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x30)++0x0F line.long 0x00 "DEPCMDPAR2_3,Device Physical Endpoint-3 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_3,Device Physical Endpoint-3 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_3,Device Physical Endpoint-3 Command Parameter 0 Register" line.long 0x0C "DEPCMD_3,Device Physical Endpoint-3 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x40)++0x0F line.long 0x00 "DEPCMDPAR2_4,Device Physical Endpoint-4 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_4,Device Physical Endpoint-4 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_4,Device Physical Endpoint-4 Command Parameter 0 Register" line.long 0x0C "DEPCMD_4,Device Physical Endpoint-4 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x50)++0x0F line.long 0x00 "DEPCMDPAR2_5,Device Physical Endpoint-5 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_5,Device Physical Endpoint-5 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_5,Device Physical Endpoint-5 Command Parameter 0 Register" line.long 0x0C "DEPCMD_5,Device Physical Endpoint-5 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x60)++0x0F line.long 0x00 "DEPCMDPAR2_6,Device Physical Endpoint-6 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_6,Device Physical Endpoint-6 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_6,Device Physical Endpoint-6 Command Parameter 0 Register" line.long 0x0C "DEPCMD_6,Device Physical Endpoint-6 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long (0xC800+0x70)++0x0F line.long 0x00 "DEPCMDPAR2_7,Device Physical Endpoint-7 Command Parameter 2 Register" line.long 0x04 "DEPCMDPAR1_7,Device Physical Endpoint-7 Command Parameter 1 Register" line.long 0x08 "DEPCMDPAR0_7,Device Physical Endpoint-7 Command Parameter 0 Register" line.long 0x0C "DEPCMD_7,Device Physical Endpoint-7 Command Register" hexmask.long.word 0x0C 16.--31. 1. " COMMANDPARAM ,Command parameters" bitfld.long 0x0C 12.--15. " CMDSTATUS ,Command completion status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. " HIPRI_FORCERM ,HighPriority/ForceRM" "0,1" bitfld.long 0x0C 10. " CMDACT ,Enables device endpoint controller to execute generic command" "No effect,Execute" newline bitfld.long 0x0C 8. " CMDIOC ,Command interrupt on complete" "Masked,Not masked" bitfld.long 0x0C 0.--3. " CMDTYPE ,Command type" ",Set EP conf.,Set EP transfer res. conf.,Get EP state,Set stall,Clear stall,Start transfer,Update transfer,End transfer,Start new conf.,?..." group.long 0xCC00++0x0F line.long 0x00 "OCFG,OTG Configuration Register" bitfld.long 0x00 5. " DISPRTPWRCUTOFF ,OTG disable port power cut off" "No,Yes" bitfld.long 0x00 3. " OTGSFTRSTMSK ,OTG soft reset mask" "Not masked,Masked" newline bitfld.long 0x00 1. " HNPCAP ,HNP capability enable" "Disabled,Enabled" bitfld.long 0x00 0. " SRPCAP ,SRP capability enable" "Disabled,Enabled" line.long 0x04 "OCTL,OTG Control Register" bitfld.long 0x04 6. " PERIMODE ,Peripheral mode" "Host,Peripheral" bitfld.long 0x04 5. " PRTPWRCTL ,Port power control" "B-device,A-device" newline bitfld.long 0x04 4. " HNPREQ ,HNP request" "Not requested,Requested" bitfld.long 0x04 3. " SESREQ ,Session request" "Not requested,Requested" newline bitfld.long 0x04 2. " TERMSELDLPULSE ,TermSel DLine pulsing selection" "UTMI_TXVALID,UTMI_TERMSEL" bitfld.long 0x04 1. " DEVSETHNPEN ,Device set RSP/HNP enable" "Disabled,Enabled" newline bitfld.long 0x04 0. " HSTSETHNPEN ,Host set RSP/HNP enable" "Disabled,Enabled" line.long 0x08 "OEVT,OTG Events Register" rbitfld.long 0x08 31. " DEVICEMODE ,Device mode" "A-Device,B-Device" bitfld.long 0x08 27. " OTGXHCIRUNSTPSETEVNT ,OTG host run stop set event" "Not occurred,Occurred" newline bitfld.long 0x08 26. " OTGDEVRUNSTPSETEVNT ,OTG device run stop set event" "Not occurred,Occurred" bitfld.long 0x08 24. " OTGCONIDSTSCHNGEVNT ,Connector ID status change event" "Not occurred,Occurred" newline bitfld.long 0x08 21. " OTGADEVIDLEEVNT ,A-device A-IDLE event" "Not occurred,Occurred" bitfld.long 0x08 20. " OTGADEVBHOSTENDEVNT ,A-device B-Host end event" "Not occurred,Occurred" newline bitfld.long 0x08 19. " OTGADEVHOSTEVNT ,A-device host event" "Not occurred,Occurred" bitfld.long 0x08 18. " OTGADEVHNPCHNGEVNT ,A-Dev HNP change event" "Not occurred,Occurred" newline bitfld.long 0x08 17. " OTGADEVSRPDETEVNT ,SRP detect event" "Not occurred,Occurred" bitfld.long 0x08 16. " OTGADEVSESSENDDETEVNT ,Session end detected event" "Not occurred,Occurred" newline bitfld.long 0x08 11. " OTGBDEVBHOSTENDEVNT ,B-Device B-Host end event" "Not occurred,Occurred" bitfld.long 0x08 10. " OTGBDEVHNPCHNGEVNT ,B-device HNP change event" "Not occurred,Occurred" newline bitfld.long 0x08 9. " OTGBDEVSESSVLDDETEVNT ,Session valid detected event" "Not occurred,Occurred" bitfld.long 0x08 8. " OTGBDEVVBUSCHNGEVNT ,VBUS change event" "Not occurred,Occurred" newline rbitfld.long 0x08 3. " BSESVLD ,B-Session valid" "Not valid,Valid" rbitfld.long 0x08 2. " HSTNEGSTS ,Host negotiation status" "Failure,Success" newline rbitfld.long 0x08 1. " SESREQSTS ,Session request status" "Not requested,Requested" bitfld.long 0x08 0. " OEVTERROR ,OTG event error" "No error,Error" line.long 0x0C "OEVTEN,OTG Events Enable Register" bitfld.long 0x0C 27. " TGXHCIRUNSTPSETEVNTEN ,OTG host run stop set event enable" "Disabled,Enabled" bitfld.long 0x0C 26. " OTGDEVRUNSTPSETEVNTEN ,OTG device run stop set event enable" "Disabled,Enabled" newline bitfld.long 0x0C 24. " OTGCONIDSTSCHNGEVNTEN ,Connector ID status change event enable" "Disabled,Enabled" bitfld.long 0x0C 23. " HRRCONFNOTIFEVNTEN ,HRRConfNotif event enable" "Disabled,Enabled" newline bitfld.long 0x0C 22. " HRRINITNOTIFEVNTEN ,HRRInitNotif event enable" "Disabled,Enabled" bitfld.long 0x0C 21. " OTGADEVIDLEEVNTEN ,A-device A-IDLE event enable" "Disabled,Enabled" newline bitfld.long 0x0C 20. " OTGADEVBHOSTENDEVNTEN ,A-device B-Host end event enable" "Disabled,Enabled" bitfld.long 0x0C 19. " OTGADEVHOSTEVNTEN ,A-device host event enable" "Disabled,Enabled" newline bitfld.long 0x0C 18. " OTGADEVHNPCHNGEVNTEN ,A-Dev HNP change event enable" "Disabled,Enabled" bitfld.long 0x0C 17. " OTGADEVSRPDETEVNTEN ,SRP detect event enable" "Disabled,Enabled" newline bitfld.long 0x0C 16. " OTGADEVSESSENDDETEVNTEN ,Session end detected event enable" "Disabled,Enabled" bitfld.long 0x0C 11. " OTGBDEVBHOSTENDEVNTEN ,B-device B-Host end event enable" "Disabled,Enabled" newline bitfld.long 0x0C 10. " OTGBDEVHNPCHNGEVNTEN ,B-Dev HNP change event enable" "Disabled,Enabled" bitfld.long 0x0C 9. " OTGBDEVSESSVLDDETEVNTEN ,Session valid detected event enable" "Disabled,Enabled" newline bitfld.long 0x0C 8. " OTGBDEVVBUSCHNGEVNTEN ,VBUS change event enable" "Disabled,Enabled" rgroup.long 0xCC10++0x03 line.long 0x00 "OSTS,OTG Status Register" bitfld.long 0x00 13. " DEVRUNSTP ,Reflects the status of the device run/stop bit in the DCTL device register" "Stopped,Started" bitfld.long 0x00 12. " XHCIRUNSTP ,OTG host run stop set event" "Low,High" newline bitfld.long 0x00 4. " PERIPHERALSTATE ,Indicates whether the core is acting as a peripheral or host" "Host,Peripheral" bitfld.long 0x00 3. " XHCIPRTPOWER ,Reflects the PORTSC.PP bit in the xHCI register" "Low,High" newline bitfld.long 0x00 2. " BSESVLD ,B-session valid" "Not valid,Valid" bitfld.long 0x00 1. " ASESVLD ,A-session valid" "Not valid,Valid" newline bitfld.long 0x00 0. " CONIDSTS ,Connector ID status" "A-device,B-device" group.long 0xCC20++0x0F line.long 0x00 "ADPCFG,ADP Configuration Register" bitfld.long 0x00 30.--31. " PRBPER ,Probe period/scale down probe period" "0.775 s/12.5 ms,1.55 s/18.75 ms,2.275 s/25 ms,-/31.25 ms" bitfld.long 0x00 28.--29. " PRBDELTA ,Sets the resolution for RTIM value" "1 cycle,2 cycles,3 cycles,4 cycles" newline bitfld.long 0x00 26.--27. " PRBDSCHG ,Probe discharge/scale down probe discharge" "4 ms/62.5 us,8 ms/125 us,16 ms/250 us,32 ms/500 us" line.long 0x04 "ADPCTL,ADP Control Register" bitfld.long 0x04 28. " ENAPRB ,Enable probe" "Disabled,Enabled" bitfld.long 0x04 27. " ENASNS ,Enable sense" "Disabled,Enabled" newline bitfld.long 0x04 26. " ADPEN ,ADP enable" "Disabled,Enabled" bitfld.long 0x04 25. " ADPRES ,ADP reset" "No reset,Reset" newline rbitfld.long 0x04 24. " WB ,Write busy" "Completed,In progress" line.long 0x08 "ADPEVT,ADP Event Register" bitfld.long 0x08 28. " ADPPRBEVNT ,ADP probe event" "Not occurred,Occurred" bitfld.long 0x08 27. " ADPSNSEVNT ,ADP sense event" "Not occurred,Occurred" newline bitfld.long 0x08 26. " ADPTMOUTEVNT ,ADP timeout event" "Not occurred,Occurred" bitfld.long 0x08 25. " ADPRSTCMPLTEVNT ,ADP reset complete event" "Not occurred,Occurred" newline hexmask.long.word 0x08 0.--15. 1. " RTIM ,Captures the latest time it took for VBUS to ramp from VADP_SINK to VADP_PRB" line.long 0x0C "ADPEVTEN,ADP Event Enable Register" eventfld.long 0x0C 28. " ADPPRBEVNTEN ,ADP probe event enable" "Disabled,Enabled" eventfld.long 0x0C 27. " ADPSNSEVNTEN ,ADP sense event enable" "Disabled,Enabled" newline eventfld.long 0x0C 26. " ADPTMOUTEVNTEN ,ADP timeout event enable" "Disabled,Enabled" eventfld.long 0x0C 25. " ADPRSTCMPLTEVNTEN ,ADP reset complete event enable" "Disabled,Enabled" width 0x0B tree.end endif tree.end tree.open "USB PHY SS" tree "USBPHY1" base ad:0x704600000 width 21. rgroup.word 0x00++0x03 line.word 0x00 "IP_IDCODE_LO,SUP_IDCODE_LO" line.word 0x02 "SUP_IDCODE_HI,SUP_IDCODE_HI" group.word 0x50++0x01 line.word 0x00 "MPLL_LOOP_CTL,MPLL_LOOP_CTL" bitfld.word 0x00 4.--7. " PROP_CNTRL ,Charge pump proportional current setting" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.word 0x1FFC++0x01 line.word 0x00 "LANE0_RX_OVRD_IN_HI,LANE0_RX_OVRD_IN_HI" bitfld.word 0x00 11. " RX_EQ_OVRD ,Override value for RX_EQ" "Disabled,Enabled" bitfld.word 0x00 8.--10. " RX_EQ ,Override value for RX_EQ" "0,1,2,3,4,5,6,7" bitfld.word 0x00 7. " RX_EQ_EN_OVRD ,Override enable for RX_EQ_EN" "Disabled,Enabled" bitfld.word 0x00 6. " RX_EQ_EN ,Override value for RX_EQ_EN" "Disabled,Enabled" width 0x0B tree.end tree "USBPHY2" base ad:0x704610000 width 21. rgroup.word 0x00++0x03 line.word 0x00 "IP_IDCODE_LO,SUP_IDCODE_LO" line.word 0x02 "SUP_IDCODE_HI,SUP_IDCODE_HI" group.word 0x50++0x01 line.word 0x00 "MPLL_LOOP_CTL,MPLL_LOOP_CTL" bitfld.word 0x00 4.--7. " PROP_CNTRL ,Charge pump proportional current setting" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.word 0x1FFC++0x01 line.word 0x00 "LANE0_RX_OVRD_IN_HI,LANE0_RX_OVRD_IN_HI" bitfld.word 0x00 11. " RX_EQ_OVRD ,Override value for RX_EQ" "Disabled,Enabled" bitfld.word 0x00 8.--10. " RX_EQ ,Override value for RX_EQ" "0,1,2,3,4,5,6,7" bitfld.word 0x00 7. " RX_EQ_EN_OVRD ,Override enable for RX_EQ_EN" "Disabled,Enabled" bitfld.word 0x00 6. " RX_EQ_EN ,Override value for RX_EQ_EN" "Disabled,Enabled" width 0x0B tree.end tree.end tree "USB PHY PARAMS_CONFIG" base ad:0x700108000 width 10. group.long 0x00++0x07 line.long 0x00 "USBIOCR0,USB IO Config Register 0" bitfld.long 0x00 31. " PCS_TX_DEEMPH_3P5DB ,Tx de-emphasis at 3.5 dB" "0,1" bitfld.long 0x00 28.--30. " LOS_BIAS ,Loss-of-signal detector threshold level control" "0,1,2,3,4,5,6,7" bitfld.long 0x00 25. " TXPREEMPPULSETUNE ,HS transmitter pre-emphasis duration control" "0,1" bitfld.long 0x00 21.--24. " TXVREFTUNE0 ,HS DC voltage level adjustment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 19.--20. " TXRISETUNE0 ,HS transmitter rise/fall time adjustment" "0,1,2,3" bitfld.long 0x00 6.--8. " SQRXTUNE0 ,Squelch threshold adjustment" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--2. " COMPDISTUN ,Disconnect threshold adjustment" "0,1,2,3,4,5,6,7" line.long 0x04 "USBIOCR1,USB IO Config Register 1" hexmask.long.byte 0x04 11.--17. 1. " PCS_TX_SWING_FULL ,Tx amplitude" bitfld.long 0x04 5.--10. " PCS_TX_DEEMPH_6DB ,Tx de-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 0.--4. " PCS_TX_DEEMPH_3P5DB ,Tx de-emphasis at 3.5 dB 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" width 0x0B tree.end tree.end tree.open "WDOG (Watchdog Timer Unit)" tree "WDOG1" base ad:0x02390000 width 11. group.long 0x00++0x03 line.long 0x00 "WCS,Watchdog Control And Status Register" rbitfld.long 0x00 2. " WDOG_WS1_S ,Watchdog WS1 signal status" "0,1" rbitfld.long 0x00 1. " WDOG_WS0_S ,Watchdog WS0 signal status" "0,1" bitfld.long 0x00 0. " WDOG_EN ,Watchdog enable" "Disabled,Enabled" group.long 0x08++0x0B line.long 0x00 "WOR,Watchdog Offset Register" line.long 0x04 "WCV_LO,Watchdog Compare Value Low" line.long 0x08 "WCV_HI,Watchdog Compare Value High" rgroup.long 0xFCC++0x03 line.long 0x00 "W_IIDR_CF,Watchdog Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PROD_ID ,Product identification number" bitfld.long 0x00 16.--19. " ARCH_VER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " IMPL_CONT_CODE ,Implementer JEP106 continuation code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " IMPL_ID_CODE ,Implementer JEP106 identification code" group.long 0xFD0++0x03 line.long 0x00 "WSC,Watchdog Software Control Register" bitfld.long 0x00 0. " WS1_SOFT_EN ,WS1 software enable" "Disabled,Enabled" width 0x0B tree "WDOG1 Refresh" base ad:0x02390000 width 11. wgroup.long 0x00++0x03 line.long 0x00 "WRR,Watchdog Refresh Register" rgroup.long 0xFCC++0x03 line.long 0x00 "W_IIDR_RF,Watchdog Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PROD_ID ,Product identification number" bitfld.long 0x00 16.--19. " ARCH_VER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " IMPL_CONT_CODE ,Implementer JEP106 continuation code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " IMPL_ID_CODE ,Implementer JEP106 identification code" width 0x0B tree.end tree.end tree "WDOG2" base ad:0x023A0000 width 11. group.long 0x00++0x03 line.long 0x00 "WCS,Watchdog Control And Status Register" rbitfld.long 0x00 2. " WDOG_WS1_S ,Watchdog WS1 signal status" "0,1" rbitfld.long 0x00 1. " WDOG_WS0_S ,Watchdog WS0 signal status" "0,1" bitfld.long 0x00 0. " WDOG_EN ,Watchdog enable" "Disabled,Enabled" group.long 0x08++0x0B line.long 0x00 "WOR,Watchdog Offset Register" line.long 0x04 "WCV_LO,Watchdog Compare Value Low" line.long 0x08 "WCV_HI,Watchdog Compare Value High" rgroup.long 0xFCC++0x03 line.long 0x00 "W_IIDR_CF,Watchdog Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PROD_ID ,Product identification number" bitfld.long 0x00 16.--19. " ARCH_VER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " IMPL_CONT_CODE ,Implementer JEP106 continuation code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " IMPL_ID_CODE ,Implementer JEP106 identification code" group.long 0xFD0++0x03 line.long 0x00 "WSC,Watchdog Software Control Register" bitfld.long 0x00 0. " WS1_SOFT_EN ,WS1 software enable" "Disabled,Enabled" width 0x0B tree "WDOG2 Refresh" base ad:0x023A0000 width 11. wgroup.long 0x00++0x03 line.long 0x00 "WRR,Watchdog Refresh Register" rgroup.long 0xFCC++0x03 line.long 0x00 "W_IIDR_RF,Watchdog Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PROD_ID ,Product identification number" bitfld.long 0x00 16.--19. " ARCH_VER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " IMPL_CONT_CODE ,Implementer JEP106 continuation code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " IMPL_ID_CODE ,Implementer JEP106 identification code" width 0x0B tree.end tree.end tree "TZWDOG1" base ad:0x023B0000 width 11. group.long 0x00++0x03 line.long 0x00 "WCS,Watchdog Control And Status Register" rbitfld.long 0x00 2. " WDOG_WS1_S ,Watchdog WS1 signal status" "0,1" rbitfld.long 0x00 1. " WDOG_WS0_S ,Watchdog WS0 signal status" "0,1" bitfld.long 0x00 0. " WDOG_EN ,Watchdog enable" "Disabled,Enabled" group.long 0x08++0x0B line.long 0x00 "WOR,Watchdog Offset Register" line.long 0x04 "WCV_LO,Watchdog Compare Value Low" line.long 0x08 "WCV_HI,Watchdog Compare Value High" rgroup.long 0xFCC++0x03 line.long 0x00 "W_IIDR_CF,Watchdog Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PROD_ID ,Product identification number" bitfld.long 0x00 16.--19. " ARCH_VER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " IMPL_CONT_CODE ,Implementer JEP106 continuation code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " IMPL_ID_CODE ,Implementer JEP106 identification code" group.long 0xFD0++0x03 line.long 0x00 "WSC,Watchdog Software Control Register" bitfld.long 0x00 0. " WS1_SOFT_EN ,WS1 software enable" "Disabled,Enabled" width 0x0B tree "TZWDOG1 Refresh" base ad:0x023B0000 width 11. wgroup.long 0x00++0x03 line.long 0x00 "WRR,Watchdog Refresh Register" rgroup.long 0xFCC++0x03 line.long 0x00 "W_IIDR_RF,Watchdog Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PROD_ID ,Product identification number" bitfld.long 0x00 16.--19. " ARCH_VER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " IMPL_CONT_CODE ,Implementer JEP106 continuation code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " IMPL_ID_CODE ,Implementer JEP106 identification code" width 0x0B tree.end tree.end tree "TZWDOG2" base ad:0x023C0000 width 11. group.long 0x00++0x03 line.long 0x00 "WCS,Watchdog Control And Status Register" rbitfld.long 0x00 2. " WDOG_WS1_S ,Watchdog WS1 signal status" "0,1" rbitfld.long 0x00 1. " WDOG_WS0_S ,Watchdog WS0 signal status" "0,1" bitfld.long 0x00 0. " WDOG_EN ,Watchdog enable" "Disabled,Enabled" group.long 0x08++0x0B line.long 0x00 "WOR,Watchdog Offset Register" line.long 0x04 "WCV_LO,Watchdog Compare Value Low" line.long 0x08 "WCV_HI,Watchdog Compare Value High" rgroup.long 0xFCC++0x03 line.long 0x00 "W_IIDR_CF,Watchdog Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PROD_ID ,Product identification number" bitfld.long 0x00 16.--19. " ARCH_VER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " IMPL_CONT_CODE ,Implementer JEP106 continuation code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " IMPL_ID_CODE ,Implementer JEP106 identification code" group.long 0xFD0++0x03 line.long 0x00 "WSC,Watchdog Software Control Register" bitfld.long 0x00 0. " WS1_SOFT_EN ,WS1 software enable" "Disabled,Enabled" width 0x0B tree "TZWDOG2 Refresh" base ad:0x023C0000 width 11. wgroup.long 0x00++0x03 line.long 0x00 "WRR,Watchdog Refresh Register" rgroup.long 0xFCC++0x03 line.long 0x00 "W_IIDR_RF,Watchdog Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PROD_ID ,Product identification number" bitfld.long 0x00 16.--19. " ARCH_VER ,Architecture version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. " IMPL_CONT_CODE ,Implementer JEP106 continuation code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 0.--6. 1. " IMPL_ID_CODE ,Implementer JEP106 identification code" width 0x0B tree.end tree.end tree.end tree "EPU (Event Processing Unit)" base ad:0x700060000 width 10. group.long 0x50++0x03 line.long 0x00 "EPEVTCR0,Event Processor EVT Pin Control Register 0" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x54++0x03 line.long 0x00 "EPEVTCR1,Event Processor EVT Pin Control Register 1" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x58++0x03 line.long 0x00 "EPEVTCR2,Event Processor EVT Pin Control Register 2" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x5C++0x03 line.long 0x00 "EPEVTCR3,Event Processor EVT Pin Control Register 3" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x60++0x03 line.long 0x00 "EPEVTCR4,Event Processor EVT Pin Control Register 4" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x64++0x03 line.long 0x00 "EPEVTCR5,Event Processor EVT Pin Control Register 5" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x68++0x03 line.long 0x00 "EPEVTCR6,Event Processor EVT Pin Control Register 6" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x6C++0x03 line.long 0x00 "EPEVTCR7,Event Processor EVT Pin Control Register 7" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x70++0x03 line.long 0x00 "EPEVTCR8,Event Processor EVT Pin Control Register 8" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0x74++0x03 line.long 0x00 "EPEVTCR9,Event Processor EVT Pin Control Register 9" bitfld.long 0x00 28.--31. " EVT_SEL ,Event select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " DIR ,Direction" "Input,Output" group.long 0xFD0++0x03 line.long 0x00 "EPRSRV08,Event Processor Debug Reservation Register 8" group.long 0xFD4++0x03 line.long 0x00 "EPRSRV07,Event Processor Debug Reservation Register 7" group.long 0xFD8++0x03 line.long 0x00 "EPRSRV06,Event Processor Debug Reservation Register 6" group.long 0xFDC++0x03 line.long 0x00 "EPRSRV05,Event Processor Debug Reservation Register 5" group.long 0xFE0++0x03 line.long 0x00 "EPRSRV04,Event Processor Debug Reservation Register 4" group.long 0xFE4++0x03 line.long 0x00 "EPRSRV03,Event Processor Debug Reservation Register 3" group.long 0xFE8++0x03 line.long 0x00 "EPRSRV02,Event Processor Debug Reservation Register 2" group.long 0xFEC++0x03 line.long 0x00 "EPRSRV01,Event Processor Debug Reservation Register 1" width 0x0B tree.end newline